content
stringlengths 1
1.04M
⌀ |
---|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc600.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:40 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:58 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:18 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00600ent IS
END c03s04b01x00p01n01i00600ent;
ARCHITECTURE c03s04b01x00p01n01i00600arch OF c03s04b01x00p01n01i00600ent IS
type time_vector is array (natural range <>) of time;
type time_vector_file is file of time_vector;
signal k : integer := 0;
BEGIN
TESTING: PROCESS
file filein : time_vector_file open read_mode is "iofile.27";
variable v : time_vector(0 to 3);
variable len : natural;
BEGIN
for i in 1 to 100 loop
assert(endfile(filein) = false) report"end of file reached before expected";
read(filein,v,len);
assert(len = 4) report "wrong length passed during read operation";
if (v /= (1 ns,2 ns,3 ns,4 ns)) then
k <= 1;
end if;
end loop;
wait for 1 ns;
assert NOT(k = 0)
report "***PASSED TEST: c03s04b01x00p01n01i00600"
severity NOTE;
assert (k = 0)
report "***FAILED TEST: c03s04b01x00p01n01i00600 - File reading operation (time_vector file type) failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00600arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc600.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:40 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:58 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:18 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00600ent IS
END c03s04b01x00p01n01i00600ent;
ARCHITECTURE c03s04b01x00p01n01i00600arch OF c03s04b01x00p01n01i00600ent IS
type time_vector is array (natural range <>) of time;
type time_vector_file is file of time_vector;
signal k : integer := 0;
BEGIN
TESTING: PROCESS
file filein : time_vector_file open read_mode is "iofile.27";
variable v : time_vector(0 to 3);
variable len : natural;
BEGIN
for i in 1 to 100 loop
assert(endfile(filein) = false) report"end of file reached before expected";
read(filein,v,len);
assert(len = 4) report "wrong length passed during read operation";
if (v /= (1 ns,2 ns,3 ns,4 ns)) then
k <= 1;
end if;
end loop;
wait for 1 ns;
assert NOT(k = 0)
report "***PASSED TEST: c03s04b01x00p01n01i00600"
severity NOTE;
assert (k = 0)
report "***FAILED TEST: c03s04b01x00p01n01i00600 - File reading operation (time_vector file type) failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00600arch;
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_dma:7.1
-- IP Revision: 8
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_dma_v7_1_8;
USE axi_dma_v7_1_8.axi_dma;
ENTITY axi_dma_0 IS
PORT (
s_axi_lite_aclk : IN STD_LOGIC;
m_axi_mm2s_aclk : IN STD_LOGIC;
m_axi_s2mm_aclk : IN STD_LOGIC;
axi_resetn : IN STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_arvalid : OUT STD_LOGIC;
m_axi_mm2s_arready : IN STD_LOGIC;
m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_rlast : IN STD_LOGIC;
m_axi_mm2s_rvalid : IN STD_LOGIC;
m_axi_mm2s_rready : OUT STD_LOGIC;
mm2s_prmry_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tvalid : OUT STD_LOGIC;
m_axis_mm2s_tready : IN STD_LOGIC;
m_axis_mm2s_tlast : OUT STD_LOGIC;
m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awvalid : OUT STD_LOGIC;
m_axi_s2mm_awready : IN STD_LOGIC;
m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_wlast : OUT STD_LOGIC;
m_axi_s2mm_wvalid : OUT STD_LOGIC;
m_axi_s2mm_wready : IN STD_LOGIC;
m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_bvalid : IN STD_LOGIC;
m_axi_s2mm_bready : OUT STD_LOGIC;
s2mm_prmry_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tvalid : IN STD_LOGIC;
s_axis_s2mm_tready : OUT STD_LOGIC;
s_axis_s2mm_tlast : IN STD_LOGIC;
mm2s_introut : OUT STD_LOGIC;
s2mm_introut : OUT STD_LOGIC;
axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END axi_dma_0;
ARCHITECTURE axi_dma_0_arch OF axi_dma_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF axi_dma_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_dma IS
GENERIC (
C_S_AXI_LITE_ADDR_WIDTH : INTEGER;
C_S_AXI_LITE_DATA_WIDTH : INTEGER;
C_DLYTMR_RESOLUTION : INTEGER;
C_PRMRY_IS_ACLK_ASYNC : INTEGER;
C_ENABLE_MULTI_CHANNEL : INTEGER;
C_NUM_MM2S_CHANNELS : INTEGER;
C_NUM_S2MM_CHANNELS : INTEGER;
C_INCLUDE_SG : INTEGER;
C_SG_INCLUDE_STSCNTRL_STRM : INTEGER;
C_SG_USE_STSAPP_LENGTH : INTEGER;
C_SG_LENGTH_WIDTH : INTEGER;
C_M_AXI_SG_ADDR_WIDTH : INTEGER;
C_M_AXI_SG_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER;
C_MICRO_DMA : INTEGER;
C_INCLUDE_MM2S : INTEGER;
C_INCLUDE_MM2S_SF : INTEGER;
C_MM2S_BURST_SIZE : INTEGER;
C_M_AXI_MM2S_ADDR_WIDTH : INTEGER;
C_M_AXI_MM2S_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER;
C_INCLUDE_MM2S_DRE : INTEGER;
C_INCLUDE_S2MM : INTEGER;
C_INCLUDE_S2MM_SF : INTEGER;
C_S2MM_BURST_SIZE : INTEGER;
C_M_AXI_S2MM_ADDR_WIDTH : INTEGER;
C_M_AXI_S2MM_DATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER;
C_INCLUDE_S2MM_DRE : INTEGER;
C_FAMILY : STRING
);
PORT (
s_axi_lite_aclk : IN STD_LOGIC;
m_axi_sg_aclk : IN STD_LOGIC;
m_axi_mm2s_aclk : IN STD_LOGIC;
m_axi_s2mm_aclk : IN STD_LOGIC;
axi_resetn : IN STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_awvalid : OUT STD_LOGIC;
m_axi_sg_awready : IN STD_LOGIC;
m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_wlast : OUT STD_LOGIC;
m_axi_sg_wvalid : OUT STD_LOGIC;
m_axi_sg_wready : IN STD_LOGIC;
m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_bvalid : IN STD_LOGIC;
m_axi_sg_bready : OUT STD_LOGIC;
m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_arvalid : OUT STD_LOGIC;
m_axi_sg_arready : IN STD_LOGIC;
m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_rlast : IN STD_LOGIC;
m_axi_sg_rvalid : IN STD_LOGIC;
m_axi_sg_rready : OUT STD_LOGIC;
m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_arvalid : OUT STD_LOGIC;
m_axi_mm2s_arready : IN STD_LOGIC;
m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_rlast : IN STD_LOGIC;
m_axi_mm2s_rvalid : IN STD_LOGIC;
m_axi_mm2s_rready : OUT STD_LOGIC;
mm2s_prmry_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tvalid : OUT STD_LOGIC;
m_axis_mm2s_tready : IN STD_LOGIC;
m_axis_mm2s_tlast : OUT STD_LOGIC;
m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tid : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
m_axis_mm2s_tdest : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
mm2s_cntrl_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_cntrl_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_cntrl_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_cntrl_tvalid : OUT STD_LOGIC;
m_axis_mm2s_cntrl_tready : IN STD_LOGIC;
m_axis_mm2s_cntrl_tlast : OUT STD_LOGIC;
m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awvalid : OUT STD_LOGIC;
m_axi_s2mm_awready : IN STD_LOGIC;
m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_wlast : OUT STD_LOGIC;
m_axi_s2mm_wvalid : OUT STD_LOGIC;
m_axi_s2mm_wready : IN STD_LOGIC;
m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_bvalid : IN STD_LOGIC;
m_axi_s2mm_bready : OUT STD_LOGIC;
s2mm_prmry_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tvalid : IN STD_LOGIC;
s_axis_s2mm_tready : OUT STD_LOGIC;
s_axis_s2mm_tlast : IN STD_LOGIC;
s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tid : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axis_s2mm_tdest : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s2mm_sts_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_sts_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_sts_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_sts_tvalid : IN STD_LOGIC;
s_axis_s2mm_sts_tready : OUT STD_LOGIC;
s_axis_s2mm_sts_tlast : IN STD_LOGIC;
mm2s_introut : OUT STD_LOGIC;
s2mm_introut : OUT STD_LOGIC;
axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_dma;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF axi_dma_0_arch: ARCHITECTURE IS "axi_dma,Vivado 2015.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF axi_dma_0_arch : ARCHITECTURE IS "axi_dma_0,axi_dma,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF axi_dma_0_arch: ARCHITECTURE IS "axi_dma_0,axi_dma,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_dma,x_ipVersion=7.1,x_ipCoreRevision=8,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_S_AXI_LITE_ADDR_WIDTH=10,C_S_AXI_LITE_DATA_WIDTH=32,C_DLYTMR_RESOLUTION=125,C_PRMRY_IS_ACLK_ASYNC=0,C_ENABLE_MULTI_CHANNEL=0,C_NUM_MM2S_CHANNELS=1,C_NUM_S2MM_CHANNELS=1,C_INCLUDE_SG=0,C_SG_INCLUDE_STSCNTRL_STRM=0,C_SG_USE_STSAPP_LENGTH=0,C_SG_LENGTH_WIDTH=14,C_M_AXI_SG_ADDR_WIDTH=32,C_M_AXI_SG_DATA_WIDTH=32,C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH=32,C_S_AXIS_S2MM_STS_TDATA_WIDTH=32,C_MICRO_DMA=0,C_INCLUDE_MM2S=1,C_INCLUDE_MM2S_SF=1,C_MM2S_BURST_SIZE=16,C_M_AXI_MM2S_ADDR_WIDTH=32,C_M_AXI_MM2S_DATA_WIDTH=32,C_M_AXIS_MM2S_TDATA_WIDTH=32,C_INCLUDE_MM2S_DRE=1,C_INCLUDE_S2MM=1,C_INCLUDE_S2MM_SF=1,C_S2MM_BURST_SIZE=16,C_M_AXI_S2MM_ADDR_WIDTH=32,C_M_AXI_S2MM_DATA_WIDTH=32,C_S_AXIS_S2MM_TDATA_WIDTH=32,C_INCLUDE_S2MM_DRE=1,C_FAMILY=kintex7}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY";
ATTRIBUTE X_INTERFACE_INFO OF mm2s_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 MM2S_PRMRY_RESET_OUT_N RST";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s2mm_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 S2MM_PRMRY_RESET_OUT_N RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST";
ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT";
ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT";
BEGIN
U0 : axi_dma
GENERIC MAP (
C_S_AXI_LITE_ADDR_WIDTH => 10,
C_S_AXI_LITE_DATA_WIDTH => 32,
C_DLYTMR_RESOLUTION => 125,
C_PRMRY_IS_ACLK_ASYNC => 0,
C_ENABLE_MULTI_CHANNEL => 0,
C_NUM_MM2S_CHANNELS => 1,
C_NUM_S2MM_CHANNELS => 1,
C_INCLUDE_SG => 0,
C_SG_INCLUDE_STSCNTRL_STRM => 0,
C_SG_USE_STSAPP_LENGTH => 0,
C_SG_LENGTH_WIDTH => 14,
C_M_AXI_SG_ADDR_WIDTH => 32,
C_M_AXI_SG_DATA_WIDTH => 32,
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32,
C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32,
C_MICRO_DMA => 0,
C_INCLUDE_MM2S => 1,
C_INCLUDE_MM2S_SF => 1,
C_MM2S_BURST_SIZE => 16,
C_M_AXI_MM2S_ADDR_WIDTH => 32,
C_M_AXI_MM2S_DATA_WIDTH => 32,
C_M_AXIS_MM2S_TDATA_WIDTH => 32,
C_INCLUDE_MM2S_DRE => 1,
C_INCLUDE_S2MM => 1,
C_INCLUDE_S2MM_SF => 1,
C_S2MM_BURST_SIZE => 16,
C_M_AXI_S2MM_ADDR_WIDTH => 32,
C_M_AXI_S2MM_DATA_WIDTH => 32,
C_S_AXIS_S2MM_TDATA_WIDTH => 32,
C_INCLUDE_S2MM_DRE => 1,
C_FAMILY => "kintex7"
)
PORT MAP (
s_axi_lite_aclk => s_axi_lite_aclk,
m_axi_sg_aclk => '0',
m_axi_mm2s_aclk => m_axi_mm2s_aclk,
m_axi_s2mm_aclk => m_axi_s2mm_aclk,
axi_resetn => axi_resetn,
s_axi_lite_awvalid => s_axi_lite_awvalid,
s_axi_lite_awready => s_axi_lite_awready,
s_axi_lite_awaddr => s_axi_lite_awaddr,
s_axi_lite_wvalid => s_axi_lite_wvalid,
s_axi_lite_wready => s_axi_lite_wready,
s_axi_lite_wdata => s_axi_lite_wdata,
s_axi_lite_bresp => s_axi_lite_bresp,
s_axi_lite_bvalid => s_axi_lite_bvalid,
s_axi_lite_bready => s_axi_lite_bready,
s_axi_lite_arvalid => s_axi_lite_arvalid,
s_axi_lite_arready => s_axi_lite_arready,
s_axi_lite_araddr => s_axi_lite_araddr,
s_axi_lite_rvalid => s_axi_lite_rvalid,
s_axi_lite_rready => s_axi_lite_rready,
s_axi_lite_rdata => s_axi_lite_rdata,
s_axi_lite_rresp => s_axi_lite_rresp,
m_axi_sg_awready => '0',
m_axi_sg_wready => '0',
m_axi_sg_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_sg_bvalid => '0',
m_axi_sg_arready => '0',
m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_sg_rlast => '0',
m_axi_sg_rvalid => '0',
m_axi_mm2s_araddr => m_axi_mm2s_araddr,
m_axi_mm2s_arlen => m_axi_mm2s_arlen,
m_axi_mm2s_arsize => m_axi_mm2s_arsize,
m_axi_mm2s_arburst => m_axi_mm2s_arburst,
m_axi_mm2s_arprot => m_axi_mm2s_arprot,
m_axi_mm2s_arcache => m_axi_mm2s_arcache,
m_axi_mm2s_arvalid => m_axi_mm2s_arvalid,
m_axi_mm2s_arready => m_axi_mm2s_arready,
m_axi_mm2s_rdata => m_axi_mm2s_rdata,
m_axi_mm2s_rresp => m_axi_mm2s_rresp,
m_axi_mm2s_rlast => m_axi_mm2s_rlast,
m_axi_mm2s_rvalid => m_axi_mm2s_rvalid,
m_axi_mm2s_rready => m_axi_mm2s_rready,
mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n,
m_axis_mm2s_tdata => m_axis_mm2s_tdata,
m_axis_mm2s_tkeep => m_axis_mm2s_tkeep,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid,
m_axis_mm2s_tready => m_axis_mm2s_tready,
m_axis_mm2s_tlast => m_axis_mm2s_tlast,
m_axis_mm2s_cntrl_tready => '0',
m_axi_s2mm_awaddr => m_axi_s2mm_awaddr,
m_axi_s2mm_awlen => m_axi_s2mm_awlen,
m_axi_s2mm_awsize => m_axi_s2mm_awsize,
m_axi_s2mm_awburst => m_axi_s2mm_awburst,
m_axi_s2mm_awprot => m_axi_s2mm_awprot,
m_axi_s2mm_awcache => m_axi_s2mm_awcache,
m_axi_s2mm_awvalid => m_axi_s2mm_awvalid,
m_axi_s2mm_awready => m_axi_s2mm_awready,
m_axi_s2mm_wdata => m_axi_s2mm_wdata,
m_axi_s2mm_wstrb => m_axi_s2mm_wstrb,
m_axi_s2mm_wlast => m_axi_s2mm_wlast,
m_axi_s2mm_wvalid => m_axi_s2mm_wvalid,
m_axi_s2mm_wready => m_axi_s2mm_wready,
m_axi_s2mm_bresp => m_axi_s2mm_bresp,
m_axi_s2mm_bvalid => m_axi_s2mm_bvalid,
m_axi_s2mm_bready => m_axi_s2mm_bready,
s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n,
s_axis_s2mm_tdata => s_axis_s2mm_tdata,
s_axis_s2mm_tkeep => s_axis_s2mm_tkeep,
s_axis_s2mm_tvalid => s_axis_s2mm_tvalid,
s_axis_s2mm_tready => s_axis_s2mm_tready,
s_axis_s2mm_tlast => s_axis_s2mm_tlast,
s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_s2mm_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
s_axis_s2mm_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
s_axis_s2mm_sts_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_s2mm_sts_tkeep => X"F",
s_axis_s2mm_sts_tvalid => '0',
s_axis_s2mm_sts_tlast => '0',
mm2s_introut => mm2s_introut,
s2mm_introut => s2mm_introut,
axi_dma_tstvec => axi_dma_tstvec
);
END axi_dma_0_arch;
|
--------------------------------------------------------------------------------
-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version : 14.6
-- \ \ Application :
-- / / Filename : xil_F8MKfI
-- /___/ /\ Timestamp : 04/05/2014 20:58:17
-- \ \ / \
-- \___\/\___\
--
--Command:
--Design Name:
--
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
use work.RetinaParameters.ALL;
entity IntermediateFifoConv is
port ( clk : in std_logic;
rst : in std_logic;
enableIn : in std_logic;
inputValue : in std_logic_vector (OUT_VERT_CONV_BW-1 downto 0);
enableOut : out std_logic;
outputData : out std_logic_vector (OUT_VERT_CONV_BW-1 downto 0)
);
end IntermediateFifoConv;
architecture BEHAVIORAL of IntermediateFifoConv is
type T_SCALE_VALUES_FIFO is array (NUMBER_OF_SCALES-1 downto 0) of std_logic_vector(OUT_VERT_CONV_BW-1 downto 0);
signal intermediate_fifo: T_SCALE_VALUES_FIFO := (others => (others => '0'));
signal counter: integer range 0 to NUMBER_OF_SCALES-1 := 0;
begin
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
intermediate_fifo <= (others => (others => '0'));
enableOut <= '0';
counter <= 0;
else
if enableIn = '1' then
-------WORKS ONLY FOR NUMBER_OF_SCALES > 2 ??????
intermediate_fifo(0) <= inputValue;
for i in 1 to NUMBER_OF_SCALES-1 loop
intermediate_fifo(i) <= intermediate_fifo(i-1);
end loop;
if counter = NUMBER_OF_SCALES-1 then
enableOut <= '1';
counter <= 0;
else
counter <= counter +1;
enableOut <= '0';
end if;
else
enableOut <= '0';
end if;
end if;
end if;
end process;
outputData <= intermediate_fifo(NUMBER_OF_SCALES-1);
end BEHAVIORAL;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc629.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:47 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00629ent IS
END c03s04b01x00p01n01i00629ent;
ARCHITECTURE c03s04b01x00p01n01i00629arch OF c03s04b01x00p01n01i00629ent IS
type four_value is ('Z','0','1','X');
type four_value_map is array (four_value) of boolean;
type four_value_map_file is file of four_value_map;
constant C38 : four_value_map := (true,true,true,true);
BEGIN
TESTING: PROCESS
file filein : four_value_map_file open write_mode is "iofile.37";
BEGIN
for i in 1 to 100 loop
write(filein, C38);
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p01n01i00629 - The output file will be verified by test s010276.vhd."
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00629arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc629.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:47 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00629ent IS
END c03s04b01x00p01n01i00629ent;
ARCHITECTURE c03s04b01x00p01n01i00629arch OF c03s04b01x00p01n01i00629ent IS
type four_value is ('Z','0','1','X');
type four_value_map is array (four_value) of boolean;
type four_value_map_file is file of four_value_map;
constant C38 : four_value_map := (true,true,true,true);
BEGIN
TESTING: PROCESS
file filein : four_value_map_file open write_mode is "iofile.37";
BEGIN
for i in 1 to 100 loop
write(filein, C38);
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p01n01i00629 - The output file will be verified by test s010276.vhd."
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00629arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc629.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:47 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00629ent IS
END c03s04b01x00p01n01i00629ent;
ARCHITECTURE c03s04b01x00p01n01i00629arch OF c03s04b01x00p01n01i00629ent IS
type four_value is ('Z','0','1','X');
type four_value_map is array (four_value) of boolean;
type four_value_map_file is file of four_value_map;
constant C38 : four_value_map := (true,true,true,true);
BEGIN
TESTING: PROCESS
file filein : four_value_map_file open write_mode is "iofile.37";
BEGIN
for i in 1 to 100 loop
write(filein, C38);
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p01n01i00629 - The output file will be verified by test s010276.vhd."
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00629arch;
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY TB_registerFile IS
END TB_registerFile;
ARCHITECTURE behavior OF TB_registerFile IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT registerFile
PORT(
rs1 : IN std_logic_vector(4 downto 0);
rs2 : IN std_logic_vector(4 downto 0);
rd : IN std_logic_vector(4 downto 0);
rst : IN std_logic;
dataToWrite : IN std_logic_vector(31 downto 0);
CRs1 : OUT std_logic_vector(31 downto 0);
CRs2 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal rs1 : std_logic_vector(4 downto 0) := (others => '0');
signal rs2 : std_logic_vector(4 downto 0) := (others => '0');
signal rd : std_logic_vector(4 downto 0) := (others => '0');
signal rst : std_logic := '0';
signal dataToWrite : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal CRs1 : std_logic_vector(31 downto 0);
signal CRs2 : std_logic_vector(31 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: registerFile PORT MAP (
rs1 => rs1,
rs2 => rs2,
rd => rd,
rst => rst,
dataToWrite => dataToWrite,
CRs1 => CRs1,
CRs2 => CRs2
);
-- Stimulus process
stim_proc: process
begin
rs1 <= "00000";
rs2 <= "00001";
rd <= "00000";
dataToWrite <= x"00000001";
wait for 20 ns;
rd <= "00001";
wait for 20 ns;
dataToWrite <= x"00000002";
rd <= "00010";
wait for 20 ns;
dataToWrite <= x"00000003";
rd <= "00100";
wait for 20 ns;
rd <= "00000";
rs1 <= "00001";
rs2 <= "00010";
wait for 40 ns;
rs1 <= "00100";
rs2 <= "00001";
wait for 40 ns;
rs1 <= "00000";
rs2 <= "00000";
wait;
end process;
END;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: ekyr.kth.se:user:axi_spi_master:1.0
-- IP Revision: 9
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY DemoInterconnect_axi_spi_master_1_1 IS
PORT (
m_spi_mosi : OUT STD_LOGIC;
m_spi_miso : IN STD_LOGIC;
m_spi_ss : OUT STD_LOGIC;
m_spi_sclk : OUT STD_LOGIC;
s00_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_awvalid : IN STD_LOGIC;
s00_axi_awready : OUT STD_LOGIC;
s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_wvalid : IN STD_LOGIC;
s00_axi_wready : OUT STD_LOGIC;
s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_bvalid : OUT STD_LOGIC;
s00_axi_bready : IN STD_LOGIC;
s00_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_arvalid : IN STD_LOGIC;
s00_axi_arready : OUT STD_LOGIC;
s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_rvalid : OUT STD_LOGIC;
s00_axi_rready : IN STD_LOGIC;
s00_axi_aclk : IN STD_LOGIC;
s00_axi_aresetn : IN STD_LOGIC
);
END DemoInterconnect_axi_spi_master_1_1;
ARCHITECTURE DemoInterconnect_axi_spi_master_1_1_arch OF DemoInterconnect_axi_spi_master_1_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DemoInterconnect_axi_spi_master_1_1_arch: ARCHITECTURE IS "yes";
COMPONENT axi_spi_master_v1_0 IS
GENERIC (
C_S00_AXI_DATA_WIDTH : INTEGER; -- Width of S_AXI data bus
C_S00_AXI_ADDR_WIDTH : INTEGER; -- Width of S_AXI address bus
SPI_DATA_WIDTH : INTEGER;
SPI_CLK_DIV : INTEGER
);
PORT (
m_spi_mosi : OUT STD_LOGIC;
m_spi_miso : IN STD_LOGIC;
m_spi_ss : OUT STD_LOGIC;
m_spi_sclk : OUT STD_LOGIC;
s00_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_awvalid : IN STD_LOGIC;
s00_axi_awready : OUT STD_LOGIC;
s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_wvalid : IN STD_LOGIC;
s00_axi_wready : OUT STD_LOGIC;
s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_bvalid : OUT STD_LOGIC;
s00_axi_bready : IN STD_LOGIC;
s00_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_arvalid : IN STD_LOGIC;
s00_axi_arready : OUT STD_LOGIC;
s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_rvalid : OUT STD_LOGIC;
s00_axi_rready : IN STD_LOGIC;
s00_axi_aclk : IN STD_LOGIC;
s00_axi_aresetn : IN STD_LOGIC
);
END COMPONENT axi_spi_master_v1_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_aresetn: SIGNAL IS "XIL_INTERFACENAME S00_AXI_RST, POLARITY ACTIVE_LOW, XIL_INTERFACENAME s00_axi_aresetn, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S00_AXI_RST RST, xilinx.com:signal:reset:1.0 s00_axi_aresetn RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_aclk: SIGNAL IS "XIL_INTERFACENAME S00_AXI_CLK, ASSOCIATED_BUSIF S00_AXI, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000, XIL_INTERFACENAME s00_axi_aclk, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 72000000, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, ASSOCIATED_BUSIF S00_AXI";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S00_AXI_CLK CLK, xilinx.com:signal:clock:1.0 s00_axi_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT";
ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_awaddr: SIGNAL IS "XIL_INTERFACENAME S00_AXI, WIZ_DATA_WIDTH 32, WIZ_NUM_REG 4, SUPPORTS_NARROW_BURST 0, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 72000000, ID_WIDTH 0, ADDR_WIDTH 4, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 1, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_sclk: SIGNAL IS "XIL_INTERFACENAME m_spi_sclk, ASSOCIATED_CLKEN m_spi_ss, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN DemoInterconnect_axi_spi_master_1_1_m_spi_sclk";
ATTRIBUTE X_INTERFACE_INFO OF m_spi_sclk: SIGNAL IS "xilinx.com:signal:clock:1.0 m_spi_sclk CLK";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_ss: SIGNAL IS "XIL_INTERFACENAME m_spi_ss, FREQ_HZ 100000000, PHASE 0, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF m_spi_ss: SIGNAL IS "xilinx.com:signal:clockenable:1.0 m_spi_ss CE";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_miso: SIGNAL IS "XIL_INTERFACENAME m_spi_miso, LAYERED_METADATA undef";
ATTRIBUTE X_INTERFACE_INFO OF m_spi_miso: SIGNAL IS "xilinx.com:signal:data:1.0 m_spi_miso DATA";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_mosi: SIGNAL IS "XIL_INTERFACENAME m_spi_mosi, LAYERED_METADATA undef";
ATTRIBUTE X_INTERFACE_INFO OF m_spi_mosi: SIGNAL IS "xilinx.com:signal:data:1.0 m_spi_mosi DATA";
BEGIN
U0 : axi_spi_master_v1_0
GENERIC MAP (
C_S00_AXI_DATA_WIDTH => 32,
C_S00_AXI_ADDR_WIDTH => 4,
SPI_DATA_WIDTH => 8,
SPI_CLK_DIV => 6
)
PORT MAP (
m_spi_mosi => m_spi_mosi,
m_spi_miso => m_spi_miso,
m_spi_ss => m_spi_ss,
m_spi_sclk => m_spi_sclk,
s00_axi_awaddr => s00_axi_awaddr,
s00_axi_awprot => s00_axi_awprot,
s00_axi_awvalid => s00_axi_awvalid,
s00_axi_awready => s00_axi_awready,
s00_axi_wdata => s00_axi_wdata,
s00_axi_wstrb => s00_axi_wstrb,
s00_axi_wvalid => s00_axi_wvalid,
s00_axi_wready => s00_axi_wready,
s00_axi_bresp => s00_axi_bresp,
s00_axi_bvalid => s00_axi_bvalid,
s00_axi_bready => s00_axi_bready,
s00_axi_araddr => s00_axi_araddr,
s00_axi_arprot => s00_axi_arprot,
s00_axi_arvalid => s00_axi_arvalid,
s00_axi_arready => s00_axi_arready,
s00_axi_rdata => s00_axi_rdata,
s00_axi_rresp => s00_axi_rresp,
s00_axi_rvalid => s00_axi_rvalid,
s00_axi_rready => s00_axi_rready,
s00_axi_aclk => s00_axi_aclk,
s00_axi_aresetn => s00_axi_aresetn
);
END DemoInterconnect_axi_spi_master_1_1_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: ekyr.kth.se:user:axi_spi_master:1.0
-- IP Revision: 9
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY DemoInterconnect_axi_spi_master_1_1 IS
PORT (
m_spi_mosi : OUT STD_LOGIC;
m_spi_miso : IN STD_LOGIC;
m_spi_ss : OUT STD_LOGIC;
m_spi_sclk : OUT STD_LOGIC;
s00_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_awvalid : IN STD_LOGIC;
s00_axi_awready : OUT STD_LOGIC;
s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_wvalid : IN STD_LOGIC;
s00_axi_wready : OUT STD_LOGIC;
s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_bvalid : OUT STD_LOGIC;
s00_axi_bready : IN STD_LOGIC;
s00_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_arvalid : IN STD_LOGIC;
s00_axi_arready : OUT STD_LOGIC;
s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_rvalid : OUT STD_LOGIC;
s00_axi_rready : IN STD_LOGIC;
s00_axi_aclk : IN STD_LOGIC;
s00_axi_aresetn : IN STD_LOGIC
);
END DemoInterconnect_axi_spi_master_1_1;
ARCHITECTURE DemoInterconnect_axi_spi_master_1_1_arch OF DemoInterconnect_axi_spi_master_1_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DemoInterconnect_axi_spi_master_1_1_arch: ARCHITECTURE IS "yes";
COMPONENT axi_spi_master_v1_0 IS
GENERIC (
C_S00_AXI_DATA_WIDTH : INTEGER; -- Width of S_AXI data bus
C_S00_AXI_ADDR_WIDTH : INTEGER; -- Width of S_AXI address bus
SPI_DATA_WIDTH : INTEGER;
SPI_CLK_DIV : INTEGER
);
PORT (
m_spi_mosi : OUT STD_LOGIC;
m_spi_miso : IN STD_LOGIC;
m_spi_ss : OUT STD_LOGIC;
m_spi_sclk : OUT STD_LOGIC;
s00_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_awvalid : IN STD_LOGIC;
s00_axi_awready : OUT STD_LOGIC;
s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_wvalid : IN STD_LOGIC;
s00_axi_wready : OUT STD_LOGIC;
s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_bvalid : OUT STD_LOGIC;
s00_axi_bready : IN STD_LOGIC;
s00_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_arvalid : IN STD_LOGIC;
s00_axi_arready : OUT STD_LOGIC;
s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_rvalid : OUT STD_LOGIC;
s00_axi_rready : IN STD_LOGIC;
s00_axi_aclk : IN STD_LOGIC;
s00_axi_aresetn : IN STD_LOGIC
);
END COMPONENT axi_spi_master_v1_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_aresetn: SIGNAL IS "XIL_INTERFACENAME S00_AXI_RST, POLARITY ACTIVE_LOW, XIL_INTERFACENAME s00_axi_aresetn, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S00_AXI_RST RST, xilinx.com:signal:reset:1.0 s00_axi_aresetn RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_aclk: SIGNAL IS "XIL_INTERFACENAME S00_AXI_CLK, ASSOCIATED_BUSIF S00_AXI, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000, XIL_INTERFACENAME s00_axi_aclk, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 72000000, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, ASSOCIATED_BUSIF S00_AXI";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S00_AXI_CLK CLK, xilinx.com:signal:clock:1.0 s00_axi_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT";
ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_awaddr: SIGNAL IS "XIL_INTERFACENAME S00_AXI, WIZ_DATA_WIDTH 32, WIZ_NUM_REG 4, SUPPORTS_NARROW_BURST 0, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 72000000, ID_WIDTH 0, ADDR_WIDTH 4, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 1, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_sclk: SIGNAL IS "XIL_INTERFACENAME m_spi_sclk, ASSOCIATED_CLKEN m_spi_ss, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN DemoInterconnect_axi_spi_master_1_1_m_spi_sclk";
ATTRIBUTE X_INTERFACE_INFO OF m_spi_sclk: SIGNAL IS "xilinx.com:signal:clock:1.0 m_spi_sclk CLK";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_ss: SIGNAL IS "XIL_INTERFACENAME m_spi_ss, FREQ_HZ 100000000, PHASE 0, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF m_spi_ss: SIGNAL IS "xilinx.com:signal:clockenable:1.0 m_spi_ss CE";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_miso: SIGNAL IS "XIL_INTERFACENAME m_spi_miso, LAYERED_METADATA undef";
ATTRIBUTE X_INTERFACE_INFO OF m_spi_miso: SIGNAL IS "xilinx.com:signal:data:1.0 m_spi_miso DATA";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_mosi: SIGNAL IS "XIL_INTERFACENAME m_spi_mosi, LAYERED_METADATA undef";
ATTRIBUTE X_INTERFACE_INFO OF m_spi_mosi: SIGNAL IS "xilinx.com:signal:data:1.0 m_spi_mosi DATA";
BEGIN
U0 : axi_spi_master_v1_0
GENERIC MAP (
C_S00_AXI_DATA_WIDTH => 32,
C_S00_AXI_ADDR_WIDTH => 4,
SPI_DATA_WIDTH => 8,
SPI_CLK_DIV => 6
)
PORT MAP (
m_spi_mosi => m_spi_mosi,
m_spi_miso => m_spi_miso,
m_spi_ss => m_spi_ss,
m_spi_sclk => m_spi_sclk,
s00_axi_awaddr => s00_axi_awaddr,
s00_axi_awprot => s00_axi_awprot,
s00_axi_awvalid => s00_axi_awvalid,
s00_axi_awready => s00_axi_awready,
s00_axi_wdata => s00_axi_wdata,
s00_axi_wstrb => s00_axi_wstrb,
s00_axi_wvalid => s00_axi_wvalid,
s00_axi_wready => s00_axi_wready,
s00_axi_bresp => s00_axi_bresp,
s00_axi_bvalid => s00_axi_bvalid,
s00_axi_bready => s00_axi_bready,
s00_axi_araddr => s00_axi_araddr,
s00_axi_arprot => s00_axi_arprot,
s00_axi_arvalid => s00_axi_arvalid,
s00_axi_arready => s00_axi_arready,
s00_axi_rdata => s00_axi_rdata,
s00_axi_rresp => s00_axi_rresp,
s00_axi_rvalid => s00_axi_rvalid,
s00_axi_rready => s00_axi_rready,
s00_axi_aclk => s00_axi_aclk,
s00_axi_aresetn => s00_axi_aresetn
);
END DemoInterconnect_axi_spi_master_1_1_arch;
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00306
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 7.2.2 (1)
-- 7.2.2 (2)
-- 7.2.2 (6)
-- 7.2.2 (9)
-- 7.2.2 (10)
-- 7.2.2 (11)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00306(ARCH00306)
-- GENERIC_STANDARD_TYPES(ARCH00306_1)
-- ENT00306_Test_Bench(ARCH00306_Test_Bench)
--
-- REVISION HISTORY:
--
-- 21-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00306 is
generic (
i_boolean_1 : boolean
:= c_boolean_1 ;
i_boolean_2 : boolean
:= c_boolean_2 ;
i_bit_1 : bit
:= c_bit_1 ;
i_bit_2 : bit
:= c_bit_2 ;
i_severity_level_1 : severity_level
:= c_severity_level_1 ;
i_severity_level_2 : severity_level
:= c_severity_level_2 ;
i_character_1 : character
:= c_character_1 ;
i_character_2 : character
:= c_character_2 ;
i_t_enum1_1 : t_enum1
:= c_t_enum1_1 ;
i_t_enum1_2 : t_enum1
:= c_t_enum1_2 ;
i_st_enum1_1 : st_enum1
:= c_st_enum1_1 ;
i_st_enum1_2 : st_enum1
:= c_st_enum1_2 ;
i_integer_1 : integer
:= c_integer_1 ;
i_integer_2 : integer
:= c_integer_2 ;
i_t_int1_1 : t_int1
:= c_t_int1_1 ;
i_t_int1_2 : t_int1
:= c_t_int1_2 ;
i_st_int1_1 : st_int1
:= c_st_int1_1 ;
i_st_int1_2 : st_int1
:= c_st_int1_2 ;
i_time_1 : time
:= c_time_1 ;
i_time_2 : time
:= c_time_2 ;
i_t_phys1_1 : t_phys1
:= c_t_phys1_1 ;
i_t_phys1_2 : t_phys1
:= c_t_phys1_2 ;
i_st_phys1_1 : st_phys1
:= c_st_phys1_1 ;
i_st_phys1_2 : st_phys1
:= c_st_phys1_2 ;
i_real_1 : real
:= c_real_1 ;
i_real_2 : real
:= c_real_2 ;
i_t_real1_1 : t_real1
:= c_t_real1_1 ;
i_t_real1_2 : t_real1
:= c_t_real1_2 ;
i_st_real1_1 : st_real1 := c_st_real1_1 ;
i_st_real1_2 : st_real1 := c_st_real1_2
) ;
port ( locally_static_correct : out boolean ;
globally_static_correct : out boolean ;
dynamic_correct : out boolean ) ;
end ENT00306 ;
architecture ARCH00306 of ENT00306 is
begin
process
variable bool : boolean := true ;
variable cons_correct, gen_correct, dyn_correct : boolean := true ;
variable v_boolean_1 : boolean
:= c_boolean_1 ;
variable v_boolean_2 : boolean
:= c_boolean_2 ;
variable v_bit_1 : bit
:= c_bit_1 ;
variable v_bit_2 : bit
:= c_bit_2 ;
variable v_severity_level_1 : severity_level
:= c_severity_level_1 ;
variable v_severity_level_2 : severity_level
:= c_severity_level_2 ;
variable v_character_1 : character
:= c_character_1 ;
variable v_character_2 : character
:= c_character_2 ;
variable v_t_enum1_1 : t_enum1
:= c_t_enum1_1 ;
variable v_t_enum1_2 : t_enum1
:= c_t_enum1_2 ;
variable v_st_enum1_1 : st_enum1
:= c_st_enum1_1 ;
variable v_st_enum1_2 : st_enum1
:= c_st_enum1_2 ;
variable v_integer_1 : integer
:= c_integer_1 ;
variable v_integer_2 : integer
:= c_integer_2 ;
variable v_t_int1_1 : t_int1
:= c_t_int1_1 ;
variable v_t_int1_2 : t_int1
:= c_t_int1_2 ;
variable v_st_int1_1 : st_int1
:= c_st_int1_1 ;
variable v_st_int1_2 : st_int1
:= c_st_int1_2 ;
variable v_time_1 : time
:= c_time_1 ;
variable v_time_2 : time
:= c_time_2 ;
variable v_t_phys1_1 : t_phys1
:= c_t_phys1_1 ;
variable v_t_phys1_2 : t_phys1
:= c_t_phys1_2 ;
variable v_st_phys1_1 : st_phys1
:= c_st_phys1_1 ;
variable v_st_phys1_2 : st_phys1
:= c_st_phys1_2 ;
variable v_real_1 : real
:= c_real_1 ;
variable v_real_2 : real
:= c_real_2 ;
variable v_t_real1_1 : t_real1
:= c_t_real1_1 ;
variable v_t_real1_2 : t_real1
:= c_t_real1_2 ;
variable v_st_real1_1 : st_real1
:= c_st_real1_1 ;
variable v_st_real1_2 : st_real1
:= c_st_real1_2 ;
constant c2_boolean_1 : boolean :=
i_boolean_1 < i_boolean_2 and
i_boolean_1 <= i_boolean_2 and
i_boolean_2 <= c_boolean_2 and
i_boolean_2 >= i_boolean_1 and
i_boolean_1 >= c_boolean_1 and
i_boolean_2 > i_boolean_1 and
i_boolean_1 = c_boolean_1 and
i_boolean_1 /= i_boolean_2 and
not (i_boolean_1 = i_boolean_2)
;
constant c2_bit_1 : boolean :=
i_bit_1 < i_bit_2 and
i_bit_1 <= i_bit_2 and
i_bit_2 <= c_bit_2 and
i_bit_2 >= i_bit_1 and
i_bit_1 >= c_bit_1 and
i_bit_2 > i_bit_1 and
i_bit_1 = c_bit_1 and
i_bit_1 /= i_bit_2 and
not (i_bit_1 = i_bit_2)
;
constant c2_severity_level_1 : boolean :=
i_severity_level_1 < i_severity_level_2 and
i_severity_level_1 <= i_severity_level_2 and
i_severity_level_2 <= c_severity_level_2 and
i_severity_level_2 >= i_severity_level_1 and
i_severity_level_1 >= c_severity_level_1 and
i_severity_level_2 > i_severity_level_1 and
i_severity_level_1 = c_severity_level_1 and
i_severity_level_1 /= i_severity_level_2 and
not (i_severity_level_1 = i_severity_level_2)
;
constant c2_character_1 : boolean :=
i_character_1 < i_character_2 and
i_character_1 <= i_character_2 and
i_character_2 <= c_character_2 and
i_character_2 >= i_character_1 and
i_character_1 >= c_character_1 and
i_character_2 > i_character_1 and
i_character_1 = c_character_1 and
i_character_1 /= i_character_2 and
not (i_character_1 = i_character_2)
;
constant c2_t_enum1_1 : boolean :=
i_t_enum1_1 < i_t_enum1_2 and
i_t_enum1_1 <= i_t_enum1_2 and
i_t_enum1_2 <= c_t_enum1_2 and
i_t_enum1_2 >= i_t_enum1_1 and
i_t_enum1_1 >= c_t_enum1_1 and
i_t_enum1_2 > i_t_enum1_1 and
i_t_enum1_1 = c_t_enum1_1 and
i_t_enum1_1 /= i_t_enum1_2 and
not (i_t_enum1_1 = i_t_enum1_2)
;
constant c2_st_enum1_1 : boolean :=
i_st_enum1_1 < i_st_enum1_2 and
i_st_enum1_1 <= i_st_enum1_2 and
i_st_enum1_2 <= c_st_enum1_2 and
i_st_enum1_2 >= i_st_enum1_1 and
i_st_enum1_1 >= c_st_enum1_1 and
i_st_enum1_2 > i_st_enum1_1 and
i_st_enum1_1 = c_st_enum1_1 and
i_st_enum1_1 /= i_st_enum1_2 and
not (i_st_enum1_1 = i_st_enum1_2)
;
constant c2_integer_1 : boolean :=
i_integer_1 < i_integer_2 and
i_integer_1 <= i_integer_2 and
i_integer_2 <= c_integer_2 and
i_integer_2 >= i_integer_1 and
i_integer_1 >= c_integer_1 and
i_integer_2 > i_integer_1 and
i_integer_1 = c_integer_1 and
i_integer_1 /= i_integer_2 and
not (i_integer_1 = i_integer_2)
;
constant c2_t_int1_1 : boolean :=
i_t_int1_1 < i_t_int1_2 and
i_t_int1_1 <= i_t_int1_2 and
i_t_int1_2 <= c_t_int1_2 and
i_t_int1_2 >= i_t_int1_1 and
i_t_int1_1 >= c_t_int1_1 and
i_t_int1_2 > i_t_int1_1 and
i_t_int1_1 = c_t_int1_1 and
i_t_int1_1 /= i_t_int1_2 and
not (i_t_int1_1 = i_t_int1_2)
;
constant c2_st_int1_1 : boolean :=
i_st_int1_1 < i_st_int1_2 and
i_st_int1_1 <= i_st_int1_2 and
i_st_int1_2 <= c_st_int1_2 and
i_st_int1_2 >= i_st_int1_1 and
i_st_int1_1 >= c_st_int1_1 and
i_st_int1_2 > i_st_int1_1 and
i_st_int1_1 = c_st_int1_1 and
i_st_int1_1 /= i_st_int1_2 and
not (i_st_int1_1 = i_st_int1_2)
;
constant c2_time_1 : boolean :=
i_time_1 < i_time_2 and
i_time_1 <= i_time_2 and
i_time_2 <= c_time_2 and
i_time_2 >= i_time_1 and
i_time_1 >= c_time_1 and
i_time_2 > i_time_1 and
i_time_1 = c_time_1 and
i_time_1 /= i_time_2 and
not (i_time_1 = i_time_2)
;
constant c2_t_phys1_1 : boolean :=
i_t_phys1_1 < i_t_phys1_2 and
i_t_phys1_1 <= i_t_phys1_2 and
i_t_phys1_2 <= c_t_phys1_2 and
i_t_phys1_2 >= i_t_phys1_1 and
i_t_phys1_1 >= c_t_phys1_1 and
i_t_phys1_2 > i_t_phys1_1 and
i_t_phys1_1 = c_t_phys1_1 and
i_t_phys1_1 /= i_t_phys1_2 and
not (i_t_phys1_1 = i_t_phys1_2)
;
constant c2_st_phys1_1 : boolean :=
i_st_phys1_1 < i_st_phys1_2 and
i_st_phys1_1 <= i_st_phys1_2 and
i_st_phys1_2 <= c_st_phys1_2 and
i_st_phys1_2 >= i_st_phys1_1 and
i_st_phys1_1 >= c_st_phys1_1 and
i_st_phys1_2 > i_st_phys1_1 and
i_st_phys1_1 = c_st_phys1_1 and
i_st_phys1_1 /= i_st_phys1_2 and
not (i_st_phys1_1 = i_st_phys1_2)
;
constant c2_real_1 : boolean :=
i_real_1 < i_real_2 and
i_real_1 <= i_real_2 and
i_real_2 <= c_real_2 and
i_real_2 >= i_real_1 and
i_real_1 >= c_real_1 and
i_real_2 > i_real_1 and
i_real_1 = c_real_1 and
i_real_1 /= i_real_2 and
not (i_real_1 = i_real_2)
;
constant c2_t_real1_1 : boolean :=
i_t_real1_1 < i_t_real1_2 and
i_t_real1_1 <= i_t_real1_2 and
i_t_real1_2 <= c_t_real1_2 and
i_t_real1_2 >= i_t_real1_1 and
i_t_real1_1 >= c_t_real1_1 and
i_t_real1_2 > i_t_real1_1 and
i_t_real1_1 = c_t_real1_1 and
i_t_real1_1 /= i_t_real1_2 and
not (i_t_real1_1 = i_t_real1_2)
;
constant c2_st_real1_1 : boolean :=
i_st_real1_1 < i_st_real1_2 and
i_st_real1_1 <= i_st_real1_2 and
i_st_real1_2 <= c_st_real1_2 and
i_st_real1_2 >= i_st_real1_1 and
i_st_real1_1 >= c_st_real1_1 and
i_st_real1_2 > i_st_real1_1 and
i_st_real1_1 = c_st_real1_1 and
i_st_real1_1 /= i_st_real1_2 and
not (i_st_real1_1 = i_st_real1_2)
;
begin
case bool is
when (
c_boolean_1 < c_boolean_2 and
c_boolean_1 <= c_boolean_2 and
c_boolean_2 <= c_boolean_2 and
c_boolean_2 >= c_boolean_1 and
c_boolean_1 >= c_boolean_1 and
c_boolean_2 > c_boolean_1 and
c_boolean_1 = c_boolean_1 and
c_boolean_1 /= c_boolean_2 and
not (c_boolean_1 = c_boolean_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_bit_1 < c_bit_2 and
c_bit_1 <= c_bit_2 and
c_bit_2 <= c_bit_2 and
c_bit_2 >= c_bit_1 and
c_bit_1 >= c_bit_1 and
c_bit_2 > c_bit_1 and
c_bit_1 = c_bit_1 and
c_bit_1 /= c_bit_2 and
not (c_bit_1 = c_bit_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_severity_level_1 < c_severity_level_2 and
c_severity_level_1 <= c_severity_level_2 and
c_severity_level_2 <= c_severity_level_2 and
c_severity_level_2 >= c_severity_level_1 and
c_severity_level_1 >= c_severity_level_1 and
c_severity_level_2 > c_severity_level_1 and
c_severity_level_1 = c_severity_level_1 and
c_severity_level_1 /= c_severity_level_2 and
not (c_severity_level_1 = c_severity_level_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_character_1 < c_character_2 and
c_character_1 <= c_character_2 and
c_character_2 <= c_character_2 and
c_character_2 >= c_character_1 and
c_character_1 >= c_character_1 and
c_character_2 > c_character_1 and
c_character_1 = c_character_1 and
c_character_1 /= c_character_2 and
not (c_character_1 = c_character_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_t_enum1_1 < c_t_enum1_2 and
c_t_enum1_1 <= c_t_enum1_2 and
c_t_enum1_2 <= c_t_enum1_2 and
c_t_enum1_2 >= c_t_enum1_1 and
c_t_enum1_1 >= c_t_enum1_1 and
c_t_enum1_2 > c_t_enum1_1 and
c_t_enum1_1 = c_t_enum1_1 and
c_t_enum1_1 /= c_t_enum1_2 and
not (c_t_enum1_1 = c_t_enum1_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_st_enum1_1 < c_st_enum1_2 and
c_st_enum1_1 <= c_st_enum1_2 and
c_st_enum1_2 <= c_st_enum1_2 and
c_st_enum1_2 >= c_st_enum1_1 and
c_st_enum1_1 >= c_st_enum1_1 and
c_st_enum1_2 > c_st_enum1_1 and
c_st_enum1_1 = c_st_enum1_1 and
c_st_enum1_1 /= c_st_enum1_2 and
not (c_st_enum1_1 = c_st_enum1_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_integer_1 < c_integer_2 and
c_integer_1 <= c_integer_2 and
c_integer_2 <= c_integer_2 and
c_integer_2 >= c_integer_1 and
c_integer_1 >= c_integer_1 and
c_integer_2 > c_integer_1 and
c_integer_1 = c_integer_1 and
c_integer_1 /= c_integer_2 and
not (c_integer_1 = c_integer_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_t_int1_1 < c_t_int1_2 and
c_t_int1_1 <= c_t_int1_2 and
c_t_int1_2 <= c_t_int1_2 and
c_t_int1_2 >= c_t_int1_1 and
c_t_int1_1 >= c_t_int1_1 and
c_t_int1_2 > c_t_int1_1 and
c_t_int1_1 = c_t_int1_1 and
c_t_int1_1 /= c_t_int1_2 and
not (c_t_int1_1 = c_t_int1_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_st_int1_1 < c_st_int1_2 and
c_st_int1_1 <= c_st_int1_2 and
c_st_int1_2 <= c_st_int1_2 and
c_st_int1_2 >= c_st_int1_1 and
c_st_int1_1 >= c_st_int1_1 and
c_st_int1_2 > c_st_int1_1 and
c_st_int1_1 = c_st_int1_1 and
c_st_int1_1 /= c_st_int1_2 and
not (c_st_int1_1 = c_st_int1_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_time_1 < c_time_2 and
c_time_1 <= c_time_2 and
c_time_2 <= c_time_2 and
c_time_2 >= c_time_1 and
c_time_1 >= c_time_1 and
c_time_2 > c_time_1 and
c_time_1 = c_time_1 and
c_time_1 /= c_time_2 and
not (c_time_1 = c_time_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_t_phys1_1 < c_t_phys1_2 and
c_t_phys1_1 <= c_t_phys1_2 and
c_t_phys1_2 <= c_t_phys1_2 and
c_t_phys1_2 >= c_t_phys1_1 and
c_t_phys1_1 >= c_t_phys1_1 and
c_t_phys1_2 > c_t_phys1_1 and
c_t_phys1_1 = c_t_phys1_1 and
c_t_phys1_1 /= c_t_phys1_2 and
not (c_t_phys1_1 = c_t_phys1_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_st_phys1_1 < c_st_phys1_2 and
c_st_phys1_1 <= c_st_phys1_2 and
c_st_phys1_2 <= c_st_phys1_2 and
c_st_phys1_2 >= c_st_phys1_1 and
c_st_phys1_1 >= c_st_phys1_1 and
c_st_phys1_2 > c_st_phys1_1 and
c_st_phys1_1 = c_st_phys1_1 and
c_st_phys1_1 /= c_st_phys1_2 and
not (c_st_phys1_1 = c_st_phys1_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_real_1 < c_real_2 and
c_real_1 <= c_real_2 and
c_real_2 <= c_real_2 and
c_real_2 >= c_real_1 and
c_real_1 >= c_real_1 and
c_real_2 > c_real_1 and
c_real_1 = c_real_1 and
c_real_1 /= c_real_2 and
not (c_real_1 = c_real_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_t_real1_1 < c_t_real1_2 and
c_t_real1_1 <= c_t_real1_2 and
c_t_real1_2 <= c_t_real1_2 and
c_t_real1_2 >= c_t_real1_1 and
c_t_real1_1 >= c_t_real1_1 and
c_t_real1_2 > c_t_real1_1 and
c_t_real1_1 = c_t_real1_1 and
c_t_real1_1 /= c_t_real1_2 and
not (c_t_real1_1 = c_t_real1_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
case bool is
when (
c_st_real1_1 < c_st_real1_2 and
c_st_real1_1 <= c_st_real1_2 and
c_st_real1_2 <= c_st_real1_2 and
c_st_real1_2 >= c_st_real1_1 and
c_st_real1_1 >= c_st_real1_1 and
c_st_real1_2 > c_st_real1_1 and
c_st_real1_1 = c_st_real1_1 and
c_st_real1_1 /= c_st_real1_2 and
not (c_st_real1_1 = c_st_real1_2)
) =>
cons_correct := cons_correct and true ;
when others =>
cons_correct := false ;
end case ;
gen_correct := gen_correct and c2_boolean_1 = true ;
gen_correct := gen_correct and c2_bit_1 = true ;
gen_correct := gen_correct and c2_severity_level_1 = true ;
gen_correct := gen_correct and c2_character_1 = true ;
gen_correct := gen_correct and c2_t_enum1_1 = true ;
gen_correct := gen_correct and c2_st_enum1_1 = true ;
gen_correct := gen_correct and c2_integer_1 = true ;
gen_correct := gen_correct and c2_t_int1_1 = true ;
gen_correct := gen_correct and c2_st_int1_1 = true ;
gen_correct := gen_correct and c2_time_1 = true ;
gen_correct := gen_correct and c2_t_phys1_1 = true ;
gen_correct := gen_correct and c2_st_phys1_1 = true ;
gen_correct := gen_correct and c2_real_1 = true ;
gen_correct := gen_correct and c2_t_real1_1 = true ;
gen_correct := gen_correct and c2_st_real1_1 = true ;
dyn_correct := dyn_correct and
v_boolean_1 < v_boolean_2 and
v_boolean_1 <= v_boolean_2 and
v_boolean_2 <= c_boolean_2 and
v_boolean_2 >= v_boolean_1 and
v_boolean_1 >= c_boolean_1 and
v_boolean_2 > v_boolean_1 and
v_boolean_1 = c_boolean_1 and
v_boolean_1 /= v_boolean_2 and
not (v_boolean_1 = v_boolean_2)
;
dyn_correct := dyn_correct and
v_bit_1 < v_bit_2 and
v_bit_1 <= v_bit_2 and
v_bit_2 <= c_bit_2 and
v_bit_2 >= v_bit_1 and
v_bit_1 >= c_bit_1 and
v_bit_2 > v_bit_1 and
v_bit_1 = c_bit_1 and
v_bit_1 /= v_bit_2 and
not (v_bit_1 = v_bit_2)
;
dyn_correct := dyn_correct and
v_severity_level_1 < v_severity_level_2 and
v_severity_level_1 <= v_severity_level_2 and
v_severity_level_2 <= c_severity_level_2 and
v_severity_level_2 >= v_severity_level_1 and
v_severity_level_1 >= c_severity_level_1 and
v_severity_level_2 > v_severity_level_1 and
v_severity_level_1 = c_severity_level_1 and
v_severity_level_1 /= v_severity_level_2 and
not (v_severity_level_1 = v_severity_level_2)
;
dyn_correct := dyn_correct and
v_character_1 < v_character_2 and
v_character_1 <= v_character_2 and
v_character_2 <= c_character_2 and
v_character_2 >= v_character_1 and
v_character_1 >= c_character_1 and
v_character_2 > v_character_1 and
v_character_1 = c_character_1 and
v_character_1 /= v_character_2 and
not (v_character_1 = v_character_2)
;
dyn_correct := dyn_correct and
v_t_enum1_1 < v_t_enum1_2 and
v_t_enum1_1 <= v_t_enum1_2 and
v_t_enum1_2 <= c_t_enum1_2 and
v_t_enum1_2 >= v_t_enum1_1 and
v_t_enum1_1 >= c_t_enum1_1 and
v_t_enum1_2 > v_t_enum1_1 and
v_t_enum1_1 = c_t_enum1_1 and
v_t_enum1_1 /= v_t_enum1_2 and
not (v_t_enum1_1 = v_t_enum1_2)
;
dyn_correct := dyn_correct and
v_st_enum1_1 < v_st_enum1_2 and
v_st_enum1_1 <= v_st_enum1_2 and
v_st_enum1_2 <= c_st_enum1_2 and
v_st_enum1_2 >= v_st_enum1_1 and
v_st_enum1_1 >= c_st_enum1_1 and
v_st_enum1_2 > v_st_enum1_1 and
v_st_enum1_1 = c_st_enum1_1 and
v_st_enum1_1 /= v_st_enum1_2 and
not (v_st_enum1_1 = v_st_enum1_2)
;
dyn_correct := dyn_correct and
v_integer_1 < v_integer_2 and
v_integer_1 <= v_integer_2 and
v_integer_2 <= c_integer_2 and
v_integer_2 >= v_integer_1 and
v_integer_1 >= c_integer_1 and
v_integer_2 > v_integer_1 and
v_integer_1 = c_integer_1 and
v_integer_1 /= v_integer_2 and
not (v_integer_1 = v_integer_2)
;
dyn_correct := dyn_correct and
v_t_int1_1 < v_t_int1_2 and
v_t_int1_1 <= v_t_int1_2 and
v_t_int1_2 <= c_t_int1_2 and
v_t_int1_2 >= v_t_int1_1 and
v_t_int1_1 >= c_t_int1_1 and
v_t_int1_2 > v_t_int1_1 and
v_t_int1_1 = c_t_int1_1 and
v_t_int1_1 /= v_t_int1_2 and
not (v_t_int1_1 = v_t_int1_2)
;
dyn_correct := dyn_correct and
v_st_int1_1 < v_st_int1_2 and
v_st_int1_1 <= v_st_int1_2 and
v_st_int1_2 <= c_st_int1_2 and
v_st_int1_2 >= v_st_int1_1 and
v_st_int1_1 >= c_st_int1_1 and
v_st_int1_2 > v_st_int1_1 and
v_st_int1_1 = c_st_int1_1 and
v_st_int1_1 /= v_st_int1_2 and
not (v_st_int1_1 = v_st_int1_2)
;
dyn_correct := dyn_correct and
v_time_1 < v_time_2 and
v_time_1 <= v_time_2 and
v_time_2 <= c_time_2 and
v_time_2 >= v_time_1 and
v_time_1 >= c_time_1 and
v_time_2 > v_time_1 and
v_time_1 = c_time_1 and
v_time_1 /= v_time_2 and
not (v_time_1 = v_time_2)
;
dyn_correct := dyn_correct and
v_t_phys1_1 < v_t_phys1_2 and
v_t_phys1_1 <= v_t_phys1_2 and
v_t_phys1_2 <= c_t_phys1_2 and
v_t_phys1_2 >= v_t_phys1_1 and
v_t_phys1_1 >= c_t_phys1_1 and
v_t_phys1_2 > v_t_phys1_1 and
v_t_phys1_1 = c_t_phys1_1 and
v_t_phys1_1 /= v_t_phys1_2 and
not (v_t_phys1_1 = v_t_phys1_2)
;
dyn_correct := dyn_correct and
v_st_phys1_1 < v_st_phys1_2 and
v_st_phys1_1 <= v_st_phys1_2 and
v_st_phys1_2 <= c_st_phys1_2 and
v_st_phys1_2 >= v_st_phys1_1 and
v_st_phys1_1 >= c_st_phys1_1 and
v_st_phys1_2 > v_st_phys1_1 and
v_st_phys1_1 = c_st_phys1_1 and
v_st_phys1_1 /= v_st_phys1_2 and
not (v_st_phys1_1 = v_st_phys1_2)
;
dyn_correct := dyn_correct and
v_real_1 < v_real_2 and
v_real_1 <= v_real_2 and
v_real_2 <= c_real_2 and
v_real_2 >= v_real_1 and
v_real_1 >= c_real_1 and
v_real_2 > v_real_1 and
v_real_1 = c_real_1 and
v_real_1 /= v_real_2 and
not (v_real_1 = v_real_2)
;
dyn_correct := dyn_correct and
v_t_real1_1 < v_t_real1_2 and
v_t_real1_1 <= v_t_real1_2 and
v_t_real1_2 <= c_t_real1_2 and
v_t_real1_2 >= v_t_real1_1 and
v_t_real1_1 >= c_t_real1_1 and
v_t_real1_2 > v_t_real1_1 and
v_t_real1_1 = c_t_real1_1 and
v_t_real1_1 /= v_t_real1_2 and
not (v_t_real1_1 = v_t_real1_2)
;
dyn_correct := dyn_correct and
v_st_real1_1 < v_st_real1_2 and
v_st_real1_1 <= v_st_real1_2 and
v_st_real1_2 <= c_st_real1_2 and
v_st_real1_2 >= v_st_real1_1 and
v_st_real1_1 >= c_st_real1_1 and
v_st_real1_2 > v_st_real1_1 and
v_st_real1_1 = c_st_real1_1 and
v_st_real1_1 /= v_st_real1_2 and
not (v_st_real1_1 = v_st_real1_2)
;
locally_static_correct <= cons_correct ;
globally_static_correct <= gen_correct ;
dynamic_correct <= dyn_correct ;
wait;
end process ;
end ARCH00306 ;
architecture ARCH00306_1 of GENERIC_STANDARD_TYPES is
begin
B : block
generic (
i_boolean_1 : boolean
:= c_boolean_1 ;
i_boolean_2 : boolean
:= c_boolean_2 ;
i_bit_1 : bit
:= c_bit_1 ;
i_bit_2 : bit
:= c_bit_2 ;
i_severity_level_1 : severity_level
:= c_severity_level_1 ;
i_severity_level_2 : severity_level
:= c_severity_level_2 ;
i_character_1 : character
:= c_character_1 ;
i_character_2 : character
:= c_character_2 ;
i_t_enum1_1 : t_enum1
:= c_t_enum1_1 ;
i_t_enum1_2 : t_enum1
:= c_t_enum1_2 ;
i_st_enum1_1 : st_enum1
:= c_st_enum1_1 ;
i_st_enum1_2 : st_enum1
:= c_st_enum1_2 ;
i_integer_1 : integer
:= c_integer_1 ;
i_integer_2 : integer
:= c_integer_2 ;
i_t_int1_1 : t_int1
:= c_t_int1_1 ;
i_t_int1_2 : t_int1
:= c_t_int1_2 ;
i_st_int1_1 : st_int1
:= c_st_int1_1 ;
i_st_int1_2 : st_int1
:= c_st_int1_2 ;
i_time_1 : time
:= c_time_1 ;
i_time_2 : time
:= c_time_2 ;
i_t_phys1_1 : t_phys1
:= c_t_phys1_1 ;
i_t_phys1_2 : t_phys1
:= c_t_phys1_2 ;
i_st_phys1_1 : st_phys1
:= c_st_phys1_1 ;
i_st_phys1_2 : st_phys1
:= c_st_phys1_2 ;
i_real_1 : real
:= c_real_1 ;
i_real_2 : real
:= c_real_2 ;
i_t_real1_1 : t_real1
:= c_t_real1_1 ;
i_t_real1_2 : t_real1
:= c_t_real1_2 ;
i_st_real1_1 : st_real1 := c_st_real1_1 ;
i_st_real1_2 : st_real1 := c_st_real1_2
) ;
begin
process
variable bool : boolean := true ;
variable gen_correct, dyn_correct : boolean := true ;
variable v_boolean_1 : boolean
:= c_boolean_1 ;
variable v_boolean_2 : boolean
:= c_boolean_2 ;
variable v_bit_1 : bit
:= c_bit_1 ;
variable v_bit_2 : bit
:= c_bit_2 ;
variable v_severity_level_1 : severity_level
:= c_severity_level_1 ;
variable v_severity_level_2 : severity_level
:= c_severity_level_2 ;
variable v_character_1 : character
:= c_character_1 ;
variable v_character_2 : character
:= c_character_2 ;
variable v_t_enum1_1 : t_enum1
:= c_t_enum1_1 ;
variable v_t_enum1_2 : t_enum1
:= c_t_enum1_2 ;
variable v_st_enum1_1 : st_enum1
:= c_st_enum1_1 ;
variable v_st_enum1_2 : st_enum1
:= c_st_enum1_2 ;
variable v_integer_1 : integer
:= c_integer_1 ;
variable v_integer_2 : integer
:= c_integer_2 ;
variable v_t_int1_1 : t_int1
:= c_t_int1_1 ;
variable v_t_int1_2 : t_int1
:= c_t_int1_2 ;
variable v_st_int1_1 : st_int1
:= c_st_int1_1 ;
variable v_st_int1_2 : st_int1
:= c_st_int1_2 ;
variable v_time_1 : time
:= c_time_1 ;
variable v_time_2 : time
:= c_time_2 ;
variable v_t_phys1_1 : t_phys1
:= c_t_phys1_1 ;
variable v_t_phys1_2 : t_phys1
:= c_t_phys1_2 ;
variable v_st_phys1_1 : st_phys1
:= c_st_phys1_1 ;
variable v_st_phys1_2 : st_phys1
:= c_st_phys1_2 ;
variable v_real_1 : real
:= c_real_1 ;
variable v_real_2 : real
:= c_real_2 ;
variable v_t_real1_1 : t_real1
:= c_t_real1_1 ;
variable v_t_real1_2 : t_real1
:= c_t_real1_2 ;
variable v_st_real1_1 : st_real1
:= c_st_real1_1 ;
variable v_st_real1_2 : st_real1
:= c_st_real1_2 ;
constant c2_boolean_1 : boolean :=
i_boolean_1 < i_boolean_2 and
i_boolean_1 <= i_boolean_2 and
i_boolean_2 <= c_boolean_2 and
i_boolean_2 >= i_boolean_1 and
i_boolean_1 >= c_boolean_1 and
i_boolean_2 > i_boolean_1 and
i_boolean_1 = c_boolean_1 and
i_boolean_1 /= i_boolean_2 and
not (i_boolean_1 = i_boolean_2)
;
constant c2_bit_1 : boolean :=
i_bit_1 < i_bit_2 and
i_bit_1 <= i_bit_2 and
i_bit_2 <= c_bit_2 and
i_bit_2 >= i_bit_1 and
i_bit_1 >= c_bit_1 and
i_bit_2 > i_bit_1 and
i_bit_1 = c_bit_1 and
i_bit_1 /= i_bit_2 and
not (i_bit_1 = i_bit_2)
;
constant c2_severity_level_1 : boolean :=
i_severity_level_1 < i_severity_level_2 and
i_severity_level_1 <= i_severity_level_2 and
i_severity_level_2 <= c_severity_level_2 and
i_severity_level_2 >= i_severity_level_1 and
i_severity_level_1 >= c_severity_level_1 and
i_severity_level_2 > i_severity_level_1 and
i_severity_level_1 = c_severity_level_1 and
i_severity_level_1 /= i_severity_level_2 and
not (i_severity_level_1 = i_severity_level_2)
;
constant c2_character_1 : boolean :=
i_character_1 < i_character_2 and
i_character_1 <= i_character_2 and
i_character_2 <= c_character_2 and
i_character_2 >= i_character_1 and
i_character_1 >= c_character_1 and
i_character_2 > i_character_1 and
i_character_1 = c_character_1 and
i_character_1 /= i_character_2 and
not (i_character_1 = i_character_2)
;
constant c2_t_enum1_1 : boolean :=
i_t_enum1_1 < i_t_enum1_2 and
i_t_enum1_1 <= i_t_enum1_2 and
i_t_enum1_2 <= c_t_enum1_2 and
i_t_enum1_2 >= i_t_enum1_1 and
i_t_enum1_1 >= c_t_enum1_1 and
i_t_enum1_2 > i_t_enum1_1 and
i_t_enum1_1 = c_t_enum1_1 and
i_t_enum1_1 /= i_t_enum1_2 and
not (i_t_enum1_1 = i_t_enum1_2)
;
constant c2_st_enum1_1 : boolean :=
i_st_enum1_1 < i_st_enum1_2 and
i_st_enum1_1 <= i_st_enum1_2 and
i_st_enum1_2 <= c_st_enum1_2 and
i_st_enum1_2 >= i_st_enum1_1 and
i_st_enum1_1 >= c_st_enum1_1 and
i_st_enum1_2 > i_st_enum1_1 and
i_st_enum1_1 = c_st_enum1_1 and
i_st_enum1_1 /= i_st_enum1_2 and
not (i_st_enum1_1 = i_st_enum1_2)
;
constant c2_integer_1 : boolean :=
i_integer_1 < i_integer_2 and
i_integer_1 <= i_integer_2 and
i_integer_2 <= c_integer_2 and
i_integer_2 >= i_integer_1 and
i_integer_1 >= c_integer_1 and
i_integer_2 > i_integer_1 and
i_integer_1 = c_integer_1 and
i_integer_1 /= i_integer_2 and
not (i_integer_1 = i_integer_2)
;
constant c2_t_int1_1 : boolean :=
i_t_int1_1 < i_t_int1_2 and
i_t_int1_1 <= i_t_int1_2 and
i_t_int1_2 <= c_t_int1_2 and
i_t_int1_2 >= i_t_int1_1 and
i_t_int1_1 >= c_t_int1_1 and
i_t_int1_2 > i_t_int1_1 and
i_t_int1_1 = c_t_int1_1 and
i_t_int1_1 /= i_t_int1_2 and
not (i_t_int1_1 = i_t_int1_2)
;
constant c2_st_int1_1 : boolean :=
i_st_int1_1 < i_st_int1_2 and
i_st_int1_1 <= i_st_int1_2 and
i_st_int1_2 <= c_st_int1_2 and
i_st_int1_2 >= i_st_int1_1 and
i_st_int1_1 >= c_st_int1_1 and
i_st_int1_2 > i_st_int1_1 and
i_st_int1_1 = c_st_int1_1 and
i_st_int1_1 /= i_st_int1_2 and
not (i_st_int1_1 = i_st_int1_2)
;
constant c2_time_1 : boolean :=
i_time_1 < i_time_2 and
i_time_1 <= i_time_2 and
i_time_2 <= c_time_2 and
i_time_2 >= i_time_1 and
i_time_1 >= c_time_1 and
i_time_2 > i_time_1 and
i_time_1 = c_time_1 and
i_time_1 /= i_time_2 and
not (i_time_1 = i_time_2)
;
constant c2_t_phys1_1 : boolean :=
i_t_phys1_1 < i_t_phys1_2 and
i_t_phys1_1 <= i_t_phys1_2 and
i_t_phys1_2 <= c_t_phys1_2 and
i_t_phys1_2 >= i_t_phys1_1 and
i_t_phys1_1 >= c_t_phys1_1 and
i_t_phys1_2 > i_t_phys1_1 and
i_t_phys1_1 = c_t_phys1_1 and
i_t_phys1_1 /= i_t_phys1_2 and
not (i_t_phys1_1 = i_t_phys1_2)
;
constant c2_st_phys1_1 : boolean :=
i_st_phys1_1 < i_st_phys1_2 and
i_st_phys1_1 <= i_st_phys1_2 and
i_st_phys1_2 <= c_st_phys1_2 and
i_st_phys1_2 >= i_st_phys1_1 and
i_st_phys1_1 >= c_st_phys1_1 and
i_st_phys1_2 > i_st_phys1_1 and
i_st_phys1_1 = c_st_phys1_1 and
i_st_phys1_1 /= i_st_phys1_2 and
not (i_st_phys1_1 = i_st_phys1_2)
;
constant c2_real_1 : boolean :=
i_real_1 < i_real_2 and
i_real_1 <= i_real_2 and
i_real_2 <= c_real_2 and
i_real_2 >= i_real_1 and
i_real_1 >= c_real_1 and
i_real_2 > i_real_1 and
i_real_1 = c_real_1 and
i_real_1 /= i_real_2 and
not (i_real_1 = i_real_2)
;
constant c2_t_real1_1 : boolean :=
i_t_real1_1 < i_t_real1_2 and
i_t_real1_1 <= i_t_real1_2 and
i_t_real1_2 <= c_t_real1_2 and
i_t_real1_2 >= i_t_real1_1 and
i_t_real1_1 >= c_t_real1_1 and
i_t_real1_2 > i_t_real1_1 and
i_t_real1_1 = c_t_real1_1 and
i_t_real1_1 /= i_t_real1_2 and
not (i_t_real1_1 = i_t_real1_2)
;
constant c2_st_real1_1 : boolean :=
i_st_real1_1 < i_st_real1_2 and
i_st_real1_1 <= i_st_real1_2 and
i_st_real1_2 <= c_st_real1_2 and
i_st_real1_2 >= i_st_real1_1 and
i_st_real1_1 >= c_st_real1_1 and
i_st_real1_2 > i_st_real1_1 and
i_st_real1_1 = c_st_real1_1 and
i_st_real1_1 /= i_st_real1_2 and
not (i_st_real1_1 = i_st_real1_2)
;
begin
dyn_correct := dyn_correct and
v_boolean_1 < v_boolean_2 and
v_boolean_1 <= v_boolean_2 and
v_boolean_2 <= c_boolean_2 and
v_boolean_2 >= v_boolean_1 and
v_boolean_1 >= c_boolean_1 and
v_boolean_2 > v_boolean_1 and
v_boolean_1 = c_boolean_1 and
v_boolean_1 /= v_boolean_2 and
not (v_boolean_1 = v_boolean_2)
;
dyn_correct := dyn_correct and
v_bit_1 < v_bit_2 and
v_bit_1 <= v_bit_2 and
v_bit_2 <= c_bit_2 and
v_bit_2 >= v_bit_1 and
v_bit_1 >= c_bit_1 and
v_bit_2 > v_bit_1 and
v_bit_1 = c_bit_1 and
v_bit_1 /= v_bit_2 and
not (v_bit_1 = v_bit_2)
;
dyn_correct := dyn_correct and
v_severity_level_1 < v_severity_level_2 and
v_severity_level_1 <= v_severity_level_2 and
v_severity_level_2 <= c_severity_level_2 and
v_severity_level_2 >= v_severity_level_1 and
v_severity_level_1 >= c_severity_level_1 and
v_severity_level_2 > v_severity_level_1 and
v_severity_level_1 = c_severity_level_1 and
v_severity_level_1 /= v_severity_level_2 and
not (v_severity_level_1 = v_severity_level_2)
;
dyn_correct := dyn_correct and
v_character_1 < v_character_2 and
v_character_1 <= v_character_2 and
v_character_2 <= c_character_2 and
v_character_2 >= v_character_1 and
v_character_1 >= c_character_1 and
v_character_2 > v_character_1 and
v_character_1 = c_character_1 and
v_character_1 /= v_character_2 and
not (v_character_1 = v_character_2)
;
dyn_correct := dyn_correct and
v_t_enum1_1 < v_t_enum1_2 and
v_t_enum1_1 <= v_t_enum1_2 and
v_t_enum1_2 <= c_t_enum1_2 and
v_t_enum1_2 >= v_t_enum1_1 and
v_t_enum1_1 >= c_t_enum1_1 and
v_t_enum1_2 > v_t_enum1_1 and
v_t_enum1_1 = c_t_enum1_1 and
v_t_enum1_1 /= v_t_enum1_2 and
not (v_t_enum1_1 = v_t_enum1_2)
;
dyn_correct := dyn_correct and
v_st_enum1_1 < v_st_enum1_2 and
v_st_enum1_1 <= v_st_enum1_2 and
v_st_enum1_2 <= c_st_enum1_2 and
v_st_enum1_2 >= v_st_enum1_1 and
v_st_enum1_1 >= c_st_enum1_1 and
v_st_enum1_2 > v_st_enum1_1 and
v_st_enum1_1 = c_st_enum1_1 and
v_st_enum1_1 /= v_st_enum1_2 and
not (v_st_enum1_1 = v_st_enum1_2)
;
dyn_correct := dyn_correct and
v_integer_1 < v_integer_2 and
v_integer_1 <= v_integer_2 and
v_integer_2 <= c_integer_2 and
v_integer_2 >= v_integer_1 and
v_integer_1 >= c_integer_1 and
v_integer_2 > v_integer_1 and
v_integer_1 = c_integer_1 and
v_integer_1 /= v_integer_2 and
not (v_integer_1 = v_integer_2)
;
dyn_correct := dyn_correct and
v_t_int1_1 < v_t_int1_2 and
v_t_int1_1 <= v_t_int1_2 and
v_t_int1_2 <= c_t_int1_2 and
v_t_int1_2 >= v_t_int1_1 and
v_t_int1_1 >= c_t_int1_1 and
v_t_int1_2 > v_t_int1_1 and
v_t_int1_1 = c_t_int1_1 and
v_t_int1_1 /= v_t_int1_2 and
not (v_t_int1_1 = v_t_int1_2)
;
dyn_correct := dyn_correct and
v_st_int1_1 < v_st_int1_2 and
v_st_int1_1 <= v_st_int1_2 and
v_st_int1_2 <= c_st_int1_2 and
v_st_int1_2 >= v_st_int1_1 and
v_st_int1_1 >= c_st_int1_1 and
v_st_int1_2 > v_st_int1_1 and
v_st_int1_1 = c_st_int1_1 and
v_st_int1_1 /= v_st_int1_2 and
not (v_st_int1_1 = v_st_int1_2)
;
dyn_correct := dyn_correct and
v_time_1 < v_time_2 and
v_time_1 <= v_time_2 and
v_time_2 <= c_time_2 and
v_time_2 >= v_time_1 and
v_time_1 >= c_time_1 and
v_time_2 > v_time_1 and
v_time_1 = c_time_1 and
v_time_1 /= v_time_2 and
not (v_time_1 = v_time_2)
;
dyn_correct := dyn_correct and
v_t_phys1_1 < v_t_phys1_2 and
v_t_phys1_1 <= v_t_phys1_2 and
v_t_phys1_2 <= c_t_phys1_2 and
v_t_phys1_2 >= v_t_phys1_1 and
v_t_phys1_1 >= c_t_phys1_1 and
v_t_phys1_2 > v_t_phys1_1 and
v_t_phys1_1 = c_t_phys1_1 and
v_t_phys1_1 /= v_t_phys1_2 and
not (v_t_phys1_1 = v_t_phys1_2)
;
dyn_correct := dyn_correct and
v_st_phys1_1 < v_st_phys1_2 and
v_st_phys1_1 <= v_st_phys1_2 and
v_st_phys1_2 <= c_st_phys1_2 and
v_st_phys1_2 >= v_st_phys1_1 and
v_st_phys1_1 >= c_st_phys1_1 and
v_st_phys1_2 > v_st_phys1_1 and
v_st_phys1_1 = c_st_phys1_1 and
v_st_phys1_1 /= v_st_phys1_2 and
not (v_st_phys1_1 = v_st_phys1_2)
;
dyn_correct := dyn_correct and
v_real_1 < v_real_2 and
v_real_1 <= v_real_2 and
v_real_2 <= c_real_2 and
v_real_2 >= v_real_1 and
v_real_1 >= c_real_1 and
v_real_2 > v_real_1 and
v_real_1 = c_real_1 and
v_real_1 /= v_real_2 and
not (v_real_1 = v_real_2)
;
dyn_correct := dyn_correct and
v_t_real1_1 < v_t_real1_2 and
v_t_real1_1 <= v_t_real1_2 and
v_t_real1_2 <= c_t_real1_2 and
v_t_real1_2 >= v_t_real1_1 and
v_t_real1_1 >= c_t_real1_1 and
v_t_real1_2 > v_t_real1_1 and
v_t_real1_1 = c_t_real1_1 and
v_t_real1_1 /= v_t_real1_2 and
not (v_t_real1_1 = v_t_real1_2)
;
dyn_correct := dyn_correct and
v_st_real1_1 < v_st_real1_2 and
v_st_real1_1 <= v_st_real1_2 and
v_st_real1_2 <= c_st_real1_2 and
v_st_real1_2 >= v_st_real1_1 and
v_st_real1_1 >= c_st_real1_1 and
v_st_real1_2 > v_st_real1_1 and
v_st_real1_1 = c_st_real1_1 and
v_st_real1_1 /= v_st_real1_2 and
not (v_st_real1_1 = v_st_real1_2)
;
if gen_correct and dyn_correct then
work.standard_types.test_report ( "ARCH&(TEST_NUM)" ,
"Relational operators are correctly predefined"
& " for generically sized types" ,
true ) ;
else
work.standard_types.test_report ( "ARCH&(TEST_NUM)" ,
"Relational operators are correctly predefined"
& " for generically sized types" ,
false ) ;
end if ;
wait;
end process ;
end block ;
end ARCH00306_1 ;
use WORK.STANDARD_TYPES.all ;
entity ENT00306_Test_Bench is
end ENT00306_Test_Bench ;
architecture ARCH00306_Test_Bench of ENT00306_Test_Bench is
begin
L1:
block
signal locally_static_correct, globally_static_correct,
dynamic_correct : boolean := false ;
component UUT
end component ;
component UUT_1
port ( locally_static_correct, globally_static_correct,
dynamic_correct : out boolean ) ;
end component ;
for CIS2 : UUT_1 use entity WORK.ENT00306 ( ARCH00306 ) ;
for CIS1 : UUT use entity
WORK.GENERIC_STANDARD_TYPES ( ARCH00306_1 ) ;
begin
CIS2 : UUT_1
port map ( locally_static_correct,
globally_static_correct,
dynamic_correct ) ;
CIS1 : UUT ;
process ( locally_static_correct, globally_static_correct,
dynamic_correct )
begin
if locally_static_correct and globally_static_correct and
dynamic_correct then
test_report ( "ARCH&(TEST_NUM)" ,
"Relational operators are correctly predefined"
& " for types" ,
true ) ;
end if ;
end process ;
end block L1 ;
end ARCH00306_Test_Bench ;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: VGA_BUFFER_RAM_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY VGA_BUFFER_RAM_exdes IS
PORT (
--Inputs - Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END VGA_BUFFER_RAM_exdes;
ARCHITECTURE xilinx OF VGA_BUFFER_RAM_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT VGA_BUFFER_RAM IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bufg_B : BUFG
PORT MAP (
I => CLKB,
O => CLKB_buf
);
bmg0 : VGA_BUFFER_RAM
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA_buf,
--Port B
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB_buf
);
END xilinx;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:05:13 11/17/2013
-- Design Name:
-- Module Name: My_And_948282 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity My_And_948282 is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
R : out STD_LOGIC);
end My_And_948282;
architecture Behavioral of My_And_948282 is
component myNanddown_948282 is
Port ( i0 : in STD_LOGIC;
i1 : in STD_LOGIC;
o1 : out STD_LOGIC);
end component;
component myNOT_948282 is
Port ( i1 : in STD_LOGIC;
o1 : out STD_LOGIC);
end component;
signal sig1: std_logic;
begin
u0: myNanddown_948282 port map (i0=>A, i1=>B, o1=>sig1);
u1: myNOT_948282 port map (i1=>sig1, o1=>R);
end Behavioral;
|
-- #################################################################################################
-- # << NEO430 - Universal Asynchronous Receiver and Transmitter >> #
-- # ********************************************************************************************* #
-- # Fixed frame config: 8-bit, no parity bit, 1 stop bit, variable BAUD rate. #
-- # Interrupt: UART_RX_available [OR] UART_TX_done #
-- # ********************************************************************************************* #
-- # BSD 3-Clause License #
-- # #
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved. #
-- # #
-- # Redistribution and use in source and binary forms, with or without modification, are #
-- # permitted provided that the following conditions are met: #
-- # #
-- # 1. Redistributions of source code must retain the above copyright notice, this list of #
-- # conditions and the following disclaimer. #
-- # #
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of #
-- # conditions and the following disclaimer in the documentation and/or other materials #
-- # provided with the distribution. #
-- # #
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to #
-- # endorse or promote products derived from this software without specific prior written #
-- # permission. #
-- # #
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS #
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF #
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE #
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, #
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED #
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING #
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED #
-- # OF THE POSSIBILITY OF SUCH DAMAGE. #
-- # ********************************************************************************************* #
-- # The NEO430 Processor - https://github.com/stnolting/neo430 #
-- #################################################################################################
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library neo430;
use neo430.neo430_package.all;
entity neo430_uart is
port (
-- host access --
clk_i : in std_ulogic; -- global clock line
rden_i : in std_ulogic; -- read enable
wren_i : in std_ulogic; -- write enable
addr_i : in std_ulogic_vector(15 downto 0); -- address
data_i : in std_ulogic_vector(15 downto 0); -- data in
data_o : out std_ulogic_vector(15 downto 0); -- data out
-- clock generator --
clkgen_en_o : out std_ulogic; -- enable clock generator
clkgen_i : in std_ulogic_vector(07 downto 0);
-- com lines --
uart_txd_o : out std_ulogic;
uart_rxd_i : in std_ulogic;
-- interrupts --
uart_irq_o : out std_ulogic -- uart rx/tx interrupt
);
end neo430_uart;
architecture neo430_uart_rtl of neo430_uart is
-- IO space: module base address --
constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
constant lo_abb_c : natural := index_size_f(uart_size_c); -- low address boundary bit
-- accessible regs --
signal ctrl : std_ulogic_vector(15 downto 0);
-- control reg bits --
constant ctrl_uart_baud0_c : natural := 0; -- r/w: UART baud config bit 0
constant ctrl_uart_baud1_c : natural := 1; -- r/w: UART baud config bit 1
constant ctrl_uart_baud2_c : natural := 2; -- r/w: UART baud config bit 2
constant ctrl_uart_baud3_c : natural := 3; -- r/w: UART baud config bit 3
constant ctrl_uart_baud4_c : natural := 4; -- r/w: UART baud config bit 4
constant ctrl_uart_baud5_c : natural := 5; -- r/w: UART baud config bit 5
constant ctrl_uart_baud6_c : natural := 6; -- r/w: UART baud config bit 6
constant ctrl_uart_baud7_c : natural := 7; -- r/w: UART baud config bit 7
constant ctrl_uart_prsc0_c : natural := 8; -- r/w: UART baud prsc bit 0
constant ctrl_uart_prsc1_c : natural := 9; -- r/w: UART baud prsc bit 1
constant ctrl_uart_prsc2_c : natural := 10; -- r/w: UART baud prsc bit 2
constant ctrl_uart_rxovr_c : natural := 11; -- r/-: UART RX overrun
constant ctrl_uart_en_c : natural := 12; -- r/w: UART enable
constant ctrl_uart_rx_irq_c : natural := 13; -- r/w: UART rx done interrupt enable
constant ctrl_uart_tx_irq_c : natural := 14; -- r/w: UART tx done interrupt enable
constant ctrl_uart_tx_busy_c : natural := 15; -- r/-: UART transmitter is busy
-- data register flags --
constant data_rx_avail_c : natural := 15; -- r/-: Rx data available/valid
-- access control --
signal acc_en : std_ulogic; -- module access enable
signal addr : std_ulogic_vector(15 downto 0); -- access address
signal wr_en : std_ulogic; -- word write enable
signal rd_en : std_ulogic; -- read enable
-- clock generator --
signal uart_clk : std_ulogic;
-- uart tx unit --
signal uart_tx_busy : std_ulogic;
signal uart_tx_done : std_ulogic;
signal uart_tx_bitcnt : std_ulogic_vector(3 downto 0);
signal uart_tx_sreg : std_ulogic_vector(9 downto 0);
signal uart_tx_baud_cnt : std_ulogic_vector(7 downto 0);
-- uart rx unit --
signal uart_rx_sync : std_ulogic_vector(4 downto 0);
signal uart_rx_avail : std_ulogic_vector(1 downto 0);
signal uart_rx_busy : std_ulogic;
signal uart_rx_busy_ff : std_ulogic;
signal uart_rx_bitcnt : std_ulogic_vector(3 downto 0);
signal uart_rx_sreg : std_ulogic_vector(8 downto 0);
signal uart_rx_reg : std_ulogic_vector(7 downto 0);
signal uart_rx_baud_cnt : std_ulogic_vector(7 downto 0);
begin
-- Access Control -----------------------------------------------------------
-- -----------------------------------------------------------------------------
acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = uart_base_c(hi_abb_c downto lo_abb_c)) else '0';
addr <= uart_base_c(15 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 1) & '0'; -- word aligned
wr_en <= acc_en and wren_i;
rd_en <= acc_en and rden_i;
-- Write access -------------------------------------------------------------
-- -----------------------------------------------------------------------------
wr_access: process(clk_i)
begin
if rising_edge(clk_i) then
if (wr_en = '1') then
if (addr = uart_ctrl_addr_c) then
ctrl <= data_i;
end if;
end if;
end if;
end process wr_access;
-- Clock Selection ----------------------------------------------------------
-- -----------------------------------------------------------------------------
-- clock enable --
clkgen_en_o <= ctrl(ctrl_uart_en_c);
-- uart clock select --
uart_clk <= clkgen_i(to_integer(unsigned(ctrl(ctrl_uart_prsc2_c downto ctrl_uart_prsc0_c))));
-- UART transmitter ---------------------------------------------------------
-- -----------------------------------------------------------------------------
uart_tx_unit: process(clk_i)
begin
if rising_edge(clk_i) then
uart_tx_done <= '0';
if (uart_tx_busy = '0') or (ctrl(ctrl_uart_en_c) = '0') then -- idle or disabled
uart_tx_busy <= '0';
uart_tx_baud_cnt <= ctrl(ctrl_uart_baud7_c downto ctrl_uart_baud0_c);
uart_tx_bitcnt <= "1010"; -- 10 bit
if (wr_en = '1') and (ctrl(ctrl_uart_en_c) = '1') and (addr = uart_rtx_addr_c) then
uart_tx_sreg <= '1' & data_i(7 downto 0) & '0'; -- stopbit & data & startbit
uart_tx_busy <= '1';
end if;
elsif (uart_clk = '1') then
if (uart_tx_baud_cnt = x"00") then
uart_tx_baud_cnt <= ctrl(ctrl_uart_baud7_c downto ctrl_uart_baud0_c);
uart_tx_bitcnt <= std_ulogic_vector(unsigned(uart_tx_bitcnt) - 1);
uart_tx_sreg <= '1' & uart_tx_sreg(9 downto 1);
if (uart_tx_bitcnt = "0000") then
uart_tx_busy <= '0'; -- done
uart_tx_done <= '1';
end if;
else
uart_tx_baud_cnt <= std_ulogic_vector(unsigned(uart_tx_baud_cnt) - 1);
end if;
end if;
-- transmitter output --
uart_txd_o <= uart_tx_sreg(0);
end if;
end process uart_tx_unit;
-- UART receiver ------------------------------------------------------------
-- -----------------------------------------------------------------------------
uart_rx_unit: process(clk_i)
begin
if rising_edge(clk_i) then
-- synchronizer --
uart_rx_sync <= uart_rxd_i & uart_rx_sync(4 downto 1);
-- arbiter --
if (uart_rx_busy = '0') or (ctrl(ctrl_uart_en_c) = '0') then -- idle or disabled
uart_rx_busy <= '0';
uart_rx_baud_cnt <= '0' & ctrl(ctrl_uart_baud7_c downto ctrl_uart_baud1_c); -- half baud rate to sample in middle of bit
uart_rx_bitcnt <= "1001"; -- 9 bit (startbit + 8 data bits, ignore stop bit/s)
if (ctrl(ctrl_uart_en_c) = '0') then
uart_rx_reg <= (others => '0'); -- to ensure defined state when reading
elsif (uart_rx_sync(2 downto 0) = "001") then -- start bit? (falling edge)
uart_rx_busy <= '1';
end if;
elsif (uart_clk = '1') then
if (uart_rx_baud_cnt = x"00") then
uart_rx_baud_cnt <= ctrl(ctrl_uart_baud7_c downto ctrl_uart_baud0_c);
uart_rx_bitcnt <= std_ulogic_vector(unsigned(uart_rx_bitcnt) - 1);
uart_rx_sreg <= uart_rx_sync(0) & uart_rx_sreg(8 downto 1);
if (uart_rx_bitcnt = "0000") then
uart_rx_busy <= '0'; -- done
uart_rx_reg <= uart_rx_sreg(8 downto 1);
end if;
else
uart_rx_baud_cnt <= std_ulogic_vector(unsigned(uart_rx_baud_cnt) - 1);
end if;
end if;
-- RX available flag --
uart_rx_busy_ff <= uart_rx_busy;
if (ctrl(ctrl_uart_en_c) = '0') or (((uart_rx_avail(0) = '1') or (uart_rx_avail(1) = '1')) and (rd_en = '1') and (addr = uart_rtx_addr_c)) then
uart_rx_avail <= "00";
elsif (uart_rx_busy_ff = '1') and (uart_rx_busy = '0') then
uart_rx_avail <= uart_rx_avail(0) & '1';
end if;
end if;
end process uart_rx_unit;
-- Interrupt ----------------------------------------------------------------
-- -----------------------------------------------------------------------------
-- UART Rx data available [OR] UART Tx complete
uart_irq_o <= (uart_rx_busy_ff and (not uart_rx_busy) and ctrl(ctrl_uart_rx_irq_c)) or (uart_tx_done and ctrl(ctrl_uart_tx_irq_c));
-- Read access --------------------------------------------------------------
-- -----------------------------------------------------------------------------
rd_access: process(clk_i)
begin
if rising_edge(clk_i) then
data_o <= (others => '0');
if (rd_en = '1') then
if (addr = uart_ctrl_addr_c) then
data_o(ctrl_uart_baud0_c) <= ctrl(ctrl_uart_baud0_c);
data_o(ctrl_uart_baud1_c) <= ctrl(ctrl_uart_baud1_c);
data_o(ctrl_uart_baud2_c) <= ctrl(ctrl_uart_baud2_c);
data_o(ctrl_uart_baud3_c) <= ctrl(ctrl_uart_baud3_c);
data_o(ctrl_uart_baud4_c) <= ctrl(ctrl_uart_baud4_c);
data_o(ctrl_uart_baud5_c) <= ctrl(ctrl_uart_baud5_c);
data_o(ctrl_uart_baud6_c) <= ctrl(ctrl_uart_baud6_c);
data_o(ctrl_uart_baud7_c) <= ctrl(ctrl_uart_baud7_c);
data_o(ctrl_uart_prsc0_c) <= ctrl(ctrl_uart_prsc0_c);
data_o(ctrl_uart_prsc1_c) <= ctrl(ctrl_uart_prsc1_c);
data_o(ctrl_uart_prsc2_c) <= ctrl(ctrl_uart_prsc2_c);
data_o(ctrl_uart_en_c) <= ctrl(ctrl_uart_en_c);
data_o(ctrl_uart_rx_irq_c) <= ctrl(ctrl_uart_rx_irq_c);
data_o(ctrl_uart_tx_irq_c) <= ctrl(ctrl_uart_tx_irq_c);
data_o(ctrl_uart_rxovr_c) <= uart_rx_avail(0) and uart_rx_avail(1);
data_o(ctrl_uart_tx_busy_c) <= uart_tx_busy;
else -- uart_rtx_addr_c
data_o(data_rx_avail_c) <= uart_rx_avail(0);
data_o(07 downto 0) <= uart_rx_reg;
end if;
end if;
end if;
end process rd_access;
end neo430_uart_rtl;
|
-------------------------------------------------------------------------------
-- system_stub.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_stub is
port (
fpga_0_DDR2_SDRAM_DDR2_Clk_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_Clk_n_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_CE_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_CS_n_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_ODT_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_RAS_n_pin : out std_logic;
fpga_0_DDR2_SDRAM_DDR2_CAS_n_pin : out std_logic;
fpga_0_DDR2_SDRAM_DDR2_WE_n_pin : out std_logic;
fpga_0_DDR2_SDRAM_DDR2_BankAddr_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_Addr_pin : out std_logic_vector(12 downto 0);
fpga_0_DDR2_SDRAM_DDR2_DQ_pin : inout std_logic_vector(63 downto 0);
fpga_0_DDR2_SDRAM_DDR2_DM_pin : out std_logic_vector(7 downto 0);
fpga_0_DDR2_SDRAM_DDR2_DQS_pin : inout std_logic_vector(7 downto 0);
fpga_0_DDR2_SDRAM_DDR2_DQS_n_pin : inout std_logic_vector(7 downto 0);
fpga_0_SRAM_Mem_A_pin : out std_logic_vector(7 to 30);
fpga_0_SRAM_Mem_CEN_pin : out std_logic;
fpga_0_SRAM_Mem_OEN_pin : out std_logic;
fpga_0_SRAM_Mem_WEN_pin : out std_logic;
fpga_0_SRAM_Mem_BEN_pin : out std_logic_vector(0 to 3);
fpga_0_SRAM_Mem_ADV_LDN_pin : out std_logic;
fpga_0_SRAM_Mem_DQ_pin : inout std_logic_vector(0 to 31);
fpga_0_SRAM_ZBT_CLK_OUT_pin : out std_logic;
fpga_0_SRAM_ZBT_CLK_FB_pin : in std_logic;
fpga_0_PCIe_Bridge_RXN_pin : in std_logic;
fpga_0_PCIe_Bridge_RXP_pin : in std_logic;
fpga_0_PCIe_Bridge_TXN_pin : out std_logic;
fpga_0_PCIe_Bridge_TXP_pin : out std_logic;
fpga_0_clk_1_sys_clk_pin : in std_logic;
fpga_0_rst_1_sys_rst_pin : in std_logic;
fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin : in std_logic;
fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin : in std_logic
);
end system_stub;
architecture STRUCTURE of system_stub is
component system is
port (
fpga_0_DDR2_SDRAM_DDR2_Clk_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_Clk_n_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_CE_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_CS_n_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_ODT_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_RAS_n_pin : out std_logic;
fpga_0_DDR2_SDRAM_DDR2_CAS_n_pin : out std_logic;
fpga_0_DDR2_SDRAM_DDR2_WE_n_pin : out std_logic;
fpga_0_DDR2_SDRAM_DDR2_BankAddr_pin : out std_logic_vector(1 downto 0);
fpga_0_DDR2_SDRAM_DDR2_Addr_pin : out std_logic_vector(12 downto 0);
fpga_0_DDR2_SDRAM_DDR2_DQ_pin : inout std_logic_vector(63 downto 0);
fpga_0_DDR2_SDRAM_DDR2_DM_pin : out std_logic_vector(7 downto 0);
fpga_0_DDR2_SDRAM_DDR2_DQS_pin : inout std_logic_vector(7 downto 0);
fpga_0_DDR2_SDRAM_DDR2_DQS_n_pin : inout std_logic_vector(7 downto 0);
fpga_0_SRAM_Mem_A_pin : out std_logic_vector(7 to 30);
fpga_0_SRAM_Mem_CEN_pin : out std_logic;
fpga_0_SRAM_Mem_OEN_pin : out std_logic;
fpga_0_SRAM_Mem_WEN_pin : out std_logic;
fpga_0_SRAM_Mem_BEN_pin : out std_logic_vector(0 to 3);
fpga_0_SRAM_Mem_ADV_LDN_pin : out std_logic;
fpga_0_SRAM_Mem_DQ_pin : inout std_logic_vector(0 to 31);
fpga_0_SRAM_ZBT_CLK_OUT_pin : out std_logic;
fpga_0_SRAM_ZBT_CLK_FB_pin : in std_logic;
fpga_0_PCIe_Bridge_RXN_pin : in std_logic;
fpga_0_PCIe_Bridge_RXP_pin : in std_logic;
fpga_0_PCIe_Bridge_TXN_pin : out std_logic;
fpga_0_PCIe_Bridge_TXP_pin : out std_logic;
fpga_0_clk_1_sys_clk_pin : in std_logic;
fpga_0_rst_1_sys_rst_pin : in std_logic;
fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin : in std_logic;
fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin : in std_logic
);
end component;
attribute BOX_TYPE : STRING;
attribute BOX_TYPE of system : component is "user_black_box";
begin
system_i : system
port map (
fpga_0_DDR2_SDRAM_DDR2_Clk_pin => fpga_0_DDR2_SDRAM_DDR2_Clk_pin,
fpga_0_DDR2_SDRAM_DDR2_Clk_n_pin => fpga_0_DDR2_SDRAM_DDR2_Clk_n_pin,
fpga_0_DDR2_SDRAM_DDR2_CE_pin => fpga_0_DDR2_SDRAM_DDR2_CE_pin,
fpga_0_DDR2_SDRAM_DDR2_CS_n_pin => fpga_0_DDR2_SDRAM_DDR2_CS_n_pin,
fpga_0_DDR2_SDRAM_DDR2_ODT_pin => fpga_0_DDR2_SDRAM_DDR2_ODT_pin,
fpga_0_DDR2_SDRAM_DDR2_RAS_n_pin => fpga_0_DDR2_SDRAM_DDR2_RAS_n_pin,
fpga_0_DDR2_SDRAM_DDR2_CAS_n_pin => fpga_0_DDR2_SDRAM_DDR2_CAS_n_pin,
fpga_0_DDR2_SDRAM_DDR2_WE_n_pin => fpga_0_DDR2_SDRAM_DDR2_WE_n_pin,
fpga_0_DDR2_SDRAM_DDR2_BankAddr_pin => fpga_0_DDR2_SDRAM_DDR2_BankAddr_pin,
fpga_0_DDR2_SDRAM_DDR2_Addr_pin => fpga_0_DDR2_SDRAM_DDR2_Addr_pin,
fpga_0_DDR2_SDRAM_DDR2_DQ_pin => fpga_0_DDR2_SDRAM_DDR2_DQ_pin,
fpga_0_DDR2_SDRAM_DDR2_DM_pin => fpga_0_DDR2_SDRAM_DDR2_DM_pin,
fpga_0_DDR2_SDRAM_DDR2_DQS_pin => fpga_0_DDR2_SDRAM_DDR2_DQS_pin,
fpga_0_DDR2_SDRAM_DDR2_DQS_n_pin => fpga_0_DDR2_SDRAM_DDR2_DQS_n_pin,
fpga_0_SRAM_Mem_A_pin => fpga_0_SRAM_Mem_A_pin,
fpga_0_SRAM_Mem_CEN_pin => fpga_0_SRAM_Mem_CEN_pin,
fpga_0_SRAM_Mem_OEN_pin => fpga_0_SRAM_Mem_OEN_pin,
fpga_0_SRAM_Mem_WEN_pin => fpga_0_SRAM_Mem_WEN_pin,
fpga_0_SRAM_Mem_BEN_pin => fpga_0_SRAM_Mem_BEN_pin,
fpga_0_SRAM_Mem_ADV_LDN_pin => fpga_0_SRAM_Mem_ADV_LDN_pin,
fpga_0_SRAM_Mem_DQ_pin => fpga_0_SRAM_Mem_DQ_pin,
fpga_0_SRAM_ZBT_CLK_OUT_pin => fpga_0_SRAM_ZBT_CLK_OUT_pin,
fpga_0_SRAM_ZBT_CLK_FB_pin => fpga_0_SRAM_ZBT_CLK_FB_pin,
fpga_0_PCIe_Bridge_RXN_pin => fpga_0_PCIe_Bridge_RXN_pin,
fpga_0_PCIe_Bridge_RXP_pin => fpga_0_PCIe_Bridge_RXP_pin,
fpga_0_PCIe_Bridge_TXN_pin => fpga_0_PCIe_Bridge_TXN_pin,
fpga_0_PCIe_Bridge_TXP_pin => fpga_0_PCIe_Bridge_TXP_pin,
fpga_0_clk_1_sys_clk_pin => fpga_0_clk_1_sys_clk_pin,
fpga_0_rst_1_sys_rst_pin => fpga_0_rst_1_sys_rst_pin,
fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin => fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin,
fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin => fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin
);
end architecture STRUCTURE;
|
library verilog;
use verilog.vl_types.all;
entity View is
port(
Rb : in vl_logic;
Reset : in vl_logic;
CLK : in vl_logic;
Win : out vl_logic;
Lose : out vl_logic;
hex0 : out vl_logic_vector(7 downto 0);
hex1 : out vl_logic_vector(7 downto 0)
);
end View;
|
-------------------------------------------------------------------------------
-- axi_datamover_pcc.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_pcc.vhd
--
-- Description:
-- This file implements the DataMover Predictive Command Calculator (PCC).
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_9;
use axi_datamover_v5_1_9.axi_datamover_strb_gen2;
-------------------------------------------------------------------------------
entity axi_datamover_pcc is
generic (
C_IS_MM2S : Integer range 0 to 1 := 0;
-- This parameter tells the PCC module if it is a MM2S
-- instance or a S2MM instance.
-- 0 = S2MM Instance
-- 1 = MM2S Instance
C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2;
-- Sets the width of the DRE Aligment output ports
C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5;
-- Sets the width of the LS address bus used for
-- Muxing/Demuxing data to/from a wider AXI4 data bus
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Sets the width of the AXi Address Channel
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the width of the Stream Data width that
-- is being supported by the PCC
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates the max allowed burst length to use for
-- AXI4 transfer calculations
C_CMD_WIDTH : Integer := 68;
-- Sets the width of the input command port
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the Tag field in the input command
C_BTT_USED : Integer range 8 to 23 := 16;
-- Sets the width of the used portion of the BTT field
-- of the input command
C_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Indicates if the Indeterminate BTT mode is enabled
C_NATIVE_XFER_WIDTH : Integer range 8 to 1024 := 32;
-- Indicates the Native transfer width to use for all
-- transfer calculations. This will either be the DataMover
-- input Stream width or the AXI4 MMap data width depending
-- on DataMover parameterization.
C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1
-- Indicates the width of the starting address offset
-- bus passed to Store and Forward functions
);
port (
-- Clock and Reset input ----------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
-----------------------------------------------------------------
-- Master Command FIFO/Register Interface --------------------------------------------
--
cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-- The next command value available from the Command FIFO/Register --
--
cache2mstr_command : in std_logic_vector(7 downto 0); --
-- The next command value available from the Command FIFO/Register --
cmd2mstr_cmd_valid : in std_logic; --
-- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry --
--
mst2cmd_cmd_ready : out std_logic; --
-- Handshake bit indicating the Command Calculator is ready to accept --
-- another command --
--------------------------------------------------------------------------------------
-- Address Channel Controller Interface -----------------------------------
--
mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- The next command address to put on the AXI MMap ADDR --
--
mstr2addr_len : out std_logic_vector(7 downto 0); --
-- The next command length to put on the AXI MMap LEN --
--
mstr2addr_size : out std_logic_vector(2 downto 0); --
-- The next command size to put on the AXI MMap SIZE --
--
mstr2addr_burst : out std_logic_vector(1 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cache : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
mstr2addr_user : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
mstr2addr_cmd_cmplt : out std_logic; --
-- The indication to the Address Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2addr_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calcualtion error --
--
mstr2addr_cmd_valid : out std_logic; --
-- The next command valid indication to the Address Channel --
-- Controller for the AXI MMap --
--
addr2mstr_cmd_ready : In std_logic; --
-- Indication from the Address Channel Controller that the --
-- command is being accepted --
---------------------------------------------------------------------------
-- Data Channel Controller Interface ------------------------------------------------
--
mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); --
-- The next command start address LSbs to use for the read data --
-- mux (only used if Stream data width is less than the MMap data --
-- width). --
--
mstr2data_len : out std_logic_vector(7 downto 0); --
-- The LEN value output to the Address Channel --
--
mstr2data_strt_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); --
-- The starting strobe value to use for the data transfer --
--
mstr2data_last_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); --
-- The endiing (LAST) strobe value to use for the data transfer --
--
mstr2data_drr : out std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2data_eof : out std_logic; --
-- The endiing tranfer of a sequence of parent transfer commands --
--
mstr2data_sequential : Out std_logic; --
-- The next sequential tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2data_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2data_cmd_cmplt : out std_logic; --
-- The indication to the Data Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2data_cmd_valid : out std_logic; --
-- The next command valid indication to the Data Channel --
-- Controller for the AXI MMap --
--
data2mstr_cmd_ready : In std_logic ; --
-- Indication from the Data Channel Controller that the --
-- command is being accepted on the AXI Address --
-- Channel --
--
mstr2data_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the MM2S DRE --
--
mstr2data_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the MM2S DRE --
-------------------------------------------------------------------------------------
-- Output flag indicating that a calculation error has occured ----------------------
--
calc_error : Out std_logic; --
-- Indication from the Command Calculator that a calculation --
-- error has occured. --
-------------------------------------------------------------------------------------
-- Special DRE Controller Interface --------------------------------------------
--
dre2mstr_cmd_ready : In std_logic ; --
-- Indication from the S2MM DRE Controller that it can --
-- accept another command. --
--
mstr2dre_cmd_valid : out std_logic ; --
-- The next command valid indication to the S2MM DRE --
-- Controller. --
--
mstr2dre_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2dre_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; --
-- The source (S2MM Stream) alignment for the S2MM DRE --
--
mstr2dre_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; --
-- The destinstion (S2MM MMap) alignment for the S2MM DRE --
--
mstr2dre_btt : out std_logic_vector(C_BTT_USED-1 downto 0) ; --
-- The BTT value output to the S2MM DRE. This is needed for --
-- Scatter operations. --
--
mstr2dre_drr : out std_logic ; --
-- The starting tranfer of a sequence of transfers --
--
mstr2dre_eof : out std_logic ; --
-- The endiing tranfer of a sequence of parent transfer commands --
--
mstr2dre_cmd_cmplt : Out std_logic ; --
-- The last child tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2dre_calc_error : out std_logic ; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
-------------------------------------------------------------------------------------
-- Store and Forward Support Start Offset ---------------------------------------------
--
mstr2dre_strt_offset : out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0) --
-- Relays the starting address offset for a transfer to the Store and Forward --
-- functions incorporating upsizer/downsizer logic --
---------------------------------------------------------------------------------------
);
end entity axi_datamover_pcc;
architecture implementation of axi_datamover_pcc is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function declarations -------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_dbeat_residue_width
--
-- Function Description:
-- Calculates the number of Least significant bits of the BTT field
-- that are unused for the LEN calculation
--
-------------------------------------------------------------------
function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is
Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream
begin
case bytes_per_beat is
when 1 =>
temp_dbeat_residue_width := 0;
when 2 =>
temp_dbeat_residue_width := 1;
when 4 =>
temp_dbeat_residue_width := 2;
when 8 =>
temp_dbeat_residue_width := 3;
when 16 =>
temp_dbeat_residue_width := 4;
when 32 =>
temp_dbeat_residue_width := 5;
when 64 =>
temp_dbeat_residue_width := 6;
when others => -- 128-byte transfers
temp_dbeat_residue_width := 7;
end case;
Return (temp_dbeat_residue_width);
end function funct_get_dbeat_residue_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_burstcnt_offset
--
-- Function Description:
-- Calculates the bit offset from the residue bits needed to detirmine
-- the load value for the burst counter.
--
-------------------------------------------------------------------
function funct_get_burst_residue_width (max_burst_len : integer) return integer is
Variable temp_burst_residue_width : Integer := 0;
begin
case max_burst_len is
when 256 =>
temp_burst_residue_width := 8;
when 128 =>
temp_burst_residue_width := 7;
when 64 =>
temp_burst_residue_width := 6;
when 32 =>
temp_burst_residue_width := 5;
when 16 =>
temp_burst_residue_width := 4;
when 8 =>
temp_burst_residue_width := 3;
when 4 =>
temp_burst_residue_width := 2;
when others => -- assume 2 dbeats
temp_burst_residue_width := 1;
end case;
Return (temp_burst_residue_width);
end function funct_get_burst_residue_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_get_axi_size
--
-- Function Description:
-- Calculates the AXI SIZE Qualifier based on the data width.
--
-------------------------------------------------------------------
function func_get_axi_size (native_dwidth : integer) return std_logic_vector is
Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000";
Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001";
Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010";
Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011";
Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100";
Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101";
Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110";
Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111";
Variable temp_size : std_logic_vector(2 downto 0) := (others => '0');
begin
case native_dwidth is
when 8 =>
temp_size := AXI_SIZE_1BYTE;
when 16 =>
temp_size := AXI_SIZE_2BYTE;
when 32 =>
temp_size := AXI_SIZE_4BYTE;
when 64 =>
temp_size := AXI_SIZE_8BYTE;
when 128 =>
temp_size := AXI_SIZE_16BYTE;
when 256 =>
temp_size := AXI_SIZE_32BYTE;
when 512 =>
temp_size := AXI_SIZE_64BYTE;
when others => -- 1024 bit dwidth
temp_size := AXI_SIZE_128BYTE;
end case;
Return (temp_size);
end function func_get_axi_size;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_sf_offset_ls_index
--
-- Function Description:
-- Calculates the Ls index of the Store and Forward
-- starting offset bus based on the User Stream Width.
--
-------------------------------------------------------------------
function funct_get_sf_offset_ls_index (stream_width : integer) return integer is
Variable lvar_temp_ls_index : Integer := 0;
begin
case stream_width is
when 8 =>
lvar_temp_ls_index := 0;
when 16 =>
lvar_temp_ls_index := 1;
when 32 =>
lvar_temp_ls_index := 2;
when 64 =>
lvar_temp_ls_index := 3;
when 128 =>
lvar_temp_ls_index := 4;
when 256 =>
lvar_temp_ls_index := 5;
when 512 =>
lvar_temp_ls_index := 6;
when others => -- 1024
lvar_temp_ls_index := 7;
end case;
Return (lvar_temp_ls_index);
end function funct_get_sf_offset_ls_index;
-- Constant Declarations ----------------------------------------
Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address)
Constant CMD_BTT_WIDTH : integer := C_BTT_USED;
Constant CMD_BTT_LS_INDEX : integer := 0;
Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1;
Constant CMD_TYPE_INDEX : integer := 23;
Constant CMD_DRR_INDEX : integer := BASE_CMD_WIDTH-1;
Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2;
Constant CMD_DSA_WIDTH : integer := 6;
Constant CMD_DSA_LS_INDEX : integer := CMD_TYPE_INDEX+1;
Constant CMD_DSA_MS_INDEX : integer := (CMD_DSA_LS_INDEX+CMD_DSA_WIDTH)-1;
Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH;
Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1;
Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH;
Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH;
Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1;
----------------------------------------------------------------------------------------
-- Command calculation constants
Constant SIZE_TO_USE : std_logic_vector(2 downto 0) := func_get_axi_size(C_NATIVE_XFER_WIDTH);
Constant BYTES_PER_DBEAT : integer := C_NATIVE_XFER_WIDTH/8;
Constant DBEATS_PER_BURST : integer := C_MAX_BURST_LEN;
Constant BYTES_PER_MAX_BURST : integer := DBEATS_PER_BURST*BYTES_PER_DBEAT;
Constant LEN_WIDTH : integer := 8; -- 8 bits fixed
Constant MAX_LEN_VALUE : integer := DBEATS_PER_BURST-1;
Constant XFER_LEN_ZERO : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
Constant DBEAT_RESIDUE_WIDTH : integer := funct_get_dbeat_residue_width(BYTES_PER_DBEAT);
Constant BURST_RESIDUE_WIDTH : integer := funct_get_burst_residue_width(C_MAX_BURST_LEN);
Constant BURST_RESIDUE_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH;
Constant BTT_RESIDUE_WIDTH : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH;
Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
Constant BTT_RESIDUE_1 : unsigned := TO_UNSIGNED( 1, BTT_RESIDUE_WIDTH);
Constant BTT_RESIDUE_0 : unsigned := TO_UNSIGNED( 0, BTT_RESIDUE_WIDTH);
Constant BURST_CNT_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH;
Constant BURST_CNTR_WIDTH : integer := CMD_BTT_WIDTH - (DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH);
Constant BRST_CNT_1 : unsigned := TO_UNSIGNED( 1, BURST_CNTR_WIDTH);
Constant BRST_CNT_0 : unsigned := TO_UNSIGNED( 0, BURST_CNTR_WIDTH);
Constant BRST_RESIDUE_0 : std_logic_vector(BURST_RESIDUE_WIDTH-1 downto 0) := (others => '0');
Constant DBEAT_RESIDUE_0 : std_logic_vector(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
Constant ADDR_CNTR_WIDTH : integer := 16; -- Addres Counter slice
Constant ADDR_MS_SLICE_WIDTH : integer := C_ADDR_WIDTH-ADDR_CNTR_WIDTH;
Constant ADDR_CNTR_MAX_VALUE : unsigned := TO_UNSIGNED((2**ADDR_CNTR_WIDTH)-1, ADDR_CNTR_WIDTH);
Constant ADDR_CNTR_ONE : unsigned := TO_UNSIGNED(1, ADDR_CNTR_WIDTH);
Constant MBAA_ADDR_SLICE_WIDTH : integer := BTT_RESIDUE_WIDTH;
Constant STRBGEN_ADDR_SLICE_WIDTH : integer := DBEAT_RESIDUE_WIDTH;
Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
Constant STRBGEN_ADDR_SLICE_1 : unsigned := TO_UNSIGNED( 1, STRBGEN_ADDR_SLICE_WIDTH);
Constant SF_OFFSET_LS_INDEX : integer := funct_get_sf_offset_ls_index(C_STREAM_DWIDTH);
Constant SF_OFFSET_MS_INDEX : integer := (SF_OFFSET_LS_INDEX + C_STRT_SF_OFFSET_WIDTH)-1;
-- Type Declarations --------------------------------------------
type PCC_SM_STATE_TYPE is (
INIT,
WAIT_FOR_CMD,
CALC_1,
CALC_2,
CALC_3,
WAIT_ON_XFER_PUSH,
CHK_IF_DONE,
ERROR_TRAP
);
-- Signal Declarations --------------------------------------------
Signal sig_pcc_sm_state : PCC_SM_STATE_TYPE := INIT;
Signal sig_pcc_sm_state_ns : PCC_SM_STATE_TYPE := INIT;
signal sig_sm_halt_ns : std_logic := '0';
signal sig_sm_halt_reg : std_logic := '0';
signal sig_sm_ld_xfer_reg_ns : std_logic := '0';
signal sig_sm_ld_xfer_reg_ns_tmp : std_logic := '0';
signal sig_sm_pop_input_reg_ns : std_logic := '0';
signal sig_sm_pop_input_reg : std_logic := '0';
signal sig_sm_ld_calc1_reg_ns : std_logic := '0';
signal sig_sm_ld_calc1_reg : std_logic := '0';
signal sig_sm_ld_calc2_reg_ns : std_logic := '0';
signal sig_sm_ld_calc2_reg : std_logic := '0';
signal sig_sm_ld_calc3_reg_ns : std_logic := '0';
signal sig_sm_ld_calc3_reg : std_logic := '0';
signal sig_parent_done : std_logic := '0';
signal sig_ld_xfer_reg : std_logic := '0';
signal sig_ld_xfer_reg_tmp : std_logic := '0';
signal sig_btt_raw : std_logic := '0';
signal sig_btt_is_zero : std_logic := '0';
signal sig_btt_is_zero_reg : std_logic := '0';
-- unused signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0');
-- unused signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0');
-- unused signal sig_next_strt_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0');
-- unused signal sig_next_end_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0');
----------------------------------------------------------------------------------------
-- Burst Buster signals
signal sig_burst_cnt_slice_im0 : unsigned(BURST_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_last_xfer_valid_im1 : std_logic := '0';
signal sig_brst_cnt_eq_zero_im0 : std_logic := '0';
signal sig_brst_cnt_eq_zero_ireg1 : std_logic := '0';
signal sig_brst_cnt_eq_one_im0 : std_logic := '0';
signal sig_brst_cnt_eq_one_ireg1 : std_logic := '0';
signal sig_brst_residue_eq_zero : std_logic := '0';
signal sig_brst_residue_eq_zero_reg : std_logic := '0';
signal sig_no_btt_residue_im0 : std_logic := '0';
signal sig_no_btt_residue_ireg1 : std_logic := '0';
signal sig_btt_residue_slice_im0 : Unsigned(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
-- Input command register
signal sig_push_input_reg : std_logic := '0';
signal sig_pop_input_reg : std_logic := '0';
signal sig_input_burst_type_reg : std_logic := '0';
signal sig_input_cache_type_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_input_user_type_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_input_btt_residue_minus1_reg : std_logic_vector(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
signal sig_input_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_input_drr_reg : std_logic := '0';
signal sig_input_eof_reg : std_logic := '0';
signal sig_input_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_input_reg_empty : std_logic := '0';
signal sig_input_reg_full : std_logic := '0';
-- Output qualifier Register
-- signal sig_ld_output : std_logic := '0';
signal sig_push_xfer_reg : std_logic := '0';
signal sig_pop_xfer_reg : std_logic := '0';
signal sig_xfer_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_type_reg : std_logic := '0';
signal sig_xfer_cache_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_xfer_user_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_xfer_len_reg : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_drr_reg : std_logic := '0';
signal sig_xfer_eof_reg : std_logic := '0';
signal sig_xfer_strt_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_is_seq_reg : std_logic := '0';
signal sig_xfer_cmd_cmplt_reg : std_logic := '0';
signal sig_xfer_calc_err_reg : std_logic := '0';
signal sig_xfer_reg_empty : std_logic := '0';
signal sig_xfer_reg_full : std_logic := '0';
-- Address Counter
signal sig_ld_addr_cntr : std_logic := '0';
signal sig_incr_addr_cntr : std_logic := '0';
signal sig_addr_cntr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_byte_change_minus1_im2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
-- misc
signal sig_xfer_len_im2 : std_logic_vector(LEN_WIDTH-1 downto 0);
signal sig_xfer_strt_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_strt_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_address_im0 : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_cmd_addr_slice : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_type_slice : std_logic := '0';
signal sig_cmd_cache_slice : std_logic_vector (3 downto 0) := "0000";
signal sig_cmd_user_slice : std_logic_vector (3 downto 0) := "0000";
signal sig_cmd_tag_slice : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_dsa_slice : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_drr_slice : std_logic := '0';
signal sig_cmd_eof_slice : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_calc_error_pushed : std_logic := '0';
-- PCC2 stuff
signal sig_finish_addr_offset_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_len_eq_0_im2 : std_logic := '0';
signal sig_first_xfer_im0 : std_logic := '0';
signal sig_bytes_to_mbaa_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_bytes_to_mbaa_ireg1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsh_rollover : std_logic := '0';
signal sig_predict_addr_lsh_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_kh : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_im0_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_im0_msh : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_addr_im0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_bytes_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0');
signal sig_ld_btt_cntr : std_logic := '0';
signal sig_decr_btt_cntr : std_logic := '0';
signal sig_btt_cntr_im0 : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd2data_valid : std_logic := '0';
signal sig_clr_cmd2data_valid : std_logic := '0';
signal sig_cmd2addr_valid : std_logic := '0';
signal sig_clr_cmd2addr_valid : std_logic := '0';
signal sig_btt_lt_b2mbaa_im0 : std_logic := '0';
signal sig_btt_lt_b2mbaa_ireg1 : std_logic := '0';
signal sig_btt_eq_b2mbaa_im0 : std_logic := '0';
signal sig_btt_eq_b2mbaa_ireg1 : std_logic := '0';
signal sig_addr_incr_ge_bpdb_im1 : std_logic := '0';
-- Unaligned start address support
signal sig_adjusted_addr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_adjusted_addr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_start_addr_offset_slice_im0 : Unsigned(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
signal sig_mbaa_addr_cntr_slice_im0 : Unsigned(MBAA_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_aligned_im0 : std_logic := '0';
signal sig_addr_aligned_ireg1 : std_logic := '0';
-- S2MM DRE Support
signal sig_cmd2dre_valid : std_logic := '0';
signal sig_clr_cmd2dre_valid : std_logic := '0';
signal sig_input_xfer_btt_im0 : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_dre_eof_reg : std_logic := '0';
-- Long Timing path breakup intermediate registers
signal sig_strbgen_addr_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_bytes_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0');
signal sig_finish_addr_offset_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_last_addr_offset_im2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_strt_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_len_eq_0_ireg3 : std_logic := '0';
signal sig_addr_cntr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im3_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im2 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_ireg3 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsh_rollover_im3 : std_logic := '0';
signal sig_mmap_reset_reg : std_logic := '0';
----------------------------------------------------------
begin --(architecture implementation)
-- Assign calculation error output
calc_error <= sig_calc_error_reg;
-- Assign the ready output to the Command FIFO
mst2cmd_cmd_ready <= not(sig_sm_halt_reg) and
sig_input_reg_empty and
not(sig_calc_error_pushed);
-- Assign the Address Channel Controller Qualifiers
mstr2addr_tag <= sig_xfer_tag_reg ;
mstr2addr_addr <= sig_xfer_addr_reg;
mstr2addr_len <= sig_xfer_len_reg ;
mstr2addr_size <= sig_xfer_size ;
mstr2addr_burst <= '0' & sig_xfer_type_reg; -- only fixed or increment supported
mstr2addr_cache <= sig_xfer_cache_reg; -- only fixed or increment supported
mstr2addr_user <= sig_xfer_user_reg; -- only fixed or increment supported
mstr2addr_cmd_valid <= sig_cmd2addr_valid;
mstr2addr_calc_error <= sig_xfer_calc_err_reg;
mstr2addr_cmd_cmplt <= sig_xfer_cmd_cmplt_reg;
-- Assign the Data Channel Controller Qualifiers
mstr2data_tag <= sig_xfer_tag_reg ;
mstr2data_saddr_lsb <= sig_xfer_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0);
mstr2data_len <= sig_xfer_len_reg ;
mstr2data_strt_strb <= sig_xfer_strt_strb_reg;
mstr2data_last_strb <= sig_xfer_end_strb_reg ;
mstr2data_drr <= sig_xfer_drr_reg ;
mstr2data_eof <= sig_xfer_eof_reg ;
mstr2data_sequential <= sig_xfer_is_seq_reg ;
mstr2data_cmd_cmplt <= sig_xfer_cmd_cmplt_reg;
mstr2data_cmd_valid <= sig_cmd2data_valid ;
mstr2data_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE
mstr2data_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE
mstr2data_calc_error <= sig_xfer_calc_err_reg ;
-- Assign the DRE Controller Qualifiers
mstr2dre_cmd_valid <= sig_cmd2dre_valid ; -- Used by DRE
mstr2dre_tag <= sig_xfer_tag_reg ; -- Used by DRE
mstr2dre_btt <= sig_xfer_btt_reg ; -- Used by DRE
mstr2dre_drr <= sig_xfer_drr_reg ; -- Used by DRE
mstr2dre_eof <= sig_xfer_dre_eof_reg ; -- Used by DRE
mstr2dre_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; -- Used by DRE
mstr2dre_calc_error <= sig_xfer_calc_err_reg ; -- Used by DRE
------------------------------------------------------------
-- If Generate
--
-- Label: DO_MM2S_CASE
--
-- If Generate Description:
-- Assigns the auxillary DRE Control Source and Destination
-- ports for the MM2S use case.
--
------------------------------------------------------------
DO_MM2S_CASE : if (C_IS_MM2S = 1) generate
begin
mstr2dre_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE
mstr2dre_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE
end generate DO_MM2S_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: DO_S2MM_CASE
--
-- If Generate Description:
-- Assigns the auxillary DRE Control Source and Destination
-- ports for the S2MM use case.
--
------------------------------------------------------------
DO_S2MM_CASE : if (C_IS_MM2S = 0) generate
begin
mstr2dre_dre_src_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE
mstr2dre_dre_dest_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE
end generate DO_S2MM_CASE;
-- Store and Forward Support Start Offset (used by Packer/Unpacker logic)
mstr2dre_strt_offset <= sig_xfer_addr_reg(SF_OFFSET_MS_INDEX downto SF_OFFSET_LS_INDEX);
-- Start internal logic.
-- sig_cmd_type_slice <= '1'; -- always incrementing (per Interface_X guidelines)
sig_cmd_user_slice <= cache2mstr_command(7 downto 4);
sig_cmd_cache_slice <= cache2mstr_command(3 downto 0);
sig_cmd_type_slice <= cmd2mstr_command(CMD_TYPE_INDEX);
sig_cmd_addr_slice <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX);
sig_cmd_tag_slice <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX);
sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX);
sig_cmd_dsa_slice <= cmd2mstr_command(CMD_DSA_MS_INDEX downto CMD_DSA_LS_INDEX);
sig_cmd_drr_slice <= cmd2mstr_command(CMD_DRR_INDEX);
sig_cmd_eof_slice <= cmd2mstr_command(CMD_EOF_INDEX);
-- Check for a zero length BTT (error condition)
sig_btt_is_zero <= '1'
when (sig_cmd_btt_slice = BTT_ZEROS)
Else '0';
sig_xfer_size <= SIZE_TO_USE;
-----------------------------------------------------------------
-- Reset fanout control
-----------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_REG
--
-- Process Description:
-- Registers the input reset to reduce fanout. This module
-- has a high number of register bits to reset.
--
-------------------------------------------------------------
IMP_RESET_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
sig_mmap_reset_reg <= mmap_reset;
end if;
end process IMP_RESET_REG;
-----------------------------------------------------------------
-- Input xfer register design
sig_push_input_reg <= not(sig_sm_halt_reg) and
cmd2mstr_cmd_valid and
sig_input_reg_empty and
not(sig_calc_error_reg);
sig_pop_input_reg <= sig_sm_pop_input_reg;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_INPUT_QUAL
--
-- Process Description:
-- Implements the input command qualifier holding register
--
-------------------------------------------------------------
REG_INPUT_QUAL : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_pop_input_reg = '1' or
sig_calc_error_pushed = '1') then
sig_input_cache_type_reg <= (others => '0');
sig_input_user_type_reg <= (others => '0');
sig_input_burst_type_reg <= '0';
sig_input_tag_reg <= (others => '0');
sig_input_dsa_reg <= (others => '0');
sig_input_drr_reg <= '0';
sig_input_eof_reg <= '0';
sig_input_reg_empty <= '1';
sig_input_reg_full <= '0';
elsif (sig_push_input_reg = '1') then
sig_input_cache_type_reg <= sig_cmd_cache_slice;
sig_input_user_type_reg <= sig_cmd_user_slice;
sig_input_burst_type_reg <= sig_cmd_type_slice;
sig_input_tag_reg <= sig_cmd_tag_slice;
sig_input_dsa_reg <= sig_cmd_dsa_slice;
sig_input_drr_reg <= sig_cmd_drr_slice;
sig_input_eof_reg <= sig_cmd_eof_slice;
sig_input_reg_empty <= '0';
sig_input_reg_full <= '1';
else
null; -- Hold current State
end if;
end if;
end process REG_INPUT_QUAL;
----------------------------------------------------------------------
-- Calculation Error Logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CALC_ERROR_FLOP
--
-- Process Description:
-- Implements the flop for the Calc Error flag, Once set,
-- the flag cannot be cleared until a reset is issued.
--
-------------------------------------------------------------
IMP_CALC_ERROR_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_calc_error_reg <= '0';
elsif (sig_push_input_reg = '1' and
sig_calc_error_reg = '0') then
sig_calc_error_reg <= sig_btt_is_zero;
else
Null; -- hold the current state
end if;
end if;
end process IMP_CALC_ERROR_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CALC_ERROR_PUSHED
--
-- Process Description:
-- Implements the flop for generating a flag indicating the
-- calculation error flag has been pushed to the addr and data
-- controllers.
--
-------------------------------------------------------------
IMP_CALC_ERROR_PUSHED : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_calc_error_pushed <= '0';
elsif (sig_push_xfer_reg = '1' and
sig_calc_error_pushed = '0') then
sig_calc_error_pushed <= sig_calc_error_reg;
else
Null; -- hold the current state
end if;
end if;
end process IMP_CALC_ERROR_PUSHED;
---------------------------------------------------------------------
-- Strobe Generator Logic
sig_xfer_strt_strb2use_im3 <= sig_xfer_strt_strb_ireg3
When (sig_first_xfer_im0 = '1')
Else (others => '1');
sig_xfer_end_strb2use_im3 <= sig_xfer_strt_strb2use_im3
When (sig_xfer_len_eq_0_ireg3 = '1' and
sig_first_xfer_im0 = '1')
else sig_xfer_end_strb_ireg3
When (sig_last_xfer_valid_im1 = '1')
Else (others => '1');
----------------------------------------------------------
-- Intermediate registers for STBGEN Fmax path
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_STBGEN_REGS
--
-- Process Description:
-- Intermediate registers for Strobegen inputs to break
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_STBGEN_REGS : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_strbgen_addr_ireg2 <= (others => '0');
sig_strbgen_bytes_ireg2 <= (others => '0');
sig_finish_addr_offset_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_strbgen_addr_ireg2 <= sig_strbgen_addr_im0 ;
sig_strbgen_bytes_ireg2 <= sig_strbgen_bytes_im1 ;
sig_finish_addr_offset_ireg2 <= sig_finish_addr_offset_im1;
else
null; -- hold state
end if;
end if;
end process IMP_IM_STBGEN_REGS;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_STBGEN_OUT_REGS
--
-- Process Description:
-- Intermediate registers for Strobegen outputs to break
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_STBGEN_OUT_REGS : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_xfer_strt_strb_ireg3 <= (others => '0');
sig_xfer_end_strb_ireg3 <= (others => '0');
sig_xfer_len_eq_0_ireg3 <= '0';
elsif (sig_sm_ld_calc3_reg = '1') then
sig_xfer_strt_strb_ireg3 <= sig_xfer_strt_strb_im2;
sig_xfer_end_strb_ireg3 <= sig_xfer_end_strb_im2 ;
sig_xfer_len_eq_0_ireg3 <= sig_xfer_len_eq_0_im2 ;
else
null; -- hold state
end if;
end if;
end process IMP_IM_STBGEN_OUT_REGS;
------------------------------------------------------------
-- Instance: I_STRT_STRB_GEN
--
-- Description:
-- Strobe generator instance. Generates strobe bits for
-- a designated starting byte lane and the number of bytes
-- to be transfered (for that data beat).
--
------------------------------------------------------------
I_STRT_STRB_GEN : entity axi_datamover_v5_1_9.axi_datamover_strb_gen2
generic map (
C_OP_MODE => 0 , -- 0 = Offset/Length mode
C_STRB_WIDTH => BYTES_PER_DBEAT ,
C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ,
C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1
)
port map (
start_addr_offset => sig_strbgen_addr_ireg2 ,
end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0
num_valid_bytes => sig_strbgen_bytes_ireg2 ,
strb_out => sig_xfer_strt_strb_im2
);
-- The ending address offset is 1 less than the calculated
-- starting address for the next sequential transfer.
sig_last_addr_offset_im2 <= STD_LOGIC_VECTOR(UNSIGNED(sig_finish_addr_offset_ireg2) -
STRBGEN_ADDR_SLICE_1);
------------------------------------------------------------
-- Instance: I_END_STRB_GEN
--
-- Description:
-- End Strobe generator instance. Generates asserted strobe
-- bits from byte offset 0 to the ending byte offset.
--
------------------------------------------------------------
I_END_STRB_GEN : entity axi_datamover_v5_1_9.axi_datamover_strb_gen2
generic map (
C_OP_MODE => 1 , -- 0 = Offset/Length mode
C_STRB_WIDTH => BYTES_PER_DBEAT ,
C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ,
C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH
)
port map (
start_addr_offset => STRBGEN_ADDR_0 ,
end_addr_offset => sig_last_addr_offset_im2 ,
num_valid_bytes => STRBGEN_ADDR_0 , -- not used in op mode 1
strb_out => sig_xfer_end_strb_im2
);
-----------------------------------------------------------------
-- Output xfer register design
sig_push_xfer_reg <= (sig_ld_xfer_reg and sig_xfer_reg_empty);
-- Data taking xfer after Addr and DRE
sig_pop_xfer_reg <= (sig_clr_cmd2data_valid and not(sig_cmd2addr_valid) and not(sig_cmd2dre_valid)) or
-- Addr taking xfer after Data and DRE
(sig_clr_cmd2addr_valid and not(sig_cmd2data_valid) and not(sig_cmd2dre_valid)) or
-- DRE taking xfer after Data and ADDR
(sig_clr_cmd2dre_valid and not(sig_cmd2data_valid) and not(sig_cmd2addr_valid)) or
-- data and Addr taking xfer after DRE
(sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and not(sig_cmd2dre_valid)) or
-- Addr and DRE taking xfer after Data
(sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid and not(sig_cmd2data_valid)) or
-- Data and DRE taking xfer after Addr
(sig_clr_cmd2data_valid and sig_clr_cmd2dre_valid and not(sig_cmd2addr_valid)) or
-- Addr, Data, and DRE all taking xfer
(sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_OUTPUT_QUAL
--
-- Process Description:
-- Implements the output xfer qualifier holding register
--
-------------------------------------------------------------
REG_OUTPUT_QUAL : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
(sig_pop_xfer_reg = '1' and
sig_push_xfer_reg = '0')) then
-- sig_xfer_cache_reg <= (others => '0');
-- sig_xfer_user_reg <= (others => '0');
-- sig_xfer_addr_reg <= (others => '0');
-- sig_xfer_type_reg <= '0';
-- sig_xfer_len_reg <= (others => '0');
-- sig_xfer_tag_reg <= (others => '0');
-- sig_xfer_dsa_reg <= (others => '0');
-- sig_xfer_drr_reg <= '0';
-- sig_xfer_eof_reg <= '0';
-- sig_xfer_strt_strb_reg <= (others => '0');
-- sig_xfer_end_strb_reg <= (others => '0');
-- sig_xfer_is_seq_reg <= '0';
-- sig_xfer_cmd_cmplt_reg <= '0';
-- sig_xfer_calc_err_reg <= '0';
-- sig_xfer_btt_reg <= (others => '0');
-- sig_xfer_dre_eof_reg <= '0';
sig_xfer_reg_empty <= '1';
sig_xfer_reg_full <= '0';
elsif (sig_push_xfer_reg = '1') then
-- if (sig_input_burst_type_reg = '0') then
-- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh;
-- else
-- sig_xfer_addr_reg <= sig_xfer_address_im0 ;
-- end if;
-- sig_xfer_type_reg <= sig_input_burst_type_reg ;
-- sig_xfer_cache_reg <= sig_input_cache_type_reg ;
-- sig_xfer_user_reg <= sig_input_user_type_reg ;
-- sig_xfer_len_reg <= sig_xfer_len_im2 ;
-- sig_xfer_tag_reg <= sig_input_tag_reg ;
-- sig_xfer_dsa_reg <= sig_input_dsa_reg ;
-- sig_xfer_drr_reg <= sig_input_drr_reg and
-- sig_first_xfer_im0 ;
-- sig_xfer_eof_reg <= sig_input_eof_reg and
-- sig_last_xfer_valid_im1 ;
-- sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ;
-- sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ;
-- sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ;
-- sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or
-- sig_calc_error_reg ;
-- sig_xfer_calc_err_reg <= sig_calc_error_reg ;
-- sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ;
-- sig_xfer_dre_eof_reg <= sig_input_eof_reg ;
sig_xfer_reg_empty <= '0';
sig_xfer_reg_full <= '1';
else
null; -- Hold current State
end if;
end if;
end process REG_OUTPUT_QUAL;
-- if (sig_input_burst_type_reg = '0') then
-- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh;
-- else
sig_xfer_addr_reg <= sig_xfer_address_im0 when (sig_input_burst_type_reg = '1') else
sig_addr_cntr_lsh_kh ;
-- end if;
sig_xfer_type_reg <= sig_input_burst_type_reg ;
sig_xfer_cache_reg <= sig_input_cache_type_reg ;
sig_xfer_user_reg <= sig_input_user_type_reg ;
sig_xfer_len_reg <= sig_xfer_len_im2 ;
sig_xfer_tag_reg <= sig_input_tag_reg ;
sig_xfer_dsa_reg <= sig_input_dsa_reg ;
sig_xfer_drr_reg <= sig_input_drr_reg and
sig_first_xfer_im0 ;
sig_xfer_eof_reg <= sig_input_eof_reg and
sig_last_xfer_valid_im1 ;
sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ;
sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ;
sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ;
sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or
sig_calc_error_reg ;
sig_xfer_calc_err_reg <= sig_calc_error_reg ;
sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ;
sig_xfer_dre_eof_reg <= sig_input_eof_reg ;
--------------------------------------------------------------
-- BTT Counter Logic
sig_ld_btt_cntr <= sig_ld_addr_cntr;
-- sig_decr_btt_cntr <= sig_incr_addr_cntr;
-- above signal is using the incr_addr_cntr signal and hence cannot be
-- used if burst type is Fixed
sig_decr_btt_cntr <= sig_incr_addr_cntr; --sig_push_xfer_reg;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_BTT_CNTR
--
-- Process Description:
-- Bytes to transfer counter implementation.
--
-------------------------------------------------------------
IMP_BTT_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_btt_cntr_im0 <= (others => '0');
elsif (sig_ld_btt_cntr = '1') then
sig_btt_cntr_im0 <= UNSIGNED(sig_cmd_btt_slice);
Elsif (sig_decr_btt_cntr = '1') Then
sig_btt_cntr_im0 <= sig_btt_cntr_im0-RESIZE(sig_addr_cntr_incr_ireg2, CMD_BTT_WIDTH);
else
null; -- hold current state
end if;
end if;
end process IMP_BTT_CNTR;
-- Convert to logic vector for the S2MM DRE use
-- The DRE will only use this value prior to the first
-- decrement of the BTT Counter. Using this saves a separate
-- BTT register.
sig_input_xfer_btt_im0 <= STD_LOGIC_VECTOR(sig_btt_cntr_im0);
-- Rip the Burst Count slice from BTT counter value
sig_burst_cnt_slice_im0 <= sig_btt_cntr_im0(CMD_BTT_WIDTH-1 downto BURST_CNT_LS_INDEX);
sig_brst_cnt_eq_zero_im0 <= '1'
When (sig_burst_cnt_slice_im0 = BRST_CNT_0)
Else '0';
sig_brst_cnt_eq_one_im0 <= '1'
When (sig_burst_cnt_slice_im0 = BRST_CNT_1)
Else '0';
-- Rip the BTT residue field from the BTT counter value
sig_btt_residue_slice_im0 <= sig_btt_cntr_im0(BTT_RESIDUE_WIDTH-1 downto 0);
-- Check for transfer length residue of zero prior to subtracting 1
sig_no_btt_residue_im0 <= '1'
when (sig_btt_residue_slice_im0 = BTT_RESIDUE_0)
Else '0';
-- Unaligned address compensation
-- Add the number of starting address offset byte positions to the
-- final byte change value needed to calculate the AXI LEN field
sig_start_addr_offset_slice_im0 <= sig_addr_cntr_lsh_im0(DBEAT_RESIDUE_WIDTH-1 downto 0);
sig_adjusted_addr_incr_im1 <= sig_addr_cntr_incr_im1 +
RESIZE(sig_start_addr_offset_slice_im0, ADDR_CNTR_WIDTH);
-- adjust the address increment down by 1 byte to compensate
-- for the LEN requirement of being N-1 data beats
sig_byte_change_minus1_im2 <= sig_adjusted_addr_incr_ireg2-ADDR_CNTR_ONE;
-- Rip the new transfer length value
sig_xfer_len_im2 <= STD_LOGIC_VECTOR(
RESIZE(
sig_byte_change_minus1_im2(BTT_RESIDUE_WIDTH-1 downto
DBEAT_RESIDUE_WIDTH),
LEN_WIDTH)
);
-- Check to see if the new xfer length is zero (1 data beat)
sig_xfer_len_eq_0_im2 <= '1'
when (sig_xfer_len_im2 = XFER_LEN_ZERO)
Else '0';
-- Check for Last transfer condition
--sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_im0 and
sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_ireg1 and
--sig_no_btt_residue_im0 and
sig_no_btt_residue_ireg1 and
-- sig_addr_aligned_im0) or -- always the last databeat case
sig_addr_aligned_ireg1) or -- always the last databeat case
-- ((sig_btt_lt_b2mbaa_im0 or sig_btt_eq_b2mbaa_im0) and -- less than a full burst remaining
((sig_btt_lt_b2mbaa_ireg1 or sig_btt_eq_b2mbaa_ireg1) and -- less than a full burst remaining
-- (sig_brst_cnt_eq_zero_im0 and not(sig_no_btt_residue_im0)));
(sig_brst_cnt_eq_zero_ireg1 and not(sig_no_btt_residue_ireg1)));
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
--
-- General Address Counter Logic (applies to any address width of 32 or greater
-- The address counter is divided into 2 16-bit segements for 32-bit address support. As the
-- address gets wider, up to 2 more segements will be added via IfGens to provide for 64-bit
-- addressing.
--
----------------------------------------------------------------------------------------------------
-- Rip the LS bits of the LS Address Counter for the StrobeGen
-- starting address offset
sig_strbgen_addr_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0));
-- Check if the calcualted address increment (in bytes) is greater than the
-- number of bytes that can be transfered per data beat
sig_addr_incr_ge_bpdb_im1 <= '1'
When (sig_addr_cntr_incr_im1 >= TO_UNSIGNED(BYTES_PER_DBEAT, ADDR_CNTR_WIDTH))
Else '0';
-- If the calculated address increment (in bytes) is greater than the
-- number of bytes that can be transfered per data beat, then clip the
-- strobegen byte value to the number of bytes per data beat, else use the
-- increment value.
sig_strbgen_bytes_im1 <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1))
when (sig_addr_incr_ge_bpdb_im1 = '1')
else STD_LOGIC_VECTOR(sig_addr_cntr_incr_im1(STRBGEN_ADDR_SLICE_WIDTH downto 0));
--------------------------------------------------------------------------
-- Address Counter logic
sig_ld_addr_cntr <= sig_push_input_reg;
-- don't increment address cntr if type is '0' (non-incrementing)
sig_incr_addr_cntr <= sig_pop_xfer_reg;-- and
-- sig_input_burst_type_reg;
sig_mbaa_addr_cntr_slice_im0 <= sig_addr_cntr_lsh_im0(MBAA_ADDR_SLICE_WIDTH-1 downto 0);
sig_bytes_to_mbaa_im0 <= TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH) -
RESIZE(sig_mbaa_addr_cntr_slice_im0,ADDR_CNTR_WIDTH);
sig_addr_aligned_im0 <= '1'
when (sig_mbaa_addr_cntr_slice_im0 = BTT_RESIDUE_0)
Else '0';
-- Check to see if the jump to the Max Burst Aligned Address (mbaa) is less
-- than or equal to the remaining bytes to transfer. If it is, then at least
-- two tranfers have to be scheduled.
sig_btt_lt_b2mbaa_im0 <= '1'
when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) < sig_bytes_to_mbaa_im0) and
(sig_brst_cnt_eq_zero_im0 = '1'))
Else '0';
sig_btt_eq_b2mbaa_im0 <= '1'
when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) = sig_bytes_to_mbaa_im0) and
(sig_brst_cnt_eq_zero_im0 = '1'))
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_REG1
--
-- Process Description:
-- Intermediate register stage 1 for Address Counter
-- derivative calculations.
--
-------------------------------------------------------------
IMP_IM_REG1 : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_bytes_to_mbaa_ireg1 <= (others => '0');
sig_addr_aligned_ireg1 <= '0' ;
sig_btt_lt_b2mbaa_ireg1 <= '0' ;
sig_btt_eq_b2mbaa_ireg1 <= '0' ;
sig_brst_cnt_eq_zero_ireg1 <= '0' ;
sig_brst_cnt_eq_one_ireg1 <= '0' ;
sig_no_btt_residue_ireg1 <= '0' ;
elsif (sig_sm_ld_calc1_reg = '1') then
sig_bytes_to_mbaa_ireg1 <= sig_bytes_to_mbaa_im0 ;
sig_addr_aligned_ireg1 <= sig_addr_aligned_im0 ;
sig_btt_lt_b2mbaa_ireg1 <= sig_btt_lt_b2mbaa_im0 ;
sig_btt_eq_b2mbaa_ireg1 <= sig_btt_eq_b2mbaa_im0 ;
sig_brst_cnt_eq_zero_ireg1 <= sig_brst_cnt_eq_zero_im0;
sig_brst_cnt_eq_one_ireg1 <= sig_brst_cnt_eq_one_im0 ;
sig_no_btt_residue_ireg1 <= sig_no_btt_residue_im0 ;
else
null; -- hold state
end if;
end if;
end process IMP_IM_REG1;
-- Select the address counter increment value to use
sig_addr_cntr_incr_im1 <= RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH)
--When (sig_btt_lt_b2mbaa_im0 = '1')
When (sig_btt_lt_b2mbaa_ireg1 = '1')
--else sig_bytes_to_mbaa_im0
else sig_bytes_to_mbaa_ireg1
when (sig_first_xfer_im0 = '1')
else TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH);
-- calculate the next starting address after the current
-- xfer completes
sig_predict_addr_lsh_im1 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_im1;
-- Predict next transfer's address offset for the Strobe Generator
sig_finish_addr_offset_im1 <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_im1(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0));
sig_addr_cntr_lsh_im0_slv <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- Determine if an address count lsh rollover is going to occur when
-- jumping to the next starting address by comparing the MS bit of the
-- current address lsh to the MS bit of the predicted address lsh .
-- A transition of a '1' to a '0' is a rollover.
sig_addr_lsh_rollover_im3 <= '1'
when (
(sig_addr_cntr_lsh_im0_slv(ADDR_CNTR_WIDTH-1) = '1') and
(sig_predict_addr_lsh_im3_slv(ADDR_CNTR_WIDTH-1) = '0')
)
Else '0';
----------------------------------------------------------
-- Intermediate registers for reducing the Address Counter
-- Increment timing path
----------------------------------------------------------
-- calculate the next starting address after the current
-- xfer completes using intermediate register values
sig_predict_addr_lsh_im2 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_ireg2;
sig_predict_addr_lsh_im3_slv <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_ireg3);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_ADDRINC_REG
--
-- Process Description:
-- Intermediate registers for address counter increment to
-- break long timing paths.
--
-------------------------------------------------------------
IMP_IM_ADDRINC_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_incr_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_addr_cntr_incr_ireg2 <= sig_addr_cntr_incr_im1;
else
null; -- hold state
end if;
end if;
end process IMP_IM_ADDRINC_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_PREDICT_ADDR_REG
--
-- Process Description:
-- Intermediate register for predicted address to break up
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_PREDICT_ADDR_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_predict_addr_lsh_ireg3 <= (others => '0');
elsif (sig_sm_ld_calc3_reg = '1') then
sig_predict_addr_lsh_ireg3 <= sig_predict_addr_lsh_im2;
else
null; -- hold state
end if;
end if;
end process IMP_IM_PREDICT_ADDR_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_ADDR_STUFF
--
-- Process Description:
-- Implements a general register for address counter related
-- things.
--
-------------------------------------------------------------
REG_ADDR_STUFF : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_adjusted_addr_incr_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_adjusted_addr_incr_ireg2 <= sig_adjusted_addr_incr_im1;
else
null; -- hold state
end if;
end if;
end process REG_ADDR_STUFF;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_LSH_ADDR_CNTR
--
-- Process Description:
-- Least Significant Half Address counter implementation.
--
-------------------------------------------------------------
IMP_LSH_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_lsh_im0 <= (others => '0');
sig_addr_cntr_lsh_kh <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
sig_addr_cntr_lsh_im0 <= UNSIGNED(sig_cmd_addr_slice(ADDR_CNTR_WIDTH-1 downto 0));
sig_addr_cntr_lsh_kh <= sig_cmd_addr_slice;
Elsif (sig_incr_addr_cntr = '1') then -- and sig_input_burst_type_reg = '1') Then
sig_addr_cntr_lsh_im0 <= sig_predict_addr_lsh_ireg3;
else
null; -- hold current state
end if;
end if;
end process IMP_LSH_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_MSH_ADDR_CNTR
--
-- Process Description:
-- Least Significant Half Address counter implementation.
--
-------------------------------------------------------------
IMP_MSH_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_im0_msh <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
sig_addr_cntr_im0_msh <= UNSIGNED(sig_cmd_addr_slice((2*ADDR_CNTR_WIDTH)-1 downto ADDR_CNTR_WIDTH));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1') then
sig_addr_cntr_im0_msh <= sig_addr_cntr_im0_msh+ADDR_CNTR_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_MSH_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_FIRST_XFER_FLOP
--
-- Process Description:
-- Implements the register flop for the first transfer flag.
--
-------------------------------------------------------------
IMP_FIRST_XFER_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_incr_addr_cntr = '1') then
sig_first_xfer_im0 <= '0';
elsif (sig_ld_addr_cntr = '1') then
sig_first_xfer_im0 <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_FIRST_XFER_FLOP;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_32
--
-- If Generate Description:
-- Implements the Address segment merge logic for the 32-bit
-- address width case. The address counter segments are split
-- into two 16-bit sections to improve Fmax convergence.
--
--
------------------------------------------------------------
GEN_ADDR_32 : if (C_ADDR_WIDTH = 32) generate
begin
-- Populate the transfer address value by concatonating the
-- address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
end generate GEN_ADDR_32;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_GT_32_LE_48
--
-- If Generate Description:
-- Implements the additional Address Counter logic for the case
-- when the address width is greater than 32 bits and less than
-- or equal to 48 bits. In this case, an additional counter segment
-- is implemented (segment 3) that is variable width of 1
-- to 16 bits.
--
------------------------------------------------------------
GEN_ADDR_GT_32_LE_48 : if (C_ADDR_WIDTH > 32 and
C_ADDR_WIDTH <= 48) generate
-- Local constants
Constant ACNTR_SEG3_WIDTH : integer := C_ADDR_WIDTH-32;
Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH);
Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant SEG3_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1;
Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32;
-- Local Signals
signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0');
signal lsig_acntr_msh_eq_max : std_logic := '0';
signal lsig_acntr_msh_eq_max_reg : std_logic := '0';
begin
-- Populate the transfer address value by concatonating the
-- 3 address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) &
STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- See if the MSH (Segment 2) of the Adress Counter is at a max value
lsig_acntr_msh_eq_max <= '1'
when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG2_EQ_MAX_REG
--
-- Process Description:
-- Implements a register for the flag indicating the address
-- counter MSH (Segment 2) is at max value and will rollover
-- at the next increment interval for the counter. Registering
-- this signal and using it for the Seg 3 increment logic only
-- works because there is always at least a 1 clock time gap
-- between the increment causing the segment 2 counter to go to
-- max and the next increment operation that can bump segment 3.
--
-------------------------------------------------------------
IMP_SEG2_EQ_MAX_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_acntr_msh_eq_max_reg <= '0';
else
lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max;
end if;
end if;
end process IMP_SEG2_EQ_MAX_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG3_ADDR_CNTR
--
-- Process Description:
-- Segment 3 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG3_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg3_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto
SEG3_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and --sig_input_burst_type_reg = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1') then
lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG3_ADDR_CNTR;
end generate GEN_ADDR_GT_32_LE_48;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_GT_48
--
-- If Generate Description:
-- Implements the additional Address Counter logic for the case
-- when the address width is greater than 48 bits and less than
-- or equal to 64. In this case, an additional 2 counter segments
-- are implemented (segment 3 and 4). Segment 3 is a fixed 16-bits
-- and segment 4 is variable width of 1 to 16 bits.
--
------------------------------------------------------------
GEN_ADDR_GT_48 : if (C_ADDR_WIDTH > 48) generate
-- Local constants
Constant ACNTR_SEG3_WIDTH : integer := ADDR_CNTR_WIDTH;
Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH);
Constant ACNTR_SEG3_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant ACNTR_SEG4_WIDTH : integer := C_ADDR_WIDTH-48;
Constant ACNTR_SEG4_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG4_WIDTH);
Constant SEG3_ADDR_RIP_MS_INDEX : integer := 47;
Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32;
Constant SEG4_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1;
Constant SEG4_ADDR_RIP_LS_INDEX : integer := 48;
-- Local Signals
signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0');
signal lsig_acntr_msh_eq_max : std_logic := '0';
signal lsig_acntr_msh_eq_max_reg : std_logic := '0';
signal lsig_acntr_seg3_eq_max : std_logic := '0';
signal lsig_acntr_seg3_eq_max_reg : std_logic := '0';
signal lsig_seg4_addr_cntr : unsigned(ACNTR_SEG4_WIDTH-1 downto 0) := (others => '0');
begin
-- Populate the transfer address value by concatonating the
-- 4 address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg4_addr_cntr ) &
STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) &
STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- See if the MSH (Segment 2) of the Address Counter is at a max value
lsig_acntr_msh_eq_max <= '1'
when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX)
Else '0';
-- See if the Segment 3 of the Address Counter is at a max value
lsig_acntr_seg3_eq_max <= '1'
when (lsig_seg3_addr_cntr = ACNTR_SEG3_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG2_3_EQ_MAX_REG
--
-- Process Description:
-- Implements a register for the flag indicating the address
-- counter segments 2 and 3 are at max value and will rollover
-- at the next increment interval for the counter. Registering
-- these signals and using themt for the Seg 3/4 increment logic
-- only works because there is always at least a 1 clock time gap
-- between the increment causing the segment 2 or 3 counter to go
-- to max and the next increment operation.
--
-------------------------------------------------------------
IMP_SEG2_3_EQ_MAX_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_acntr_msh_eq_max_reg <= '0';
lsig_acntr_seg3_eq_max_reg <= '0';
else
lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max;
lsig_acntr_seg3_eq_max_reg <= lsig_acntr_seg3_eq_max;
end if;
end if;
end process IMP_SEG2_3_EQ_MAX_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG3_ADDR_CNTR
--
-- Process Description:
-- Segment 3 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG3_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg3_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto
SEG3_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1') then
lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG3_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG4_ADDR_CNTR
--
-- Process Description:
-- Segment 4 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG4_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg4_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg4_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG4_ADDR_RIP_MS_INDEX downto
SEG4_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1' and
lsig_acntr_seg3_eq_max_reg = '1') then
lsig_seg4_addr_cntr <= lsig_seg4_addr_cntr+ACNTR_SEG4_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG4_ADDR_CNTR;
end generate GEN_ADDR_GT_48;
-- Addr and data Cntlr FIFO interface handshake logic ------------------------------
sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready;
sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready;
sig_clr_cmd2dre_valid <= sig_cmd2dre_valid and dre2mstr_cmd_ready;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2DATA_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the Data Controller Module.
--
-------------------------------------------------------------
CMD2DATA_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2data_valid = '1') then
sig_cmd2data_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1') then
sig_cmd2data_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2DATA_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2ADDR_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the Address Controller Module.
--
-------------------------------------------------------------
CMD2ADDR_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2addr_valid = '1') then
sig_cmd2addr_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1') then
sig_cmd2addr_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2ADDR_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2DRE_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the DRE Module (S2MM DRE Only).
--
-- Note that the S2MM DRE only needs to be loaded with a command
-- for each parent command, not every child command.
--
-------------------------------------------------------------
CMD2DRE_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2dre_valid = '1') then
sig_cmd2dre_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1' and
sig_first_xfer_im0 = '1') then
sig_cmd2dre_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2DRE_VALID_FLOP;
-------------------------------------------------------------------------
-- PCC State machine Logic
-------------------------------------------------------------
-- Combinational Process
--
-- Label: PCC_SM_COMBINATIONAL
--
-- Process Description:
-- PCC State Machine combinational implementation
--
-------------------------------------------------------------
PCC_SM_COMBINATIONAL : process (sig_pcc_sm_state ,
sig_parent_done ,
sig_push_input_reg ,
sig_pop_xfer_reg ,
sig_calc_error_pushed)
begin
-- SM Defaults
sig_pcc_sm_state_ns <= INIT;
sig_sm_halt_ns <= '0';
sig_sm_ld_xfer_reg_ns <= '0';
sig_sm_pop_input_reg_ns <= '0';
sig_sm_ld_calc1_reg_ns <= '0';
sig_sm_ld_calc2_reg_ns <= '0';
sig_sm_ld_calc3_reg_ns <= '0';
case sig_pcc_sm_state is
--------------------------------------------
when INIT =>
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
sig_sm_halt_ns <= '1';
--------------------------------------------
when WAIT_FOR_CMD =>
If (sig_push_input_reg = '1') Then
sig_pcc_sm_state_ns <= CALC_1;
sig_sm_ld_calc1_reg_ns <= '1';
else
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
End if;
--------------------------------------------
when CALC_1 =>
sig_pcc_sm_state_ns <= CALC_2;
sig_sm_ld_calc2_reg_ns <= '1';
--------------------------------------------
when CALC_2 =>
sig_pcc_sm_state_ns <= CALC_3;
sig_sm_ld_calc3_reg_ns <= '1';
--------------------------------------------
when CALC_3 =>
sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH;
sig_sm_ld_xfer_reg_ns <= '1';
--------------------------------------------
when WAIT_ON_XFER_PUSH =>
if (sig_pop_xfer_reg = '1') then
sig_pcc_sm_state_ns <= CHK_IF_DONE;
else -- wait until output register is loaded
sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH;
end if;
--------------------------------------------
when CHK_IF_DONE =>
If (sig_calc_error_pushed = '1') then -- Internal error, go to trap
sig_pcc_sm_state_ns <= ERROR_TRAP;
sig_sm_halt_ns <= '1';
elsif (sig_parent_done = '1') Then -- done with parent command
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
sig_sm_pop_input_reg_ns <= '1';
else -- Still breaking up parent command
sig_pcc_sm_state_ns <= CALC_1;
sig_sm_ld_calc1_reg_ns <= '1';
end if;
--------------------------------------------
when ERROR_TRAP =>
sig_pcc_sm_state_ns <= ERROR_TRAP;
sig_sm_halt_ns <= '1';
--------------------------------------------
when others =>
sig_pcc_sm_state_ns <= INIT;
end case;
end process PCC_SM_COMBINATIONAL;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: PCC_SM_REGISTERED
--
-- Process Description:
-- PCC State Machine registered implementation
--
-------------------------------------------------------------
PCC_SM_REGISTERED : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_pcc_sm_state <= INIT;
sig_sm_halt_reg <= '1' ;
sig_sm_pop_input_reg <= '0' ;
sig_sm_ld_calc1_reg <= '0' ;
sig_sm_ld_calc2_reg <= '0' ;
sig_sm_ld_calc3_reg <= '0' ;
else
sig_pcc_sm_state <= sig_pcc_sm_state_ns ;
sig_sm_halt_reg <= sig_sm_halt_ns ;
sig_sm_pop_input_reg <= sig_sm_pop_input_reg_ns;
sig_sm_ld_calc1_reg <= sig_sm_ld_calc1_reg_ns ;
sig_sm_ld_calc2_reg <= sig_sm_ld_calc2_reg_ns ;
sig_sm_ld_calc3_reg <= sig_sm_ld_calc3_reg_ns ;
end if;
end if;
end process PCC_SM_REGISTERED;
------------------------------------------------------------------
-- Transfer Register Load Enable logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: LD_XFER_REG_FLOP
--
-- Process Description:
-- Sample and Hold FLOP for signaling a load of the output
-- xfer register.
--
-------------------------------------------------------------
LD_XFER_REG_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_push_xfer_reg = '1') then
sig_ld_xfer_reg <= '0';
Elsif (sig_sm_ld_xfer_reg_ns = '1') Then
sig_ld_xfer_reg <= '1';
else
null; -- hold current state
end if;
end if;
end process LD_XFER_REG_FLOP;
LD_XFER_REG_FLOP1 : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_pop_xfer_reg = '1') then
sig_ld_xfer_reg_tmp <= '0';
Elsif (sig_sm_ld_xfer_reg_ns = '1') Then
sig_ld_xfer_reg_tmp <= '1';
else
null; -- hold current state
end if;
end if;
end process LD_XFER_REG_FLOP1;
------------------------------------------------------------------
-- Parent Done flag logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: PARENT_DONE_FLOP
--
-- Process Description:
-- Sample and Hold FLOP for signaling a load of the output
-- xfer register.
--
-------------------------------------------------------------
PARENT_DONE_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_push_input_reg = '1') then
sig_parent_done <= '0';
Elsif (sig_ld_xfer_reg_tmp = '1') Then
sig_parent_done <= sig_last_xfer_valid_im1;
else
null; -- hold current state
end if;
end if;
end process PARENT_DONE_FLOP;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover_pcc.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_pcc.vhd
--
-- Description:
-- This file implements the DataMover Predictive Command Calculator (PCC).
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_9;
use axi_datamover_v5_1_9.axi_datamover_strb_gen2;
-------------------------------------------------------------------------------
entity axi_datamover_pcc is
generic (
C_IS_MM2S : Integer range 0 to 1 := 0;
-- This parameter tells the PCC module if it is a MM2S
-- instance or a S2MM instance.
-- 0 = S2MM Instance
-- 1 = MM2S Instance
C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2;
-- Sets the width of the DRE Aligment output ports
C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5;
-- Sets the width of the LS address bus used for
-- Muxing/Demuxing data to/from a wider AXI4 data bus
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Sets the width of the AXi Address Channel
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the width of the Stream Data width that
-- is being supported by the PCC
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates the max allowed burst length to use for
-- AXI4 transfer calculations
C_CMD_WIDTH : Integer := 68;
-- Sets the width of the input command port
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the Tag field in the input command
C_BTT_USED : Integer range 8 to 23 := 16;
-- Sets the width of the used portion of the BTT field
-- of the input command
C_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Indicates if the Indeterminate BTT mode is enabled
C_NATIVE_XFER_WIDTH : Integer range 8 to 1024 := 32;
-- Indicates the Native transfer width to use for all
-- transfer calculations. This will either be the DataMover
-- input Stream width or the AXI4 MMap data width depending
-- on DataMover parameterization.
C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1
-- Indicates the width of the starting address offset
-- bus passed to Store and Forward functions
);
port (
-- Clock and Reset input ----------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
-----------------------------------------------------------------
-- Master Command FIFO/Register Interface --------------------------------------------
--
cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-- The next command value available from the Command FIFO/Register --
--
cache2mstr_command : in std_logic_vector(7 downto 0); --
-- The next command value available from the Command FIFO/Register --
cmd2mstr_cmd_valid : in std_logic; --
-- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry --
--
mst2cmd_cmd_ready : out std_logic; --
-- Handshake bit indicating the Command Calculator is ready to accept --
-- another command --
--------------------------------------------------------------------------------------
-- Address Channel Controller Interface -----------------------------------
--
mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- The next command address to put on the AXI MMap ADDR --
--
mstr2addr_len : out std_logic_vector(7 downto 0); --
-- The next command length to put on the AXI MMap LEN --
--
mstr2addr_size : out std_logic_vector(2 downto 0); --
-- The next command size to put on the AXI MMap SIZE --
--
mstr2addr_burst : out std_logic_vector(1 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cache : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
mstr2addr_user : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
mstr2addr_cmd_cmplt : out std_logic; --
-- The indication to the Address Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2addr_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calcualtion error --
--
mstr2addr_cmd_valid : out std_logic; --
-- The next command valid indication to the Address Channel --
-- Controller for the AXI MMap --
--
addr2mstr_cmd_ready : In std_logic; --
-- Indication from the Address Channel Controller that the --
-- command is being accepted --
---------------------------------------------------------------------------
-- Data Channel Controller Interface ------------------------------------------------
--
mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); --
-- The next command start address LSbs to use for the read data --
-- mux (only used if Stream data width is less than the MMap data --
-- width). --
--
mstr2data_len : out std_logic_vector(7 downto 0); --
-- The LEN value output to the Address Channel --
--
mstr2data_strt_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); --
-- The starting strobe value to use for the data transfer --
--
mstr2data_last_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); --
-- The endiing (LAST) strobe value to use for the data transfer --
--
mstr2data_drr : out std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2data_eof : out std_logic; --
-- The endiing tranfer of a sequence of parent transfer commands --
--
mstr2data_sequential : Out std_logic; --
-- The next sequential tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2data_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2data_cmd_cmplt : out std_logic; --
-- The indication to the Data Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2data_cmd_valid : out std_logic; --
-- The next command valid indication to the Data Channel --
-- Controller for the AXI MMap --
--
data2mstr_cmd_ready : In std_logic ; --
-- Indication from the Data Channel Controller that the --
-- command is being accepted on the AXI Address --
-- Channel --
--
mstr2data_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the MM2S DRE --
--
mstr2data_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the MM2S DRE --
-------------------------------------------------------------------------------------
-- Output flag indicating that a calculation error has occured ----------------------
--
calc_error : Out std_logic; --
-- Indication from the Command Calculator that a calculation --
-- error has occured. --
-------------------------------------------------------------------------------------
-- Special DRE Controller Interface --------------------------------------------
--
dre2mstr_cmd_ready : In std_logic ; --
-- Indication from the S2MM DRE Controller that it can --
-- accept another command. --
--
mstr2dre_cmd_valid : out std_logic ; --
-- The next command valid indication to the S2MM DRE --
-- Controller. --
--
mstr2dre_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2dre_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; --
-- The source (S2MM Stream) alignment for the S2MM DRE --
--
mstr2dre_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; --
-- The destinstion (S2MM MMap) alignment for the S2MM DRE --
--
mstr2dre_btt : out std_logic_vector(C_BTT_USED-1 downto 0) ; --
-- The BTT value output to the S2MM DRE. This is needed for --
-- Scatter operations. --
--
mstr2dre_drr : out std_logic ; --
-- The starting tranfer of a sequence of transfers --
--
mstr2dre_eof : out std_logic ; --
-- The endiing tranfer of a sequence of parent transfer commands --
--
mstr2dre_cmd_cmplt : Out std_logic ; --
-- The last child tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2dre_calc_error : out std_logic ; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
-------------------------------------------------------------------------------------
-- Store and Forward Support Start Offset ---------------------------------------------
--
mstr2dre_strt_offset : out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0) --
-- Relays the starting address offset for a transfer to the Store and Forward --
-- functions incorporating upsizer/downsizer logic --
---------------------------------------------------------------------------------------
);
end entity axi_datamover_pcc;
architecture implementation of axi_datamover_pcc is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function declarations -------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_dbeat_residue_width
--
-- Function Description:
-- Calculates the number of Least significant bits of the BTT field
-- that are unused for the LEN calculation
--
-------------------------------------------------------------------
function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is
Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream
begin
case bytes_per_beat is
when 1 =>
temp_dbeat_residue_width := 0;
when 2 =>
temp_dbeat_residue_width := 1;
when 4 =>
temp_dbeat_residue_width := 2;
when 8 =>
temp_dbeat_residue_width := 3;
when 16 =>
temp_dbeat_residue_width := 4;
when 32 =>
temp_dbeat_residue_width := 5;
when 64 =>
temp_dbeat_residue_width := 6;
when others => -- 128-byte transfers
temp_dbeat_residue_width := 7;
end case;
Return (temp_dbeat_residue_width);
end function funct_get_dbeat_residue_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_burstcnt_offset
--
-- Function Description:
-- Calculates the bit offset from the residue bits needed to detirmine
-- the load value for the burst counter.
--
-------------------------------------------------------------------
function funct_get_burst_residue_width (max_burst_len : integer) return integer is
Variable temp_burst_residue_width : Integer := 0;
begin
case max_burst_len is
when 256 =>
temp_burst_residue_width := 8;
when 128 =>
temp_burst_residue_width := 7;
when 64 =>
temp_burst_residue_width := 6;
when 32 =>
temp_burst_residue_width := 5;
when 16 =>
temp_burst_residue_width := 4;
when 8 =>
temp_burst_residue_width := 3;
when 4 =>
temp_burst_residue_width := 2;
when others => -- assume 2 dbeats
temp_burst_residue_width := 1;
end case;
Return (temp_burst_residue_width);
end function funct_get_burst_residue_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_get_axi_size
--
-- Function Description:
-- Calculates the AXI SIZE Qualifier based on the data width.
--
-------------------------------------------------------------------
function func_get_axi_size (native_dwidth : integer) return std_logic_vector is
Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000";
Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001";
Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010";
Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011";
Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100";
Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101";
Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110";
Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111";
Variable temp_size : std_logic_vector(2 downto 0) := (others => '0');
begin
case native_dwidth is
when 8 =>
temp_size := AXI_SIZE_1BYTE;
when 16 =>
temp_size := AXI_SIZE_2BYTE;
when 32 =>
temp_size := AXI_SIZE_4BYTE;
when 64 =>
temp_size := AXI_SIZE_8BYTE;
when 128 =>
temp_size := AXI_SIZE_16BYTE;
when 256 =>
temp_size := AXI_SIZE_32BYTE;
when 512 =>
temp_size := AXI_SIZE_64BYTE;
when others => -- 1024 bit dwidth
temp_size := AXI_SIZE_128BYTE;
end case;
Return (temp_size);
end function func_get_axi_size;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_sf_offset_ls_index
--
-- Function Description:
-- Calculates the Ls index of the Store and Forward
-- starting offset bus based on the User Stream Width.
--
-------------------------------------------------------------------
function funct_get_sf_offset_ls_index (stream_width : integer) return integer is
Variable lvar_temp_ls_index : Integer := 0;
begin
case stream_width is
when 8 =>
lvar_temp_ls_index := 0;
when 16 =>
lvar_temp_ls_index := 1;
when 32 =>
lvar_temp_ls_index := 2;
when 64 =>
lvar_temp_ls_index := 3;
when 128 =>
lvar_temp_ls_index := 4;
when 256 =>
lvar_temp_ls_index := 5;
when 512 =>
lvar_temp_ls_index := 6;
when others => -- 1024
lvar_temp_ls_index := 7;
end case;
Return (lvar_temp_ls_index);
end function funct_get_sf_offset_ls_index;
-- Constant Declarations ----------------------------------------
Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address)
Constant CMD_BTT_WIDTH : integer := C_BTT_USED;
Constant CMD_BTT_LS_INDEX : integer := 0;
Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1;
Constant CMD_TYPE_INDEX : integer := 23;
Constant CMD_DRR_INDEX : integer := BASE_CMD_WIDTH-1;
Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2;
Constant CMD_DSA_WIDTH : integer := 6;
Constant CMD_DSA_LS_INDEX : integer := CMD_TYPE_INDEX+1;
Constant CMD_DSA_MS_INDEX : integer := (CMD_DSA_LS_INDEX+CMD_DSA_WIDTH)-1;
Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH;
Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1;
Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH;
Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH;
Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1;
----------------------------------------------------------------------------------------
-- Command calculation constants
Constant SIZE_TO_USE : std_logic_vector(2 downto 0) := func_get_axi_size(C_NATIVE_XFER_WIDTH);
Constant BYTES_PER_DBEAT : integer := C_NATIVE_XFER_WIDTH/8;
Constant DBEATS_PER_BURST : integer := C_MAX_BURST_LEN;
Constant BYTES_PER_MAX_BURST : integer := DBEATS_PER_BURST*BYTES_PER_DBEAT;
Constant LEN_WIDTH : integer := 8; -- 8 bits fixed
Constant MAX_LEN_VALUE : integer := DBEATS_PER_BURST-1;
Constant XFER_LEN_ZERO : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
Constant DBEAT_RESIDUE_WIDTH : integer := funct_get_dbeat_residue_width(BYTES_PER_DBEAT);
Constant BURST_RESIDUE_WIDTH : integer := funct_get_burst_residue_width(C_MAX_BURST_LEN);
Constant BURST_RESIDUE_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH;
Constant BTT_RESIDUE_WIDTH : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH;
Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
Constant BTT_RESIDUE_1 : unsigned := TO_UNSIGNED( 1, BTT_RESIDUE_WIDTH);
Constant BTT_RESIDUE_0 : unsigned := TO_UNSIGNED( 0, BTT_RESIDUE_WIDTH);
Constant BURST_CNT_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH;
Constant BURST_CNTR_WIDTH : integer := CMD_BTT_WIDTH - (DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH);
Constant BRST_CNT_1 : unsigned := TO_UNSIGNED( 1, BURST_CNTR_WIDTH);
Constant BRST_CNT_0 : unsigned := TO_UNSIGNED( 0, BURST_CNTR_WIDTH);
Constant BRST_RESIDUE_0 : std_logic_vector(BURST_RESIDUE_WIDTH-1 downto 0) := (others => '0');
Constant DBEAT_RESIDUE_0 : std_logic_vector(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
Constant ADDR_CNTR_WIDTH : integer := 16; -- Addres Counter slice
Constant ADDR_MS_SLICE_WIDTH : integer := C_ADDR_WIDTH-ADDR_CNTR_WIDTH;
Constant ADDR_CNTR_MAX_VALUE : unsigned := TO_UNSIGNED((2**ADDR_CNTR_WIDTH)-1, ADDR_CNTR_WIDTH);
Constant ADDR_CNTR_ONE : unsigned := TO_UNSIGNED(1, ADDR_CNTR_WIDTH);
Constant MBAA_ADDR_SLICE_WIDTH : integer := BTT_RESIDUE_WIDTH;
Constant STRBGEN_ADDR_SLICE_WIDTH : integer := DBEAT_RESIDUE_WIDTH;
Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
Constant STRBGEN_ADDR_SLICE_1 : unsigned := TO_UNSIGNED( 1, STRBGEN_ADDR_SLICE_WIDTH);
Constant SF_OFFSET_LS_INDEX : integer := funct_get_sf_offset_ls_index(C_STREAM_DWIDTH);
Constant SF_OFFSET_MS_INDEX : integer := (SF_OFFSET_LS_INDEX + C_STRT_SF_OFFSET_WIDTH)-1;
-- Type Declarations --------------------------------------------
type PCC_SM_STATE_TYPE is (
INIT,
WAIT_FOR_CMD,
CALC_1,
CALC_2,
CALC_3,
WAIT_ON_XFER_PUSH,
CHK_IF_DONE,
ERROR_TRAP
);
-- Signal Declarations --------------------------------------------
Signal sig_pcc_sm_state : PCC_SM_STATE_TYPE := INIT;
Signal sig_pcc_sm_state_ns : PCC_SM_STATE_TYPE := INIT;
signal sig_sm_halt_ns : std_logic := '0';
signal sig_sm_halt_reg : std_logic := '0';
signal sig_sm_ld_xfer_reg_ns : std_logic := '0';
signal sig_sm_ld_xfer_reg_ns_tmp : std_logic := '0';
signal sig_sm_pop_input_reg_ns : std_logic := '0';
signal sig_sm_pop_input_reg : std_logic := '0';
signal sig_sm_ld_calc1_reg_ns : std_logic := '0';
signal sig_sm_ld_calc1_reg : std_logic := '0';
signal sig_sm_ld_calc2_reg_ns : std_logic := '0';
signal sig_sm_ld_calc2_reg : std_logic := '0';
signal sig_sm_ld_calc3_reg_ns : std_logic := '0';
signal sig_sm_ld_calc3_reg : std_logic := '0';
signal sig_parent_done : std_logic := '0';
signal sig_ld_xfer_reg : std_logic := '0';
signal sig_ld_xfer_reg_tmp : std_logic := '0';
signal sig_btt_raw : std_logic := '0';
signal sig_btt_is_zero : std_logic := '0';
signal sig_btt_is_zero_reg : std_logic := '0';
-- unused signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0');
-- unused signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0');
-- unused signal sig_next_strt_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0');
-- unused signal sig_next_end_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0');
----------------------------------------------------------------------------------------
-- Burst Buster signals
signal sig_burst_cnt_slice_im0 : unsigned(BURST_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_last_xfer_valid_im1 : std_logic := '0';
signal sig_brst_cnt_eq_zero_im0 : std_logic := '0';
signal sig_brst_cnt_eq_zero_ireg1 : std_logic := '0';
signal sig_brst_cnt_eq_one_im0 : std_logic := '0';
signal sig_brst_cnt_eq_one_ireg1 : std_logic := '0';
signal sig_brst_residue_eq_zero : std_logic := '0';
signal sig_brst_residue_eq_zero_reg : std_logic := '0';
signal sig_no_btt_residue_im0 : std_logic := '0';
signal sig_no_btt_residue_ireg1 : std_logic := '0';
signal sig_btt_residue_slice_im0 : Unsigned(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
-- Input command register
signal sig_push_input_reg : std_logic := '0';
signal sig_pop_input_reg : std_logic := '0';
signal sig_input_burst_type_reg : std_logic := '0';
signal sig_input_cache_type_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_input_user_type_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_input_btt_residue_minus1_reg : std_logic_vector(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
signal sig_input_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_input_drr_reg : std_logic := '0';
signal sig_input_eof_reg : std_logic := '0';
signal sig_input_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_input_reg_empty : std_logic := '0';
signal sig_input_reg_full : std_logic := '0';
-- Output qualifier Register
-- signal sig_ld_output : std_logic := '0';
signal sig_push_xfer_reg : std_logic := '0';
signal sig_pop_xfer_reg : std_logic := '0';
signal sig_xfer_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_type_reg : std_logic := '0';
signal sig_xfer_cache_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_xfer_user_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_xfer_len_reg : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_drr_reg : std_logic := '0';
signal sig_xfer_eof_reg : std_logic := '0';
signal sig_xfer_strt_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_is_seq_reg : std_logic := '0';
signal sig_xfer_cmd_cmplt_reg : std_logic := '0';
signal sig_xfer_calc_err_reg : std_logic := '0';
signal sig_xfer_reg_empty : std_logic := '0';
signal sig_xfer_reg_full : std_logic := '0';
-- Address Counter
signal sig_ld_addr_cntr : std_logic := '0';
signal sig_incr_addr_cntr : std_logic := '0';
signal sig_addr_cntr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_byte_change_minus1_im2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
-- misc
signal sig_xfer_len_im2 : std_logic_vector(LEN_WIDTH-1 downto 0);
signal sig_xfer_strt_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_strt_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_address_im0 : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_cmd_addr_slice : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_type_slice : std_logic := '0';
signal sig_cmd_cache_slice : std_logic_vector (3 downto 0) := "0000";
signal sig_cmd_user_slice : std_logic_vector (3 downto 0) := "0000";
signal sig_cmd_tag_slice : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_dsa_slice : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_drr_slice : std_logic := '0';
signal sig_cmd_eof_slice : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_calc_error_pushed : std_logic := '0';
-- PCC2 stuff
signal sig_finish_addr_offset_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_len_eq_0_im2 : std_logic := '0';
signal sig_first_xfer_im0 : std_logic := '0';
signal sig_bytes_to_mbaa_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_bytes_to_mbaa_ireg1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsh_rollover : std_logic := '0';
signal sig_predict_addr_lsh_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_kh : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_im0_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_im0_msh : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_addr_im0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_bytes_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0');
signal sig_ld_btt_cntr : std_logic := '0';
signal sig_decr_btt_cntr : std_logic := '0';
signal sig_btt_cntr_im0 : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd2data_valid : std_logic := '0';
signal sig_clr_cmd2data_valid : std_logic := '0';
signal sig_cmd2addr_valid : std_logic := '0';
signal sig_clr_cmd2addr_valid : std_logic := '0';
signal sig_btt_lt_b2mbaa_im0 : std_logic := '0';
signal sig_btt_lt_b2mbaa_ireg1 : std_logic := '0';
signal sig_btt_eq_b2mbaa_im0 : std_logic := '0';
signal sig_btt_eq_b2mbaa_ireg1 : std_logic := '0';
signal sig_addr_incr_ge_bpdb_im1 : std_logic := '0';
-- Unaligned start address support
signal sig_adjusted_addr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_adjusted_addr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_start_addr_offset_slice_im0 : Unsigned(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
signal sig_mbaa_addr_cntr_slice_im0 : Unsigned(MBAA_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_aligned_im0 : std_logic := '0';
signal sig_addr_aligned_ireg1 : std_logic := '0';
-- S2MM DRE Support
signal sig_cmd2dre_valid : std_logic := '0';
signal sig_clr_cmd2dre_valid : std_logic := '0';
signal sig_input_xfer_btt_im0 : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_dre_eof_reg : std_logic := '0';
-- Long Timing path breakup intermediate registers
signal sig_strbgen_addr_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_bytes_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0');
signal sig_finish_addr_offset_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_last_addr_offset_im2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_strt_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_len_eq_0_ireg3 : std_logic := '0';
signal sig_addr_cntr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im3_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im2 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_ireg3 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsh_rollover_im3 : std_logic := '0';
signal sig_mmap_reset_reg : std_logic := '0';
----------------------------------------------------------
begin --(architecture implementation)
-- Assign calculation error output
calc_error <= sig_calc_error_reg;
-- Assign the ready output to the Command FIFO
mst2cmd_cmd_ready <= not(sig_sm_halt_reg) and
sig_input_reg_empty and
not(sig_calc_error_pushed);
-- Assign the Address Channel Controller Qualifiers
mstr2addr_tag <= sig_xfer_tag_reg ;
mstr2addr_addr <= sig_xfer_addr_reg;
mstr2addr_len <= sig_xfer_len_reg ;
mstr2addr_size <= sig_xfer_size ;
mstr2addr_burst <= '0' & sig_xfer_type_reg; -- only fixed or increment supported
mstr2addr_cache <= sig_xfer_cache_reg; -- only fixed or increment supported
mstr2addr_user <= sig_xfer_user_reg; -- only fixed or increment supported
mstr2addr_cmd_valid <= sig_cmd2addr_valid;
mstr2addr_calc_error <= sig_xfer_calc_err_reg;
mstr2addr_cmd_cmplt <= sig_xfer_cmd_cmplt_reg;
-- Assign the Data Channel Controller Qualifiers
mstr2data_tag <= sig_xfer_tag_reg ;
mstr2data_saddr_lsb <= sig_xfer_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0);
mstr2data_len <= sig_xfer_len_reg ;
mstr2data_strt_strb <= sig_xfer_strt_strb_reg;
mstr2data_last_strb <= sig_xfer_end_strb_reg ;
mstr2data_drr <= sig_xfer_drr_reg ;
mstr2data_eof <= sig_xfer_eof_reg ;
mstr2data_sequential <= sig_xfer_is_seq_reg ;
mstr2data_cmd_cmplt <= sig_xfer_cmd_cmplt_reg;
mstr2data_cmd_valid <= sig_cmd2data_valid ;
mstr2data_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE
mstr2data_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE
mstr2data_calc_error <= sig_xfer_calc_err_reg ;
-- Assign the DRE Controller Qualifiers
mstr2dre_cmd_valid <= sig_cmd2dre_valid ; -- Used by DRE
mstr2dre_tag <= sig_xfer_tag_reg ; -- Used by DRE
mstr2dre_btt <= sig_xfer_btt_reg ; -- Used by DRE
mstr2dre_drr <= sig_xfer_drr_reg ; -- Used by DRE
mstr2dre_eof <= sig_xfer_dre_eof_reg ; -- Used by DRE
mstr2dre_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; -- Used by DRE
mstr2dre_calc_error <= sig_xfer_calc_err_reg ; -- Used by DRE
------------------------------------------------------------
-- If Generate
--
-- Label: DO_MM2S_CASE
--
-- If Generate Description:
-- Assigns the auxillary DRE Control Source and Destination
-- ports for the MM2S use case.
--
------------------------------------------------------------
DO_MM2S_CASE : if (C_IS_MM2S = 1) generate
begin
mstr2dre_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE
mstr2dre_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE
end generate DO_MM2S_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: DO_S2MM_CASE
--
-- If Generate Description:
-- Assigns the auxillary DRE Control Source and Destination
-- ports for the S2MM use case.
--
------------------------------------------------------------
DO_S2MM_CASE : if (C_IS_MM2S = 0) generate
begin
mstr2dre_dre_src_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE
mstr2dre_dre_dest_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE
end generate DO_S2MM_CASE;
-- Store and Forward Support Start Offset (used by Packer/Unpacker logic)
mstr2dre_strt_offset <= sig_xfer_addr_reg(SF_OFFSET_MS_INDEX downto SF_OFFSET_LS_INDEX);
-- Start internal logic.
-- sig_cmd_type_slice <= '1'; -- always incrementing (per Interface_X guidelines)
sig_cmd_user_slice <= cache2mstr_command(7 downto 4);
sig_cmd_cache_slice <= cache2mstr_command(3 downto 0);
sig_cmd_type_slice <= cmd2mstr_command(CMD_TYPE_INDEX);
sig_cmd_addr_slice <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX);
sig_cmd_tag_slice <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX);
sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX);
sig_cmd_dsa_slice <= cmd2mstr_command(CMD_DSA_MS_INDEX downto CMD_DSA_LS_INDEX);
sig_cmd_drr_slice <= cmd2mstr_command(CMD_DRR_INDEX);
sig_cmd_eof_slice <= cmd2mstr_command(CMD_EOF_INDEX);
-- Check for a zero length BTT (error condition)
sig_btt_is_zero <= '1'
when (sig_cmd_btt_slice = BTT_ZEROS)
Else '0';
sig_xfer_size <= SIZE_TO_USE;
-----------------------------------------------------------------
-- Reset fanout control
-----------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_REG
--
-- Process Description:
-- Registers the input reset to reduce fanout. This module
-- has a high number of register bits to reset.
--
-------------------------------------------------------------
IMP_RESET_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
sig_mmap_reset_reg <= mmap_reset;
end if;
end process IMP_RESET_REG;
-----------------------------------------------------------------
-- Input xfer register design
sig_push_input_reg <= not(sig_sm_halt_reg) and
cmd2mstr_cmd_valid and
sig_input_reg_empty and
not(sig_calc_error_reg);
sig_pop_input_reg <= sig_sm_pop_input_reg;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_INPUT_QUAL
--
-- Process Description:
-- Implements the input command qualifier holding register
--
-------------------------------------------------------------
REG_INPUT_QUAL : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_pop_input_reg = '1' or
sig_calc_error_pushed = '1') then
sig_input_cache_type_reg <= (others => '0');
sig_input_user_type_reg <= (others => '0');
sig_input_burst_type_reg <= '0';
sig_input_tag_reg <= (others => '0');
sig_input_dsa_reg <= (others => '0');
sig_input_drr_reg <= '0';
sig_input_eof_reg <= '0';
sig_input_reg_empty <= '1';
sig_input_reg_full <= '0';
elsif (sig_push_input_reg = '1') then
sig_input_cache_type_reg <= sig_cmd_cache_slice;
sig_input_user_type_reg <= sig_cmd_user_slice;
sig_input_burst_type_reg <= sig_cmd_type_slice;
sig_input_tag_reg <= sig_cmd_tag_slice;
sig_input_dsa_reg <= sig_cmd_dsa_slice;
sig_input_drr_reg <= sig_cmd_drr_slice;
sig_input_eof_reg <= sig_cmd_eof_slice;
sig_input_reg_empty <= '0';
sig_input_reg_full <= '1';
else
null; -- Hold current State
end if;
end if;
end process REG_INPUT_QUAL;
----------------------------------------------------------------------
-- Calculation Error Logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CALC_ERROR_FLOP
--
-- Process Description:
-- Implements the flop for the Calc Error flag, Once set,
-- the flag cannot be cleared until a reset is issued.
--
-------------------------------------------------------------
IMP_CALC_ERROR_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_calc_error_reg <= '0';
elsif (sig_push_input_reg = '1' and
sig_calc_error_reg = '0') then
sig_calc_error_reg <= sig_btt_is_zero;
else
Null; -- hold the current state
end if;
end if;
end process IMP_CALC_ERROR_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CALC_ERROR_PUSHED
--
-- Process Description:
-- Implements the flop for generating a flag indicating the
-- calculation error flag has been pushed to the addr and data
-- controllers.
--
-------------------------------------------------------------
IMP_CALC_ERROR_PUSHED : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_calc_error_pushed <= '0';
elsif (sig_push_xfer_reg = '1' and
sig_calc_error_pushed = '0') then
sig_calc_error_pushed <= sig_calc_error_reg;
else
Null; -- hold the current state
end if;
end if;
end process IMP_CALC_ERROR_PUSHED;
---------------------------------------------------------------------
-- Strobe Generator Logic
sig_xfer_strt_strb2use_im3 <= sig_xfer_strt_strb_ireg3
When (sig_first_xfer_im0 = '1')
Else (others => '1');
sig_xfer_end_strb2use_im3 <= sig_xfer_strt_strb2use_im3
When (sig_xfer_len_eq_0_ireg3 = '1' and
sig_first_xfer_im0 = '1')
else sig_xfer_end_strb_ireg3
When (sig_last_xfer_valid_im1 = '1')
Else (others => '1');
----------------------------------------------------------
-- Intermediate registers for STBGEN Fmax path
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_STBGEN_REGS
--
-- Process Description:
-- Intermediate registers for Strobegen inputs to break
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_STBGEN_REGS : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_strbgen_addr_ireg2 <= (others => '0');
sig_strbgen_bytes_ireg2 <= (others => '0');
sig_finish_addr_offset_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_strbgen_addr_ireg2 <= sig_strbgen_addr_im0 ;
sig_strbgen_bytes_ireg2 <= sig_strbgen_bytes_im1 ;
sig_finish_addr_offset_ireg2 <= sig_finish_addr_offset_im1;
else
null; -- hold state
end if;
end if;
end process IMP_IM_STBGEN_REGS;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_STBGEN_OUT_REGS
--
-- Process Description:
-- Intermediate registers for Strobegen outputs to break
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_STBGEN_OUT_REGS : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_xfer_strt_strb_ireg3 <= (others => '0');
sig_xfer_end_strb_ireg3 <= (others => '0');
sig_xfer_len_eq_0_ireg3 <= '0';
elsif (sig_sm_ld_calc3_reg = '1') then
sig_xfer_strt_strb_ireg3 <= sig_xfer_strt_strb_im2;
sig_xfer_end_strb_ireg3 <= sig_xfer_end_strb_im2 ;
sig_xfer_len_eq_0_ireg3 <= sig_xfer_len_eq_0_im2 ;
else
null; -- hold state
end if;
end if;
end process IMP_IM_STBGEN_OUT_REGS;
------------------------------------------------------------
-- Instance: I_STRT_STRB_GEN
--
-- Description:
-- Strobe generator instance. Generates strobe bits for
-- a designated starting byte lane and the number of bytes
-- to be transfered (for that data beat).
--
------------------------------------------------------------
I_STRT_STRB_GEN : entity axi_datamover_v5_1_9.axi_datamover_strb_gen2
generic map (
C_OP_MODE => 0 , -- 0 = Offset/Length mode
C_STRB_WIDTH => BYTES_PER_DBEAT ,
C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ,
C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1
)
port map (
start_addr_offset => sig_strbgen_addr_ireg2 ,
end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0
num_valid_bytes => sig_strbgen_bytes_ireg2 ,
strb_out => sig_xfer_strt_strb_im2
);
-- The ending address offset is 1 less than the calculated
-- starting address for the next sequential transfer.
sig_last_addr_offset_im2 <= STD_LOGIC_VECTOR(UNSIGNED(sig_finish_addr_offset_ireg2) -
STRBGEN_ADDR_SLICE_1);
------------------------------------------------------------
-- Instance: I_END_STRB_GEN
--
-- Description:
-- End Strobe generator instance. Generates asserted strobe
-- bits from byte offset 0 to the ending byte offset.
--
------------------------------------------------------------
I_END_STRB_GEN : entity axi_datamover_v5_1_9.axi_datamover_strb_gen2
generic map (
C_OP_MODE => 1 , -- 0 = Offset/Length mode
C_STRB_WIDTH => BYTES_PER_DBEAT ,
C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ,
C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH
)
port map (
start_addr_offset => STRBGEN_ADDR_0 ,
end_addr_offset => sig_last_addr_offset_im2 ,
num_valid_bytes => STRBGEN_ADDR_0 , -- not used in op mode 1
strb_out => sig_xfer_end_strb_im2
);
-----------------------------------------------------------------
-- Output xfer register design
sig_push_xfer_reg <= (sig_ld_xfer_reg and sig_xfer_reg_empty);
-- Data taking xfer after Addr and DRE
sig_pop_xfer_reg <= (sig_clr_cmd2data_valid and not(sig_cmd2addr_valid) and not(sig_cmd2dre_valid)) or
-- Addr taking xfer after Data and DRE
(sig_clr_cmd2addr_valid and not(sig_cmd2data_valid) and not(sig_cmd2dre_valid)) or
-- DRE taking xfer after Data and ADDR
(sig_clr_cmd2dre_valid and not(sig_cmd2data_valid) and not(sig_cmd2addr_valid)) or
-- data and Addr taking xfer after DRE
(sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and not(sig_cmd2dre_valid)) or
-- Addr and DRE taking xfer after Data
(sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid and not(sig_cmd2data_valid)) or
-- Data and DRE taking xfer after Addr
(sig_clr_cmd2data_valid and sig_clr_cmd2dre_valid and not(sig_cmd2addr_valid)) or
-- Addr, Data, and DRE all taking xfer
(sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_OUTPUT_QUAL
--
-- Process Description:
-- Implements the output xfer qualifier holding register
--
-------------------------------------------------------------
REG_OUTPUT_QUAL : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
(sig_pop_xfer_reg = '1' and
sig_push_xfer_reg = '0')) then
-- sig_xfer_cache_reg <= (others => '0');
-- sig_xfer_user_reg <= (others => '0');
-- sig_xfer_addr_reg <= (others => '0');
-- sig_xfer_type_reg <= '0';
-- sig_xfer_len_reg <= (others => '0');
-- sig_xfer_tag_reg <= (others => '0');
-- sig_xfer_dsa_reg <= (others => '0');
-- sig_xfer_drr_reg <= '0';
-- sig_xfer_eof_reg <= '0';
-- sig_xfer_strt_strb_reg <= (others => '0');
-- sig_xfer_end_strb_reg <= (others => '0');
-- sig_xfer_is_seq_reg <= '0';
-- sig_xfer_cmd_cmplt_reg <= '0';
-- sig_xfer_calc_err_reg <= '0';
-- sig_xfer_btt_reg <= (others => '0');
-- sig_xfer_dre_eof_reg <= '0';
sig_xfer_reg_empty <= '1';
sig_xfer_reg_full <= '0';
elsif (sig_push_xfer_reg = '1') then
-- if (sig_input_burst_type_reg = '0') then
-- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh;
-- else
-- sig_xfer_addr_reg <= sig_xfer_address_im0 ;
-- end if;
-- sig_xfer_type_reg <= sig_input_burst_type_reg ;
-- sig_xfer_cache_reg <= sig_input_cache_type_reg ;
-- sig_xfer_user_reg <= sig_input_user_type_reg ;
-- sig_xfer_len_reg <= sig_xfer_len_im2 ;
-- sig_xfer_tag_reg <= sig_input_tag_reg ;
-- sig_xfer_dsa_reg <= sig_input_dsa_reg ;
-- sig_xfer_drr_reg <= sig_input_drr_reg and
-- sig_first_xfer_im0 ;
-- sig_xfer_eof_reg <= sig_input_eof_reg and
-- sig_last_xfer_valid_im1 ;
-- sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ;
-- sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ;
-- sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ;
-- sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or
-- sig_calc_error_reg ;
-- sig_xfer_calc_err_reg <= sig_calc_error_reg ;
-- sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ;
-- sig_xfer_dre_eof_reg <= sig_input_eof_reg ;
sig_xfer_reg_empty <= '0';
sig_xfer_reg_full <= '1';
else
null; -- Hold current State
end if;
end if;
end process REG_OUTPUT_QUAL;
-- if (sig_input_burst_type_reg = '0') then
-- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh;
-- else
sig_xfer_addr_reg <= sig_xfer_address_im0 when (sig_input_burst_type_reg = '1') else
sig_addr_cntr_lsh_kh ;
-- end if;
sig_xfer_type_reg <= sig_input_burst_type_reg ;
sig_xfer_cache_reg <= sig_input_cache_type_reg ;
sig_xfer_user_reg <= sig_input_user_type_reg ;
sig_xfer_len_reg <= sig_xfer_len_im2 ;
sig_xfer_tag_reg <= sig_input_tag_reg ;
sig_xfer_dsa_reg <= sig_input_dsa_reg ;
sig_xfer_drr_reg <= sig_input_drr_reg and
sig_first_xfer_im0 ;
sig_xfer_eof_reg <= sig_input_eof_reg and
sig_last_xfer_valid_im1 ;
sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ;
sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ;
sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ;
sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or
sig_calc_error_reg ;
sig_xfer_calc_err_reg <= sig_calc_error_reg ;
sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ;
sig_xfer_dre_eof_reg <= sig_input_eof_reg ;
--------------------------------------------------------------
-- BTT Counter Logic
sig_ld_btt_cntr <= sig_ld_addr_cntr;
-- sig_decr_btt_cntr <= sig_incr_addr_cntr;
-- above signal is using the incr_addr_cntr signal and hence cannot be
-- used if burst type is Fixed
sig_decr_btt_cntr <= sig_incr_addr_cntr; --sig_push_xfer_reg;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_BTT_CNTR
--
-- Process Description:
-- Bytes to transfer counter implementation.
--
-------------------------------------------------------------
IMP_BTT_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_btt_cntr_im0 <= (others => '0');
elsif (sig_ld_btt_cntr = '1') then
sig_btt_cntr_im0 <= UNSIGNED(sig_cmd_btt_slice);
Elsif (sig_decr_btt_cntr = '1') Then
sig_btt_cntr_im0 <= sig_btt_cntr_im0-RESIZE(sig_addr_cntr_incr_ireg2, CMD_BTT_WIDTH);
else
null; -- hold current state
end if;
end if;
end process IMP_BTT_CNTR;
-- Convert to logic vector for the S2MM DRE use
-- The DRE will only use this value prior to the first
-- decrement of the BTT Counter. Using this saves a separate
-- BTT register.
sig_input_xfer_btt_im0 <= STD_LOGIC_VECTOR(sig_btt_cntr_im0);
-- Rip the Burst Count slice from BTT counter value
sig_burst_cnt_slice_im0 <= sig_btt_cntr_im0(CMD_BTT_WIDTH-1 downto BURST_CNT_LS_INDEX);
sig_brst_cnt_eq_zero_im0 <= '1'
When (sig_burst_cnt_slice_im0 = BRST_CNT_0)
Else '0';
sig_brst_cnt_eq_one_im0 <= '1'
When (sig_burst_cnt_slice_im0 = BRST_CNT_1)
Else '0';
-- Rip the BTT residue field from the BTT counter value
sig_btt_residue_slice_im0 <= sig_btt_cntr_im0(BTT_RESIDUE_WIDTH-1 downto 0);
-- Check for transfer length residue of zero prior to subtracting 1
sig_no_btt_residue_im0 <= '1'
when (sig_btt_residue_slice_im0 = BTT_RESIDUE_0)
Else '0';
-- Unaligned address compensation
-- Add the number of starting address offset byte positions to the
-- final byte change value needed to calculate the AXI LEN field
sig_start_addr_offset_slice_im0 <= sig_addr_cntr_lsh_im0(DBEAT_RESIDUE_WIDTH-1 downto 0);
sig_adjusted_addr_incr_im1 <= sig_addr_cntr_incr_im1 +
RESIZE(sig_start_addr_offset_slice_im0, ADDR_CNTR_WIDTH);
-- adjust the address increment down by 1 byte to compensate
-- for the LEN requirement of being N-1 data beats
sig_byte_change_minus1_im2 <= sig_adjusted_addr_incr_ireg2-ADDR_CNTR_ONE;
-- Rip the new transfer length value
sig_xfer_len_im2 <= STD_LOGIC_VECTOR(
RESIZE(
sig_byte_change_minus1_im2(BTT_RESIDUE_WIDTH-1 downto
DBEAT_RESIDUE_WIDTH),
LEN_WIDTH)
);
-- Check to see if the new xfer length is zero (1 data beat)
sig_xfer_len_eq_0_im2 <= '1'
when (sig_xfer_len_im2 = XFER_LEN_ZERO)
Else '0';
-- Check for Last transfer condition
--sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_im0 and
sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_ireg1 and
--sig_no_btt_residue_im0 and
sig_no_btt_residue_ireg1 and
-- sig_addr_aligned_im0) or -- always the last databeat case
sig_addr_aligned_ireg1) or -- always the last databeat case
-- ((sig_btt_lt_b2mbaa_im0 or sig_btt_eq_b2mbaa_im0) and -- less than a full burst remaining
((sig_btt_lt_b2mbaa_ireg1 or sig_btt_eq_b2mbaa_ireg1) and -- less than a full burst remaining
-- (sig_brst_cnt_eq_zero_im0 and not(sig_no_btt_residue_im0)));
(sig_brst_cnt_eq_zero_ireg1 and not(sig_no_btt_residue_ireg1)));
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
--
-- General Address Counter Logic (applies to any address width of 32 or greater
-- The address counter is divided into 2 16-bit segements for 32-bit address support. As the
-- address gets wider, up to 2 more segements will be added via IfGens to provide for 64-bit
-- addressing.
--
----------------------------------------------------------------------------------------------------
-- Rip the LS bits of the LS Address Counter for the StrobeGen
-- starting address offset
sig_strbgen_addr_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0));
-- Check if the calcualted address increment (in bytes) is greater than the
-- number of bytes that can be transfered per data beat
sig_addr_incr_ge_bpdb_im1 <= '1'
When (sig_addr_cntr_incr_im1 >= TO_UNSIGNED(BYTES_PER_DBEAT, ADDR_CNTR_WIDTH))
Else '0';
-- If the calculated address increment (in bytes) is greater than the
-- number of bytes that can be transfered per data beat, then clip the
-- strobegen byte value to the number of bytes per data beat, else use the
-- increment value.
sig_strbgen_bytes_im1 <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1))
when (sig_addr_incr_ge_bpdb_im1 = '1')
else STD_LOGIC_VECTOR(sig_addr_cntr_incr_im1(STRBGEN_ADDR_SLICE_WIDTH downto 0));
--------------------------------------------------------------------------
-- Address Counter logic
sig_ld_addr_cntr <= sig_push_input_reg;
-- don't increment address cntr if type is '0' (non-incrementing)
sig_incr_addr_cntr <= sig_pop_xfer_reg;-- and
-- sig_input_burst_type_reg;
sig_mbaa_addr_cntr_slice_im0 <= sig_addr_cntr_lsh_im0(MBAA_ADDR_SLICE_WIDTH-1 downto 0);
sig_bytes_to_mbaa_im0 <= TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH) -
RESIZE(sig_mbaa_addr_cntr_slice_im0,ADDR_CNTR_WIDTH);
sig_addr_aligned_im0 <= '1'
when (sig_mbaa_addr_cntr_slice_im0 = BTT_RESIDUE_0)
Else '0';
-- Check to see if the jump to the Max Burst Aligned Address (mbaa) is less
-- than or equal to the remaining bytes to transfer. If it is, then at least
-- two tranfers have to be scheduled.
sig_btt_lt_b2mbaa_im0 <= '1'
when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) < sig_bytes_to_mbaa_im0) and
(sig_brst_cnt_eq_zero_im0 = '1'))
Else '0';
sig_btt_eq_b2mbaa_im0 <= '1'
when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) = sig_bytes_to_mbaa_im0) and
(sig_brst_cnt_eq_zero_im0 = '1'))
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_REG1
--
-- Process Description:
-- Intermediate register stage 1 for Address Counter
-- derivative calculations.
--
-------------------------------------------------------------
IMP_IM_REG1 : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_bytes_to_mbaa_ireg1 <= (others => '0');
sig_addr_aligned_ireg1 <= '0' ;
sig_btt_lt_b2mbaa_ireg1 <= '0' ;
sig_btt_eq_b2mbaa_ireg1 <= '0' ;
sig_brst_cnt_eq_zero_ireg1 <= '0' ;
sig_brst_cnt_eq_one_ireg1 <= '0' ;
sig_no_btt_residue_ireg1 <= '0' ;
elsif (sig_sm_ld_calc1_reg = '1') then
sig_bytes_to_mbaa_ireg1 <= sig_bytes_to_mbaa_im0 ;
sig_addr_aligned_ireg1 <= sig_addr_aligned_im0 ;
sig_btt_lt_b2mbaa_ireg1 <= sig_btt_lt_b2mbaa_im0 ;
sig_btt_eq_b2mbaa_ireg1 <= sig_btt_eq_b2mbaa_im0 ;
sig_brst_cnt_eq_zero_ireg1 <= sig_brst_cnt_eq_zero_im0;
sig_brst_cnt_eq_one_ireg1 <= sig_brst_cnt_eq_one_im0 ;
sig_no_btt_residue_ireg1 <= sig_no_btt_residue_im0 ;
else
null; -- hold state
end if;
end if;
end process IMP_IM_REG1;
-- Select the address counter increment value to use
sig_addr_cntr_incr_im1 <= RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH)
--When (sig_btt_lt_b2mbaa_im0 = '1')
When (sig_btt_lt_b2mbaa_ireg1 = '1')
--else sig_bytes_to_mbaa_im0
else sig_bytes_to_mbaa_ireg1
when (sig_first_xfer_im0 = '1')
else TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH);
-- calculate the next starting address after the current
-- xfer completes
sig_predict_addr_lsh_im1 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_im1;
-- Predict next transfer's address offset for the Strobe Generator
sig_finish_addr_offset_im1 <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_im1(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0));
sig_addr_cntr_lsh_im0_slv <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- Determine if an address count lsh rollover is going to occur when
-- jumping to the next starting address by comparing the MS bit of the
-- current address lsh to the MS bit of the predicted address lsh .
-- A transition of a '1' to a '0' is a rollover.
sig_addr_lsh_rollover_im3 <= '1'
when (
(sig_addr_cntr_lsh_im0_slv(ADDR_CNTR_WIDTH-1) = '1') and
(sig_predict_addr_lsh_im3_slv(ADDR_CNTR_WIDTH-1) = '0')
)
Else '0';
----------------------------------------------------------
-- Intermediate registers for reducing the Address Counter
-- Increment timing path
----------------------------------------------------------
-- calculate the next starting address after the current
-- xfer completes using intermediate register values
sig_predict_addr_lsh_im2 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_ireg2;
sig_predict_addr_lsh_im3_slv <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_ireg3);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_ADDRINC_REG
--
-- Process Description:
-- Intermediate registers for address counter increment to
-- break long timing paths.
--
-------------------------------------------------------------
IMP_IM_ADDRINC_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_incr_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_addr_cntr_incr_ireg2 <= sig_addr_cntr_incr_im1;
else
null; -- hold state
end if;
end if;
end process IMP_IM_ADDRINC_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_PREDICT_ADDR_REG
--
-- Process Description:
-- Intermediate register for predicted address to break up
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_PREDICT_ADDR_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_predict_addr_lsh_ireg3 <= (others => '0');
elsif (sig_sm_ld_calc3_reg = '1') then
sig_predict_addr_lsh_ireg3 <= sig_predict_addr_lsh_im2;
else
null; -- hold state
end if;
end if;
end process IMP_IM_PREDICT_ADDR_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_ADDR_STUFF
--
-- Process Description:
-- Implements a general register for address counter related
-- things.
--
-------------------------------------------------------------
REG_ADDR_STUFF : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_adjusted_addr_incr_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_adjusted_addr_incr_ireg2 <= sig_adjusted_addr_incr_im1;
else
null; -- hold state
end if;
end if;
end process REG_ADDR_STUFF;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_LSH_ADDR_CNTR
--
-- Process Description:
-- Least Significant Half Address counter implementation.
--
-------------------------------------------------------------
IMP_LSH_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_lsh_im0 <= (others => '0');
sig_addr_cntr_lsh_kh <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
sig_addr_cntr_lsh_im0 <= UNSIGNED(sig_cmd_addr_slice(ADDR_CNTR_WIDTH-1 downto 0));
sig_addr_cntr_lsh_kh <= sig_cmd_addr_slice;
Elsif (sig_incr_addr_cntr = '1') then -- and sig_input_burst_type_reg = '1') Then
sig_addr_cntr_lsh_im0 <= sig_predict_addr_lsh_ireg3;
else
null; -- hold current state
end if;
end if;
end process IMP_LSH_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_MSH_ADDR_CNTR
--
-- Process Description:
-- Least Significant Half Address counter implementation.
--
-------------------------------------------------------------
IMP_MSH_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_im0_msh <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
sig_addr_cntr_im0_msh <= UNSIGNED(sig_cmd_addr_slice((2*ADDR_CNTR_WIDTH)-1 downto ADDR_CNTR_WIDTH));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1') then
sig_addr_cntr_im0_msh <= sig_addr_cntr_im0_msh+ADDR_CNTR_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_MSH_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_FIRST_XFER_FLOP
--
-- Process Description:
-- Implements the register flop for the first transfer flag.
--
-------------------------------------------------------------
IMP_FIRST_XFER_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_incr_addr_cntr = '1') then
sig_first_xfer_im0 <= '0';
elsif (sig_ld_addr_cntr = '1') then
sig_first_xfer_im0 <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_FIRST_XFER_FLOP;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_32
--
-- If Generate Description:
-- Implements the Address segment merge logic for the 32-bit
-- address width case. The address counter segments are split
-- into two 16-bit sections to improve Fmax convergence.
--
--
------------------------------------------------------------
GEN_ADDR_32 : if (C_ADDR_WIDTH = 32) generate
begin
-- Populate the transfer address value by concatonating the
-- address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
end generate GEN_ADDR_32;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_GT_32_LE_48
--
-- If Generate Description:
-- Implements the additional Address Counter logic for the case
-- when the address width is greater than 32 bits and less than
-- or equal to 48 bits. In this case, an additional counter segment
-- is implemented (segment 3) that is variable width of 1
-- to 16 bits.
--
------------------------------------------------------------
GEN_ADDR_GT_32_LE_48 : if (C_ADDR_WIDTH > 32 and
C_ADDR_WIDTH <= 48) generate
-- Local constants
Constant ACNTR_SEG3_WIDTH : integer := C_ADDR_WIDTH-32;
Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH);
Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant SEG3_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1;
Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32;
-- Local Signals
signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0');
signal lsig_acntr_msh_eq_max : std_logic := '0';
signal lsig_acntr_msh_eq_max_reg : std_logic := '0';
begin
-- Populate the transfer address value by concatonating the
-- 3 address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) &
STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- See if the MSH (Segment 2) of the Adress Counter is at a max value
lsig_acntr_msh_eq_max <= '1'
when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG2_EQ_MAX_REG
--
-- Process Description:
-- Implements a register for the flag indicating the address
-- counter MSH (Segment 2) is at max value and will rollover
-- at the next increment interval for the counter. Registering
-- this signal and using it for the Seg 3 increment logic only
-- works because there is always at least a 1 clock time gap
-- between the increment causing the segment 2 counter to go to
-- max and the next increment operation that can bump segment 3.
--
-------------------------------------------------------------
IMP_SEG2_EQ_MAX_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_acntr_msh_eq_max_reg <= '0';
else
lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max;
end if;
end if;
end process IMP_SEG2_EQ_MAX_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG3_ADDR_CNTR
--
-- Process Description:
-- Segment 3 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG3_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg3_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto
SEG3_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and --sig_input_burst_type_reg = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1') then
lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG3_ADDR_CNTR;
end generate GEN_ADDR_GT_32_LE_48;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_GT_48
--
-- If Generate Description:
-- Implements the additional Address Counter logic for the case
-- when the address width is greater than 48 bits and less than
-- or equal to 64. In this case, an additional 2 counter segments
-- are implemented (segment 3 and 4). Segment 3 is a fixed 16-bits
-- and segment 4 is variable width of 1 to 16 bits.
--
------------------------------------------------------------
GEN_ADDR_GT_48 : if (C_ADDR_WIDTH > 48) generate
-- Local constants
Constant ACNTR_SEG3_WIDTH : integer := ADDR_CNTR_WIDTH;
Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH);
Constant ACNTR_SEG3_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant ACNTR_SEG4_WIDTH : integer := C_ADDR_WIDTH-48;
Constant ACNTR_SEG4_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG4_WIDTH);
Constant SEG3_ADDR_RIP_MS_INDEX : integer := 47;
Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32;
Constant SEG4_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1;
Constant SEG4_ADDR_RIP_LS_INDEX : integer := 48;
-- Local Signals
signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0');
signal lsig_acntr_msh_eq_max : std_logic := '0';
signal lsig_acntr_msh_eq_max_reg : std_logic := '0';
signal lsig_acntr_seg3_eq_max : std_logic := '0';
signal lsig_acntr_seg3_eq_max_reg : std_logic := '0';
signal lsig_seg4_addr_cntr : unsigned(ACNTR_SEG4_WIDTH-1 downto 0) := (others => '0');
begin
-- Populate the transfer address value by concatonating the
-- 4 address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg4_addr_cntr ) &
STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) &
STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- See if the MSH (Segment 2) of the Address Counter is at a max value
lsig_acntr_msh_eq_max <= '1'
when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX)
Else '0';
-- See if the Segment 3 of the Address Counter is at a max value
lsig_acntr_seg3_eq_max <= '1'
when (lsig_seg3_addr_cntr = ACNTR_SEG3_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG2_3_EQ_MAX_REG
--
-- Process Description:
-- Implements a register for the flag indicating the address
-- counter segments 2 and 3 are at max value and will rollover
-- at the next increment interval for the counter. Registering
-- these signals and using themt for the Seg 3/4 increment logic
-- only works because there is always at least a 1 clock time gap
-- between the increment causing the segment 2 or 3 counter to go
-- to max and the next increment operation.
--
-------------------------------------------------------------
IMP_SEG2_3_EQ_MAX_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_acntr_msh_eq_max_reg <= '0';
lsig_acntr_seg3_eq_max_reg <= '0';
else
lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max;
lsig_acntr_seg3_eq_max_reg <= lsig_acntr_seg3_eq_max;
end if;
end if;
end process IMP_SEG2_3_EQ_MAX_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG3_ADDR_CNTR
--
-- Process Description:
-- Segment 3 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG3_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg3_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto
SEG3_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1') then
lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG3_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG4_ADDR_CNTR
--
-- Process Description:
-- Segment 4 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG4_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg4_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg4_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG4_ADDR_RIP_MS_INDEX downto
SEG4_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1' and
lsig_acntr_seg3_eq_max_reg = '1') then
lsig_seg4_addr_cntr <= lsig_seg4_addr_cntr+ACNTR_SEG4_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG4_ADDR_CNTR;
end generate GEN_ADDR_GT_48;
-- Addr and data Cntlr FIFO interface handshake logic ------------------------------
sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready;
sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready;
sig_clr_cmd2dre_valid <= sig_cmd2dre_valid and dre2mstr_cmd_ready;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2DATA_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the Data Controller Module.
--
-------------------------------------------------------------
CMD2DATA_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2data_valid = '1') then
sig_cmd2data_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1') then
sig_cmd2data_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2DATA_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2ADDR_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the Address Controller Module.
--
-------------------------------------------------------------
CMD2ADDR_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2addr_valid = '1') then
sig_cmd2addr_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1') then
sig_cmd2addr_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2ADDR_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2DRE_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the DRE Module (S2MM DRE Only).
--
-- Note that the S2MM DRE only needs to be loaded with a command
-- for each parent command, not every child command.
--
-------------------------------------------------------------
CMD2DRE_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2dre_valid = '1') then
sig_cmd2dre_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1' and
sig_first_xfer_im0 = '1') then
sig_cmd2dre_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2DRE_VALID_FLOP;
-------------------------------------------------------------------------
-- PCC State machine Logic
-------------------------------------------------------------
-- Combinational Process
--
-- Label: PCC_SM_COMBINATIONAL
--
-- Process Description:
-- PCC State Machine combinational implementation
--
-------------------------------------------------------------
PCC_SM_COMBINATIONAL : process (sig_pcc_sm_state ,
sig_parent_done ,
sig_push_input_reg ,
sig_pop_xfer_reg ,
sig_calc_error_pushed)
begin
-- SM Defaults
sig_pcc_sm_state_ns <= INIT;
sig_sm_halt_ns <= '0';
sig_sm_ld_xfer_reg_ns <= '0';
sig_sm_pop_input_reg_ns <= '0';
sig_sm_ld_calc1_reg_ns <= '0';
sig_sm_ld_calc2_reg_ns <= '0';
sig_sm_ld_calc3_reg_ns <= '0';
case sig_pcc_sm_state is
--------------------------------------------
when INIT =>
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
sig_sm_halt_ns <= '1';
--------------------------------------------
when WAIT_FOR_CMD =>
If (sig_push_input_reg = '1') Then
sig_pcc_sm_state_ns <= CALC_1;
sig_sm_ld_calc1_reg_ns <= '1';
else
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
End if;
--------------------------------------------
when CALC_1 =>
sig_pcc_sm_state_ns <= CALC_2;
sig_sm_ld_calc2_reg_ns <= '1';
--------------------------------------------
when CALC_2 =>
sig_pcc_sm_state_ns <= CALC_3;
sig_sm_ld_calc3_reg_ns <= '1';
--------------------------------------------
when CALC_3 =>
sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH;
sig_sm_ld_xfer_reg_ns <= '1';
--------------------------------------------
when WAIT_ON_XFER_PUSH =>
if (sig_pop_xfer_reg = '1') then
sig_pcc_sm_state_ns <= CHK_IF_DONE;
else -- wait until output register is loaded
sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH;
end if;
--------------------------------------------
when CHK_IF_DONE =>
If (sig_calc_error_pushed = '1') then -- Internal error, go to trap
sig_pcc_sm_state_ns <= ERROR_TRAP;
sig_sm_halt_ns <= '1';
elsif (sig_parent_done = '1') Then -- done with parent command
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
sig_sm_pop_input_reg_ns <= '1';
else -- Still breaking up parent command
sig_pcc_sm_state_ns <= CALC_1;
sig_sm_ld_calc1_reg_ns <= '1';
end if;
--------------------------------------------
when ERROR_TRAP =>
sig_pcc_sm_state_ns <= ERROR_TRAP;
sig_sm_halt_ns <= '1';
--------------------------------------------
when others =>
sig_pcc_sm_state_ns <= INIT;
end case;
end process PCC_SM_COMBINATIONAL;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: PCC_SM_REGISTERED
--
-- Process Description:
-- PCC State Machine registered implementation
--
-------------------------------------------------------------
PCC_SM_REGISTERED : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_pcc_sm_state <= INIT;
sig_sm_halt_reg <= '1' ;
sig_sm_pop_input_reg <= '0' ;
sig_sm_ld_calc1_reg <= '0' ;
sig_sm_ld_calc2_reg <= '0' ;
sig_sm_ld_calc3_reg <= '0' ;
else
sig_pcc_sm_state <= sig_pcc_sm_state_ns ;
sig_sm_halt_reg <= sig_sm_halt_ns ;
sig_sm_pop_input_reg <= sig_sm_pop_input_reg_ns;
sig_sm_ld_calc1_reg <= sig_sm_ld_calc1_reg_ns ;
sig_sm_ld_calc2_reg <= sig_sm_ld_calc2_reg_ns ;
sig_sm_ld_calc3_reg <= sig_sm_ld_calc3_reg_ns ;
end if;
end if;
end process PCC_SM_REGISTERED;
------------------------------------------------------------------
-- Transfer Register Load Enable logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: LD_XFER_REG_FLOP
--
-- Process Description:
-- Sample and Hold FLOP for signaling a load of the output
-- xfer register.
--
-------------------------------------------------------------
LD_XFER_REG_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_push_xfer_reg = '1') then
sig_ld_xfer_reg <= '0';
Elsif (sig_sm_ld_xfer_reg_ns = '1') Then
sig_ld_xfer_reg <= '1';
else
null; -- hold current state
end if;
end if;
end process LD_XFER_REG_FLOP;
LD_XFER_REG_FLOP1 : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_pop_xfer_reg = '1') then
sig_ld_xfer_reg_tmp <= '0';
Elsif (sig_sm_ld_xfer_reg_ns = '1') Then
sig_ld_xfer_reg_tmp <= '1';
else
null; -- hold current state
end if;
end if;
end process LD_XFER_REG_FLOP1;
------------------------------------------------------------------
-- Parent Done flag logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: PARENT_DONE_FLOP
--
-- Process Description:
-- Sample and Hold FLOP for signaling a load of the output
-- xfer register.
--
-------------------------------------------------------------
PARENT_DONE_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_push_input_reg = '1') then
sig_parent_done <= '0';
Elsif (sig_ld_xfer_reg_tmp = '1') Then
sig_parent_done <= sig_last_xfer_valid_im1;
else
null; -- hold current state
end if;
end if;
end process PARENT_DONE_FLOP;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover_pcc.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_pcc.vhd
--
-- Description:
-- This file implements the DataMover Predictive Command Calculator (PCC).
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_9;
use axi_datamover_v5_1_9.axi_datamover_strb_gen2;
-------------------------------------------------------------------------------
entity axi_datamover_pcc is
generic (
C_IS_MM2S : Integer range 0 to 1 := 0;
-- This parameter tells the PCC module if it is a MM2S
-- instance or a S2MM instance.
-- 0 = S2MM Instance
-- 1 = MM2S Instance
C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2;
-- Sets the width of the DRE Aligment output ports
C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5;
-- Sets the width of the LS address bus used for
-- Muxing/Demuxing data to/from a wider AXI4 data bus
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Sets the width of the AXi Address Channel
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the width of the Stream Data width that
-- is being supported by the PCC
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates the max allowed burst length to use for
-- AXI4 transfer calculations
C_CMD_WIDTH : Integer := 68;
-- Sets the width of the input command port
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the Tag field in the input command
C_BTT_USED : Integer range 8 to 23 := 16;
-- Sets the width of the used portion of the BTT field
-- of the input command
C_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Indicates if the Indeterminate BTT mode is enabled
C_NATIVE_XFER_WIDTH : Integer range 8 to 1024 := 32;
-- Indicates the Native transfer width to use for all
-- transfer calculations. This will either be the DataMover
-- input Stream width or the AXI4 MMap data width depending
-- on DataMover parameterization.
C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1
-- Indicates the width of the starting address offset
-- bus passed to Store and Forward functions
);
port (
-- Clock and Reset input ----------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
-----------------------------------------------------------------
-- Master Command FIFO/Register Interface --------------------------------------------
--
cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-- The next command value available from the Command FIFO/Register --
--
cache2mstr_command : in std_logic_vector(7 downto 0); --
-- The next command value available from the Command FIFO/Register --
cmd2mstr_cmd_valid : in std_logic; --
-- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry --
--
mst2cmd_cmd_ready : out std_logic; --
-- Handshake bit indicating the Command Calculator is ready to accept --
-- another command --
--------------------------------------------------------------------------------------
-- Address Channel Controller Interface -----------------------------------
--
mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- The next command address to put on the AXI MMap ADDR --
--
mstr2addr_len : out std_logic_vector(7 downto 0); --
-- The next command length to put on the AXI MMap LEN --
--
mstr2addr_size : out std_logic_vector(2 downto 0); --
-- The next command size to put on the AXI MMap SIZE --
--
mstr2addr_burst : out std_logic_vector(1 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cache : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
mstr2addr_user : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
mstr2addr_cmd_cmplt : out std_logic; --
-- The indication to the Address Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2addr_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calcualtion error --
--
mstr2addr_cmd_valid : out std_logic; --
-- The next command valid indication to the Address Channel --
-- Controller for the AXI MMap --
--
addr2mstr_cmd_ready : In std_logic; --
-- Indication from the Address Channel Controller that the --
-- command is being accepted --
---------------------------------------------------------------------------
-- Data Channel Controller Interface ------------------------------------------------
--
mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); --
-- The next command start address LSbs to use for the read data --
-- mux (only used if Stream data width is less than the MMap data --
-- width). --
--
mstr2data_len : out std_logic_vector(7 downto 0); --
-- The LEN value output to the Address Channel --
--
mstr2data_strt_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); --
-- The starting strobe value to use for the data transfer --
--
mstr2data_last_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); --
-- The endiing (LAST) strobe value to use for the data transfer --
--
mstr2data_drr : out std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2data_eof : out std_logic; --
-- The endiing tranfer of a sequence of parent transfer commands --
--
mstr2data_sequential : Out std_logic; --
-- The next sequential tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2data_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2data_cmd_cmplt : out std_logic; --
-- The indication to the Data Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2data_cmd_valid : out std_logic; --
-- The next command valid indication to the Data Channel --
-- Controller for the AXI MMap --
--
data2mstr_cmd_ready : In std_logic ; --
-- Indication from the Data Channel Controller that the --
-- command is being accepted on the AXI Address --
-- Channel --
--
mstr2data_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the MM2S DRE --
--
mstr2data_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the MM2S DRE --
-------------------------------------------------------------------------------------
-- Output flag indicating that a calculation error has occured ----------------------
--
calc_error : Out std_logic; --
-- Indication from the Command Calculator that a calculation --
-- error has occured. --
-------------------------------------------------------------------------------------
-- Special DRE Controller Interface --------------------------------------------
--
dre2mstr_cmd_ready : In std_logic ; --
-- Indication from the S2MM DRE Controller that it can --
-- accept another command. --
--
mstr2dre_cmd_valid : out std_logic ; --
-- The next command valid indication to the S2MM DRE --
-- Controller. --
--
mstr2dre_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2dre_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; --
-- The source (S2MM Stream) alignment for the S2MM DRE --
--
mstr2dre_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; --
-- The destinstion (S2MM MMap) alignment for the S2MM DRE --
--
mstr2dre_btt : out std_logic_vector(C_BTT_USED-1 downto 0) ; --
-- The BTT value output to the S2MM DRE. This is needed for --
-- Scatter operations. --
--
mstr2dre_drr : out std_logic ; --
-- The starting tranfer of a sequence of transfers --
--
mstr2dre_eof : out std_logic ; --
-- The endiing tranfer of a sequence of parent transfer commands --
--
mstr2dre_cmd_cmplt : Out std_logic ; --
-- The last child tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2dre_calc_error : out std_logic ; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
-------------------------------------------------------------------------------------
-- Store and Forward Support Start Offset ---------------------------------------------
--
mstr2dre_strt_offset : out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0) --
-- Relays the starting address offset for a transfer to the Store and Forward --
-- functions incorporating upsizer/downsizer logic --
---------------------------------------------------------------------------------------
);
end entity axi_datamover_pcc;
architecture implementation of axi_datamover_pcc is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function declarations -------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_dbeat_residue_width
--
-- Function Description:
-- Calculates the number of Least significant bits of the BTT field
-- that are unused for the LEN calculation
--
-------------------------------------------------------------------
function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is
Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream
begin
case bytes_per_beat is
when 1 =>
temp_dbeat_residue_width := 0;
when 2 =>
temp_dbeat_residue_width := 1;
when 4 =>
temp_dbeat_residue_width := 2;
when 8 =>
temp_dbeat_residue_width := 3;
when 16 =>
temp_dbeat_residue_width := 4;
when 32 =>
temp_dbeat_residue_width := 5;
when 64 =>
temp_dbeat_residue_width := 6;
when others => -- 128-byte transfers
temp_dbeat_residue_width := 7;
end case;
Return (temp_dbeat_residue_width);
end function funct_get_dbeat_residue_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_burstcnt_offset
--
-- Function Description:
-- Calculates the bit offset from the residue bits needed to detirmine
-- the load value for the burst counter.
--
-------------------------------------------------------------------
function funct_get_burst_residue_width (max_burst_len : integer) return integer is
Variable temp_burst_residue_width : Integer := 0;
begin
case max_burst_len is
when 256 =>
temp_burst_residue_width := 8;
when 128 =>
temp_burst_residue_width := 7;
when 64 =>
temp_burst_residue_width := 6;
when 32 =>
temp_burst_residue_width := 5;
when 16 =>
temp_burst_residue_width := 4;
when 8 =>
temp_burst_residue_width := 3;
when 4 =>
temp_burst_residue_width := 2;
when others => -- assume 2 dbeats
temp_burst_residue_width := 1;
end case;
Return (temp_burst_residue_width);
end function funct_get_burst_residue_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_get_axi_size
--
-- Function Description:
-- Calculates the AXI SIZE Qualifier based on the data width.
--
-------------------------------------------------------------------
function func_get_axi_size (native_dwidth : integer) return std_logic_vector is
Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000";
Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001";
Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010";
Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011";
Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100";
Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101";
Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110";
Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111";
Variable temp_size : std_logic_vector(2 downto 0) := (others => '0');
begin
case native_dwidth is
when 8 =>
temp_size := AXI_SIZE_1BYTE;
when 16 =>
temp_size := AXI_SIZE_2BYTE;
when 32 =>
temp_size := AXI_SIZE_4BYTE;
when 64 =>
temp_size := AXI_SIZE_8BYTE;
when 128 =>
temp_size := AXI_SIZE_16BYTE;
when 256 =>
temp_size := AXI_SIZE_32BYTE;
when 512 =>
temp_size := AXI_SIZE_64BYTE;
when others => -- 1024 bit dwidth
temp_size := AXI_SIZE_128BYTE;
end case;
Return (temp_size);
end function func_get_axi_size;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_sf_offset_ls_index
--
-- Function Description:
-- Calculates the Ls index of the Store and Forward
-- starting offset bus based on the User Stream Width.
--
-------------------------------------------------------------------
function funct_get_sf_offset_ls_index (stream_width : integer) return integer is
Variable lvar_temp_ls_index : Integer := 0;
begin
case stream_width is
when 8 =>
lvar_temp_ls_index := 0;
when 16 =>
lvar_temp_ls_index := 1;
when 32 =>
lvar_temp_ls_index := 2;
when 64 =>
lvar_temp_ls_index := 3;
when 128 =>
lvar_temp_ls_index := 4;
when 256 =>
lvar_temp_ls_index := 5;
when 512 =>
lvar_temp_ls_index := 6;
when others => -- 1024
lvar_temp_ls_index := 7;
end case;
Return (lvar_temp_ls_index);
end function funct_get_sf_offset_ls_index;
-- Constant Declarations ----------------------------------------
Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address)
Constant CMD_BTT_WIDTH : integer := C_BTT_USED;
Constant CMD_BTT_LS_INDEX : integer := 0;
Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1;
Constant CMD_TYPE_INDEX : integer := 23;
Constant CMD_DRR_INDEX : integer := BASE_CMD_WIDTH-1;
Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2;
Constant CMD_DSA_WIDTH : integer := 6;
Constant CMD_DSA_LS_INDEX : integer := CMD_TYPE_INDEX+1;
Constant CMD_DSA_MS_INDEX : integer := (CMD_DSA_LS_INDEX+CMD_DSA_WIDTH)-1;
Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH;
Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1;
Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH;
Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH;
Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1;
----------------------------------------------------------------------------------------
-- Command calculation constants
Constant SIZE_TO_USE : std_logic_vector(2 downto 0) := func_get_axi_size(C_NATIVE_XFER_WIDTH);
Constant BYTES_PER_DBEAT : integer := C_NATIVE_XFER_WIDTH/8;
Constant DBEATS_PER_BURST : integer := C_MAX_BURST_LEN;
Constant BYTES_PER_MAX_BURST : integer := DBEATS_PER_BURST*BYTES_PER_DBEAT;
Constant LEN_WIDTH : integer := 8; -- 8 bits fixed
Constant MAX_LEN_VALUE : integer := DBEATS_PER_BURST-1;
Constant XFER_LEN_ZERO : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
Constant DBEAT_RESIDUE_WIDTH : integer := funct_get_dbeat_residue_width(BYTES_PER_DBEAT);
Constant BURST_RESIDUE_WIDTH : integer := funct_get_burst_residue_width(C_MAX_BURST_LEN);
Constant BURST_RESIDUE_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH;
Constant BTT_RESIDUE_WIDTH : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH;
Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
Constant BTT_RESIDUE_1 : unsigned := TO_UNSIGNED( 1, BTT_RESIDUE_WIDTH);
Constant BTT_RESIDUE_0 : unsigned := TO_UNSIGNED( 0, BTT_RESIDUE_WIDTH);
Constant BURST_CNT_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH;
Constant BURST_CNTR_WIDTH : integer := CMD_BTT_WIDTH - (DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH);
Constant BRST_CNT_1 : unsigned := TO_UNSIGNED( 1, BURST_CNTR_WIDTH);
Constant BRST_CNT_0 : unsigned := TO_UNSIGNED( 0, BURST_CNTR_WIDTH);
Constant BRST_RESIDUE_0 : std_logic_vector(BURST_RESIDUE_WIDTH-1 downto 0) := (others => '0');
Constant DBEAT_RESIDUE_0 : std_logic_vector(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
Constant ADDR_CNTR_WIDTH : integer := 16; -- Addres Counter slice
Constant ADDR_MS_SLICE_WIDTH : integer := C_ADDR_WIDTH-ADDR_CNTR_WIDTH;
Constant ADDR_CNTR_MAX_VALUE : unsigned := TO_UNSIGNED((2**ADDR_CNTR_WIDTH)-1, ADDR_CNTR_WIDTH);
Constant ADDR_CNTR_ONE : unsigned := TO_UNSIGNED(1, ADDR_CNTR_WIDTH);
Constant MBAA_ADDR_SLICE_WIDTH : integer := BTT_RESIDUE_WIDTH;
Constant STRBGEN_ADDR_SLICE_WIDTH : integer := DBEAT_RESIDUE_WIDTH;
Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
Constant STRBGEN_ADDR_SLICE_1 : unsigned := TO_UNSIGNED( 1, STRBGEN_ADDR_SLICE_WIDTH);
Constant SF_OFFSET_LS_INDEX : integer := funct_get_sf_offset_ls_index(C_STREAM_DWIDTH);
Constant SF_OFFSET_MS_INDEX : integer := (SF_OFFSET_LS_INDEX + C_STRT_SF_OFFSET_WIDTH)-1;
-- Type Declarations --------------------------------------------
type PCC_SM_STATE_TYPE is (
INIT,
WAIT_FOR_CMD,
CALC_1,
CALC_2,
CALC_3,
WAIT_ON_XFER_PUSH,
CHK_IF_DONE,
ERROR_TRAP
);
-- Signal Declarations --------------------------------------------
Signal sig_pcc_sm_state : PCC_SM_STATE_TYPE := INIT;
Signal sig_pcc_sm_state_ns : PCC_SM_STATE_TYPE := INIT;
signal sig_sm_halt_ns : std_logic := '0';
signal sig_sm_halt_reg : std_logic := '0';
signal sig_sm_ld_xfer_reg_ns : std_logic := '0';
signal sig_sm_ld_xfer_reg_ns_tmp : std_logic := '0';
signal sig_sm_pop_input_reg_ns : std_logic := '0';
signal sig_sm_pop_input_reg : std_logic := '0';
signal sig_sm_ld_calc1_reg_ns : std_logic := '0';
signal sig_sm_ld_calc1_reg : std_logic := '0';
signal sig_sm_ld_calc2_reg_ns : std_logic := '0';
signal sig_sm_ld_calc2_reg : std_logic := '0';
signal sig_sm_ld_calc3_reg_ns : std_logic := '0';
signal sig_sm_ld_calc3_reg : std_logic := '0';
signal sig_parent_done : std_logic := '0';
signal sig_ld_xfer_reg : std_logic := '0';
signal sig_ld_xfer_reg_tmp : std_logic := '0';
signal sig_btt_raw : std_logic := '0';
signal sig_btt_is_zero : std_logic := '0';
signal sig_btt_is_zero_reg : std_logic := '0';
-- unused signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0');
-- unused signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0');
-- unused signal sig_next_strt_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0');
-- unused signal sig_next_end_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0');
----------------------------------------------------------------------------------------
-- Burst Buster signals
signal sig_burst_cnt_slice_im0 : unsigned(BURST_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_last_xfer_valid_im1 : std_logic := '0';
signal sig_brst_cnt_eq_zero_im0 : std_logic := '0';
signal sig_brst_cnt_eq_zero_ireg1 : std_logic := '0';
signal sig_brst_cnt_eq_one_im0 : std_logic := '0';
signal sig_brst_cnt_eq_one_ireg1 : std_logic := '0';
signal sig_brst_residue_eq_zero : std_logic := '0';
signal sig_brst_residue_eq_zero_reg : std_logic := '0';
signal sig_no_btt_residue_im0 : std_logic := '0';
signal sig_no_btt_residue_ireg1 : std_logic := '0';
signal sig_btt_residue_slice_im0 : Unsigned(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
-- Input command register
signal sig_push_input_reg : std_logic := '0';
signal sig_pop_input_reg : std_logic := '0';
signal sig_input_burst_type_reg : std_logic := '0';
signal sig_input_cache_type_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_input_user_type_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_input_btt_residue_minus1_reg : std_logic_vector(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
signal sig_input_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_input_drr_reg : std_logic := '0';
signal sig_input_eof_reg : std_logic := '0';
signal sig_input_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_input_reg_empty : std_logic := '0';
signal sig_input_reg_full : std_logic := '0';
-- Output qualifier Register
-- signal sig_ld_output : std_logic := '0';
signal sig_push_xfer_reg : std_logic := '0';
signal sig_pop_xfer_reg : std_logic := '0';
signal sig_xfer_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_type_reg : std_logic := '0';
signal sig_xfer_cache_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_xfer_user_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_xfer_len_reg : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_drr_reg : std_logic := '0';
signal sig_xfer_eof_reg : std_logic := '0';
signal sig_xfer_strt_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_is_seq_reg : std_logic := '0';
signal sig_xfer_cmd_cmplt_reg : std_logic := '0';
signal sig_xfer_calc_err_reg : std_logic := '0';
signal sig_xfer_reg_empty : std_logic := '0';
signal sig_xfer_reg_full : std_logic := '0';
-- Address Counter
signal sig_ld_addr_cntr : std_logic := '0';
signal sig_incr_addr_cntr : std_logic := '0';
signal sig_addr_cntr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_byte_change_minus1_im2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
-- misc
signal sig_xfer_len_im2 : std_logic_vector(LEN_WIDTH-1 downto 0);
signal sig_xfer_strt_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_strt_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_address_im0 : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_cmd_addr_slice : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_type_slice : std_logic := '0';
signal sig_cmd_cache_slice : std_logic_vector (3 downto 0) := "0000";
signal sig_cmd_user_slice : std_logic_vector (3 downto 0) := "0000";
signal sig_cmd_tag_slice : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_dsa_slice : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_drr_slice : std_logic := '0';
signal sig_cmd_eof_slice : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_calc_error_pushed : std_logic := '0';
-- PCC2 stuff
signal sig_finish_addr_offset_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_len_eq_0_im2 : std_logic := '0';
signal sig_first_xfer_im0 : std_logic := '0';
signal sig_bytes_to_mbaa_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_bytes_to_mbaa_ireg1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsh_rollover : std_logic := '0';
signal sig_predict_addr_lsh_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_kh : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_im0_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_im0_msh : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_addr_im0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_bytes_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0');
signal sig_ld_btt_cntr : std_logic := '0';
signal sig_decr_btt_cntr : std_logic := '0';
signal sig_btt_cntr_im0 : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd2data_valid : std_logic := '0';
signal sig_clr_cmd2data_valid : std_logic := '0';
signal sig_cmd2addr_valid : std_logic := '0';
signal sig_clr_cmd2addr_valid : std_logic := '0';
signal sig_btt_lt_b2mbaa_im0 : std_logic := '0';
signal sig_btt_lt_b2mbaa_ireg1 : std_logic := '0';
signal sig_btt_eq_b2mbaa_im0 : std_logic := '0';
signal sig_btt_eq_b2mbaa_ireg1 : std_logic := '0';
signal sig_addr_incr_ge_bpdb_im1 : std_logic := '0';
-- Unaligned start address support
signal sig_adjusted_addr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_adjusted_addr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_start_addr_offset_slice_im0 : Unsigned(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
signal sig_mbaa_addr_cntr_slice_im0 : Unsigned(MBAA_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_aligned_im0 : std_logic := '0';
signal sig_addr_aligned_ireg1 : std_logic := '0';
-- S2MM DRE Support
signal sig_cmd2dre_valid : std_logic := '0';
signal sig_clr_cmd2dre_valid : std_logic := '0';
signal sig_input_xfer_btt_im0 : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_dre_eof_reg : std_logic := '0';
-- Long Timing path breakup intermediate registers
signal sig_strbgen_addr_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_bytes_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0');
signal sig_finish_addr_offset_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_last_addr_offset_im2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_strt_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_len_eq_0_ireg3 : std_logic := '0';
signal sig_addr_cntr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im3_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im2 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_ireg3 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsh_rollover_im3 : std_logic := '0';
signal sig_mmap_reset_reg : std_logic := '0';
----------------------------------------------------------
begin --(architecture implementation)
-- Assign calculation error output
calc_error <= sig_calc_error_reg;
-- Assign the ready output to the Command FIFO
mst2cmd_cmd_ready <= not(sig_sm_halt_reg) and
sig_input_reg_empty and
not(sig_calc_error_pushed);
-- Assign the Address Channel Controller Qualifiers
mstr2addr_tag <= sig_xfer_tag_reg ;
mstr2addr_addr <= sig_xfer_addr_reg;
mstr2addr_len <= sig_xfer_len_reg ;
mstr2addr_size <= sig_xfer_size ;
mstr2addr_burst <= '0' & sig_xfer_type_reg; -- only fixed or increment supported
mstr2addr_cache <= sig_xfer_cache_reg; -- only fixed or increment supported
mstr2addr_user <= sig_xfer_user_reg; -- only fixed or increment supported
mstr2addr_cmd_valid <= sig_cmd2addr_valid;
mstr2addr_calc_error <= sig_xfer_calc_err_reg;
mstr2addr_cmd_cmplt <= sig_xfer_cmd_cmplt_reg;
-- Assign the Data Channel Controller Qualifiers
mstr2data_tag <= sig_xfer_tag_reg ;
mstr2data_saddr_lsb <= sig_xfer_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0);
mstr2data_len <= sig_xfer_len_reg ;
mstr2data_strt_strb <= sig_xfer_strt_strb_reg;
mstr2data_last_strb <= sig_xfer_end_strb_reg ;
mstr2data_drr <= sig_xfer_drr_reg ;
mstr2data_eof <= sig_xfer_eof_reg ;
mstr2data_sequential <= sig_xfer_is_seq_reg ;
mstr2data_cmd_cmplt <= sig_xfer_cmd_cmplt_reg;
mstr2data_cmd_valid <= sig_cmd2data_valid ;
mstr2data_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE
mstr2data_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE
mstr2data_calc_error <= sig_xfer_calc_err_reg ;
-- Assign the DRE Controller Qualifiers
mstr2dre_cmd_valid <= sig_cmd2dre_valid ; -- Used by DRE
mstr2dre_tag <= sig_xfer_tag_reg ; -- Used by DRE
mstr2dre_btt <= sig_xfer_btt_reg ; -- Used by DRE
mstr2dre_drr <= sig_xfer_drr_reg ; -- Used by DRE
mstr2dre_eof <= sig_xfer_dre_eof_reg ; -- Used by DRE
mstr2dre_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; -- Used by DRE
mstr2dre_calc_error <= sig_xfer_calc_err_reg ; -- Used by DRE
------------------------------------------------------------
-- If Generate
--
-- Label: DO_MM2S_CASE
--
-- If Generate Description:
-- Assigns the auxillary DRE Control Source and Destination
-- ports for the MM2S use case.
--
------------------------------------------------------------
DO_MM2S_CASE : if (C_IS_MM2S = 1) generate
begin
mstr2dre_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE
mstr2dre_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE
end generate DO_MM2S_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: DO_S2MM_CASE
--
-- If Generate Description:
-- Assigns the auxillary DRE Control Source and Destination
-- ports for the S2MM use case.
--
------------------------------------------------------------
DO_S2MM_CASE : if (C_IS_MM2S = 0) generate
begin
mstr2dre_dre_src_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE
mstr2dre_dre_dest_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE
end generate DO_S2MM_CASE;
-- Store and Forward Support Start Offset (used by Packer/Unpacker logic)
mstr2dre_strt_offset <= sig_xfer_addr_reg(SF_OFFSET_MS_INDEX downto SF_OFFSET_LS_INDEX);
-- Start internal logic.
-- sig_cmd_type_slice <= '1'; -- always incrementing (per Interface_X guidelines)
sig_cmd_user_slice <= cache2mstr_command(7 downto 4);
sig_cmd_cache_slice <= cache2mstr_command(3 downto 0);
sig_cmd_type_slice <= cmd2mstr_command(CMD_TYPE_INDEX);
sig_cmd_addr_slice <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX);
sig_cmd_tag_slice <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX);
sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX);
sig_cmd_dsa_slice <= cmd2mstr_command(CMD_DSA_MS_INDEX downto CMD_DSA_LS_INDEX);
sig_cmd_drr_slice <= cmd2mstr_command(CMD_DRR_INDEX);
sig_cmd_eof_slice <= cmd2mstr_command(CMD_EOF_INDEX);
-- Check for a zero length BTT (error condition)
sig_btt_is_zero <= '1'
when (sig_cmd_btt_slice = BTT_ZEROS)
Else '0';
sig_xfer_size <= SIZE_TO_USE;
-----------------------------------------------------------------
-- Reset fanout control
-----------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_REG
--
-- Process Description:
-- Registers the input reset to reduce fanout. This module
-- has a high number of register bits to reset.
--
-------------------------------------------------------------
IMP_RESET_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
sig_mmap_reset_reg <= mmap_reset;
end if;
end process IMP_RESET_REG;
-----------------------------------------------------------------
-- Input xfer register design
sig_push_input_reg <= not(sig_sm_halt_reg) and
cmd2mstr_cmd_valid and
sig_input_reg_empty and
not(sig_calc_error_reg);
sig_pop_input_reg <= sig_sm_pop_input_reg;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_INPUT_QUAL
--
-- Process Description:
-- Implements the input command qualifier holding register
--
-------------------------------------------------------------
REG_INPUT_QUAL : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_pop_input_reg = '1' or
sig_calc_error_pushed = '1') then
sig_input_cache_type_reg <= (others => '0');
sig_input_user_type_reg <= (others => '0');
sig_input_burst_type_reg <= '0';
sig_input_tag_reg <= (others => '0');
sig_input_dsa_reg <= (others => '0');
sig_input_drr_reg <= '0';
sig_input_eof_reg <= '0';
sig_input_reg_empty <= '1';
sig_input_reg_full <= '0';
elsif (sig_push_input_reg = '1') then
sig_input_cache_type_reg <= sig_cmd_cache_slice;
sig_input_user_type_reg <= sig_cmd_user_slice;
sig_input_burst_type_reg <= sig_cmd_type_slice;
sig_input_tag_reg <= sig_cmd_tag_slice;
sig_input_dsa_reg <= sig_cmd_dsa_slice;
sig_input_drr_reg <= sig_cmd_drr_slice;
sig_input_eof_reg <= sig_cmd_eof_slice;
sig_input_reg_empty <= '0';
sig_input_reg_full <= '1';
else
null; -- Hold current State
end if;
end if;
end process REG_INPUT_QUAL;
----------------------------------------------------------------------
-- Calculation Error Logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CALC_ERROR_FLOP
--
-- Process Description:
-- Implements the flop for the Calc Error flag, Once set,
-- the flag cannot be cleared until a reset is issued.
--
-------------------------------------------------------------
IMP_CALC_ERROR_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_calc_error_reg <= '0';
elsif (sig_push_input_reg = '1' and
sig_calc_error_reg = '0') then
sig_calc_error_reg <= sig_btt_is_zero;
else
Null; -- hold the current state
end if;
end if;
end process IMP_CALC_ERROR_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CALC_ERROR_PUSHED
--
-- Process Description:
-- Implements the flop for generating a flag indicating the
-- calculation error flag has been pushed to the addr and data
-- controllers.
--
-------------------------------------------------------------
IMP_CALC_ERROR_PUSHED : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_calc_error_pushed <= '0';
elsif (sig_push_xfer_reg = '1' and
sig_calc_error_pushed = '0') then
sig_calc_error_pushed <= sig_calc_error_reg;
else
Null; -- hold the current state
end if;
end if;
end process IMP_CALC_ERROR_PUSHED;
---------------------------------------------------------------------
-- Strobe Generator Logic
sig_xfer_strt_strb2use_im3 <= sig_xfer_strt_strb_ireg3
When (sig_first_xfer_im0 = '1')
Else (others => '1');
sig_xfer_end_strb2use_im3 <= sig_xfer_strt_strb2use_im3
When (sig_xfer_len_eq_0_ireg3 = '1' and
sig_first_xfer_im0 = '1')
else sig_xfer_end_strb_ireg3
When (sig_last_xfer_valid_im1 = '1')
Else (others => '1');
----------------------------------------------------------
-- Intermediate registers for STBGEN Fmax path
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_STBGEN_REGS
--
-- Process Description:
-- Intermediate registers for Strobegen inputs to break
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_STBGEN_REGS : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_strbgen_addr_ireg2 <= (others => '0');
sig_strbgen_bytes_ireg2 <= (others => '0');
sig_finish_addr_offset_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_strbgen_addr_ireg2 <= sig_strbgen_addr_im0 ;
sig_strbgen_bytes_ireg2 <= sig_strbgen_bytes_im1 ;
sig_finish_addr_offset_ireg2 <= sig_finish_addr_offset_im1;
else
null; -- hold state
end if;
end if;
end process IMP_IM_STBGEN_REGS;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_STBGEN_OUT_REGS
--
-- Process Description:
-- Intermediate registers for Strobegen outputs to break
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_STBGEN_OUT_REGS : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_xfer_strt_strb_ireg3 <= (others => '0');
sig_xfer_end_strb_ireg3 <= (others => '0');
sig_xfer_len_eq_0_ireg3 <= '0';
elsif (sig_sm_ld_calc3_reg = '1') then
sig_xfer_strt_strb_ireg3 <= sig_xfer_strt_strb_im2;
sig_xfer_end_strb_ireg3 <= sig_xfer_end_strb_im2 ;
sig_xfer_len_eq_0_ireg3 <= sig_xfer_len_eq_0_im2 ;
else
null; -- hold state
end if;
end if;
end process IMP_IM_STBGEN_OUT_REGS;
------------------------------------------------------------
-- Instance: I_STRT_STRB_GEN
--
-- Description:
-- Strobe generator instance. Generates strobe bits for
-- a designated starting byte lane and the number of bytes
-- to be transfered (for that data beat).
--
------------------------------------------------------------
I_STRT_STRB_GEN : entity axi_datamover_v5_1_9.axi_datamover_strb_gen2
generic map (
C_OP_MODE => 0 , -- 0 = Offset/Length mode
C_STRB_WIDTH => BYTES_PER_DBEAT ,
C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ,
C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1
)
port map (
start_addr_offset => sig_strbgen_addr_ireg2 ,
end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0
num_valid_bytes => sig_strbgen_bytes_ireg2 ,
strb_out => sig_xfer_strt_strb_im2
);
-- The ending address offset is 1 less than the calculated
-- starting address for the next sequential transfer.
sig_last_addr_offset_im2 <= STD_LOGIC_VECTOR(UNSIGNED(sig_finish_addr_offset_ireg2) -
STRBGEN_ADDR_SLICE_1);
------------------------------------------------------------
-- Instance: I_END_STRB_GEN
--
-- Description:
-- End Strobe generator instance. Generates asserted strobe
-- bits from byte offset 0 to the ending byte offset.
--
------------------------------------------------------------
I_END_STRB_GEN : entity axi_datamover_v5_1_9.axi_datamover_strb_gen2
generic map (
C_OP_MODE => 1 , -- 0 = Offset/Length mode
C_STRB_WIDTH => BYTES_PER_DBEAT ,
C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ,
C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH
)
port map (
start_addr_offset => STRBGEN_ADDR_0 ,
end_addr_offset => sig_last_addr_offset_im2 ,
num_valid_bytes => STRBGEN_ADDR_0 , -- not used in op mode 1
strb_out => sig_xfer_end_strb_im2
);
-----------------------------------------------------------------
-- Output xfer register design
sig_push_xfer_reg <= (sig_ld_xfer_reg and sig_xfer_reg_empty);
-- Data taking xfer after Addr and DRE
sig_pop_xfer_reg <= (sig_clr_cmd2data_valid and not(sig_cmd2addr_valid) and not(sig_cmd2dre_valid)) or
-- Addr taking xfer after Data and DRE
(sig_clr_cmd2addr_valid and not(sig_cmd2data_valid) and not(sig_cmd2dre_valid)) or
-- DRE taking xfer after Data and ADDR
(sig_clr_cmd2dre_valid and not(sig_cmd2data_valid) and not(sig_cmd2addr_valid)) or
-- data and Addr taking xfer after DRE
(sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and not(sig_cmd2dre_valid)) or
-- Addr and DRE taking xfer after Data
(sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid and not(sig_cmd2data_valid)) or
-- Data and DRE taking xfer after Addr
(sig_clr_cmd2data_valid and sig_clr_cmd2dre_valid and not(sig_cmd2addr_valid)) or
-- Addr, Data, and DRE all taking xfer
(sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_OUTPUT_QUAL
--
-- Process Description:
-- Implements the output xfer qualifier holding register
--
-------------------------------------------------------------
REG_OUTPUT_QUAL : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
(sig_pop_xfer_reg = '1' and
sig_push_xfer_reg = '0')) then
-- sig_xfer_cache_reg <= (others => '0');
-- sig_xfer_user_reg <= (others => '0');
-- sig_xfer_addr_reg <= (others => '0');
-- sig_xfer_type_reg <= '0';
-- sig_xfer_len_reg <= (others => '0');
-- sig_xfer_tag_reg <= (others => '0');
-- sig_xfer_dsa_reg <= (others => '0');
-- sig_xfer_drr_reg <= '0';
-- sig_xfer_eof_reg <= '0';
-- sig_xfer_strt_strb_reg <= (others => '0');
-- sig_xfer_end_strb_reg <= (others => '0');
-- sig_xfer_is_seq_reg <= '0';
-- sig_xfer_cmd_cmplt_reg <= '0';
-- sig_xfer_calc_err_reg <= '0';
-- sig_xfer_btt_reg <= (others => '0');
-- sig_xfer_dre_eof_reg <= '0';
sig_xfer_reg_empty <= '1';
sig_xfer_reg_full <= '0';
elsif (sig_push_xfer_reg = '1') then
-- if (sig_input_burst_type_reg = '0') then
-- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh;
-- else
-- sig_xfer_addr_reg <= sig_xfer_address_im0 ;
-- end if;
-- sig_xfer_type_reg <= sig_input_burst_type_reg ;
-- sig_xfer_cache_reg <= sig_input_cache_type_reg ;
-- sig_xfer_user_reg <= sig_input_user_type_reg ;
-- sig_xfer_len_reg <= sig_xfer_len_im2 ;
-- sig_xfer_tag_reg <= sig_input_tag_reg ;
-- sig_xfer_dsa_reg <= sig_input_dsa_reg ;
-- sig_xfer_drr_reg <= sig_input_drr_reg and
-- sig_first_xfer_im0 ;
-- sig_xfer_eof_reg <= sig_input_eof_reg and
-- sig_last_xfer_valid_im1 ;
-- sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ;
-- sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ;
-- sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ;
-- sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or
-- sig_calc_error_reg ;
-- sig_xfer_calc_err_reg <= sig_calc_error_reg ;
-- sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ;
-- sig_xfer_dre_eof_reg <= sig_input_eof_reg ;
sig_xfer_reg_empty <= '0';
sig_xfer_reg_full <= '1';
else
null; -- Hold current State
end if;
end if;
end process REG_OUTPUT_QUAL;
-- if (sig_input_burst_type_reg = '0') then
-- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh;
-- else
sig_xfer_addr_reg <= sig_xfer_address_im0 when (sig_input_burst_type_reg = '1') else
sig_addr_cntr_lsh_kh ;
-- end if;
sig_xfer_type_reg <= sig_input_burst_type_reg ;
sig_xfer_cache_reg <= sig_input_cache_type_reg ;
sig_xfer_user_reg <= sig_input_user_type_reg ;
sig_xfer_len_reg <= sig_xfer_len_im2 ;
sig_xfer_tag_reg <= sig_input_tag_reg ;
sig_xfer_dsa_reg <= sig_input_dsa_reg ;
sig_xfer_drr_reg <= sig_input_drr_reg and
sig_first_xfer_im0 ;
sig_xfer_eof_reg <= sig_input_eof_reg and
sig_last_xfer_valid_im1 ;
sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ;
sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ;
sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ;
sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or
sig_calc_error_reg ;
sig_xfer_calc_err_reg <= sig_calc_error_reg ;
sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ;
sig_xfer_dre_eof_reg <= sig_input_eof_reg ;
--------------------------------------------------------------
-- BTT Counter Logic
sig_ld_btt_cntr <= sig_ld_addr_cntr;
-- sig_decr_btt_cntr <= sig_incr_addr_cntr;
-- above signal is using the incr_addr_cntr signal and hence cannot be
-- used if burst type is Fixed
sig_decr_btt_cntr <= sig_incr_addr_cntr; --sig_push_xfer_reg;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_BTT_CNTR
--
-- Process Description:
-- Bytes to transfer counter implementation.
--
-------------------------------------------------------------
IMP_BTT_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_btt_cntr_im0 <= (others => '0');
elsif (sig_ld_btt_cntr = '1') then
sig_btt_cntr_im0 <= UNSIGNED(sig_cmd_btt_slice);
Elsif (sig_decr_btt_cntr = '1') Then
sig_btt_cntr_im0 <= sig_btt_cntr_im0-RESIZE(sig_addr_cntr_incr_ireg2, CMD_BTT_WIDTH);
else
null; -- hold current state
end if;
end if;
end process IMP_BTT_CNTR;
-- Convert to logic vector for the S2MM DRE use
-- The DRE will only use this value prior to the first
-- decrement of the BTT Counter. Using this saves a separate
-- BTT register.
sig_input_xfer_btt_im0 <= STD_LOGIC_VECTOR(sig_btt_cntr_im0);
-- Rip the Burst Count slice from BTT counter value
sig_burst_cnt_slice_im0 <= sig_btt_cntr_im0(CMD_BTT_WIDTH-1 downto BURST_CNT_LS_INDEX);
sig_brst_cnt_eq_zero_im0 <= '1'
When (sig_burst_cnt_slice_im0 = BRST_CNT_0)
Else '0';
sig_brst_cnt_eq_one_im0 <= '1'
When (sig_burst_cnt_slice_im0 = BRST_CNT_1)
Else '0';
-- Rip the BTT residue field from the BTT counter value
sig_btt_residue_slice_im0 <= sig_btt_cntr_im0(BTT_RESIDUE_WIDTH-1 downto 0);
-- Check for transfer length residue of zero prior to subtracting 1
sig_no_btt_residue_im0 <= '1'
when (sig_btt_residue_slice_im0 = BTT_RESIDUE_0)
Else '0';
-- Unaligned address compensation
-- Add the number of starting address offset byte positions to the
-- final byte change value needed to calculate the AXI LEN field
sig_start_addr_offset_slice_im0 <= sig_addr_cntr_lsh_im0(DBEAT_RESIDUE_WIDTH-1 downto 0);
sig_adjusted_addr_incr_im1 <= sig_addr_cntr_incr_im1 +
RESIZE(sig_start_addr_offset_slice_im0, ADDR_CNTR_WIDTH);
-- adjust the address increment down by 1 byte to compensate
-- for the LEN requirement of being N-1 data beats
sig_byte_change_minus1_im2 <= sig_adjusted_addr_incr_ireg2-ADDR_CNTR_ONE;
-- Rip the new transfer length value
sig_xfer_len_im2 <= STD_LOGIC_VECTOR(
RESIZE(
sig_byte_change_minus1_im2(BTT_RESIDUE_WIDTH-1 downto
DBEAT_RESIDUE_WIDTH),
LEN_WIDTH)
);
-- Check to see if the new xfer length is zero (1 data beat)
sig_xfer_len_eq_0_im2 <= '1'
when (sig_xfer_len_im2 = XFER_LEN_ZERO)
Else '0';
-- Check for Last transfer condition
--sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_im0 and
sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_ireg1 and
--sig_no_btt_residue_im0 and
sig_no_btt_residue_ireg1 and
-- sig_addr_aligned_im0) or -- always the last databeat case
sig_addr_aligned_ireg1) or -- always the last databeat case
-- ((sig_btt_lt_b2mbaa_im0 or sig_btt_eq_b2mbaa_im0) and -- less than a full burst remaining
((sig_btt_lt_b2mbaa_ireg1 or sig_btt_eq_b2mbaa_ireg1) and -- less than a full burst remaining
-- (sig_brst_cnt_eq_zero_im0 and not(sig_no_btt_residue_im0)));
(sig_brst_cnt_eq_zero_ireg1 and not(sig_no_btt_residue_ireg1)));
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
--
-- General Address Counter Logic (applies to any address width of 32 or greater
-- The address counter is divided into 2 16-bit segements for 32-bit address support. As the
-- address gets wider, up to 2 more segements will be added via IfGens to provide for 64-bit
-- addressing.
--
----------------------------------------------------------------------------------------------------
-- Rip the LS bits of the LS Address Counter for the StrobeGen
-- starting address offset
sig_strbgen_addr_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0));
-- Check if the calcualted address increment (in bytes) is greater than the
-- number of bytes that can be transfered per data beat
sig_addr_incr_ge_bpdb_im1 <= '1'
When (sig_addr_cntr_incr_im1 >= TO_UNSIGNED(BYTES_PER_DBEAT, ADDR_CNTR_WIDTH))
Else '0';
-- If the calculated address increment (in bytes) is greater than the
-- number of bytes that can be transfered per data beat, then clip the
-- strobegen byte value to the number of bytes per data beat, else use the
-- increment value.
sig_strbgen_bytes_im1 <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1))
when (sig_addr_incr_ge_bpdb_im1 = '1')
else STD_LOGIC_VECTOR(sig_addr_cntr_incr_im1(STRBGEN_ADDR_SLICE_WIDTH downto 0));
--------------------------------------------------------------------------
-- Address Counter logic
sig_ld_addr_cntr <= sig_push_input_reg;
-- don't increment address cntr if type is '0' (non-incrementing)
sig_incr_addr_cntr <= sig_pop_xfer_reg;-- and
-- sig_input_burst_type_reg;
sig_mbaa_addr_cntr_slice_im0 <= sig_addr_cntr_lsh_im0(MBAA_ADDR_SLICE_WIDTH-1 downto 0);
sig_bytes_to_mbaa_im0 <= TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH) -
RESIZE(sig_mbaa_addr_cntr_slice_im0,ADDR_CNTR_WIDTH);
sig_addr_aligned_im0 <= '1'
when (sig_mbaa_addr_cntr_slice_im0 = BTT_RESIDUE_0)
Else '0';
-- Check to see if the jump to the Max Burst Aligned Address (mbaa) is less
-- than or equal to the remaining bytes to transfer. If it is, then at least
-- two tranfers have to be scheduled.
sig_btt_lt_b2mbaa_im0 <= '1'
when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) < sig_bytes_to_mbaa_im0) and
(sig_brst_cnt_eq_zero_im0 = '1'))
Else '0';
sig_btt_eq_b2mbaa_im0 <= '1'
when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) = sig_bytes_to_mbaa_im0) and
(sig_brst_cnt_eq_zero_im0 = '1'))
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_REG1
--
-- Process Description:
-- Intermediate register stage 1 for Address Counter
-- derivative calculations.
--
-------------------------------------------------------------
IMP_IM_REG1 : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_bytes_to_mbaa_ireg1 <= (others => '0');
sig_addr_aligned_ireg1 <= '0' ;
sig_btt_lt_b2mbaa_ireg1 <= '0' ;
sig_btt_eq_b2mbaa_ireg1 <= '0' ;
sig_brst_cnt_eq_zero_ireg1 <= '0' ;
sig_brst_cnt_eq_one_ireg1 <= '0' ;
sig_no_btt_residue_ireg1 <= '0' ;
elsif (sig_sm_ld_calc1_reg = '1') then
sig_bytes_to_mbaa_ireg1 <= sig_bytes_to_mbaa_im0 ;
sig_addr_aligned_ireg1 <= sig_addr_aligned_im0 ;
sig_btt_lt_b2mbaa_ireg1 <= sig_btt_lt_b2mbaa_im0 ;
sig_btt_eq_b2mbaa_ireg1 <= sig_btt_eq_b2mbaa_im0 ;
sig_brst_cnt_eq_zero_ireg1 <= sig_brst_cnt_eq_zero_im0;
sig_brst_cnt_eq_one_ireg1 <= sig_brst_cnt_eq_one_im0 ;
sig_no_btt_residue_ireg1 <= sig_no_btt_residue_im0 ;
else
null; -- hold state
end if;
end if;
end process IMP_IM_REG1;
-- Select the address counter increment value to use
sig_addr_cntr_incr_im1 <= RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH)
--When (sig_btt_lt_b2mbaa_im0 = '1')
When (sig_btt_lt_b2mbaa_ireg1 = '1')
--else sig_bytes_to_mbaa_im0
else sig_bytes_to_mbaa_ireg1
when (sig_first_xfer_im0 = '1')
else TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH);
-- calculate the next starting address after the current
-- xfer completes
sig_predict_addr_lsh_im1 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_im1;
-- Predict next transfer's address offset for the Strobe Generator
sig_finish_addr_offset_im1 <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_im1(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0));
sig_addr_cntr_lsh_im0_slv <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- Determine if an address count lsh rollover is going to occur when
-- jumping to the next starting address by comparing the MS bit of the
-- current address lsh to the MS bit of the predicted address lsh .
-- A transition of a '1' to a '0' is a rollover.
sig_addr_lsh_rollover_im3 <= '1'
when (
(sig_addr_cntr_lsh_im0_slv(ADDR_CNTR_WIDTH-1) = '1') and
(sig_predict_addr_lsh_im3_slv(ADDR_CNTR_WIDTH-1) = '0')
)
Else '0';
----------------------------------------------------------
-- Intermediate registers for reducing the Address Counter
-- Increment timing path
----------------------------------------------------------
-- calculate the next starting address after the current
-- xfer completes using intermediate register values
sig_predict_addr_lsh_im2 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_ireg2;
sig_predict_addr_lsh_im3_slv <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_ireg3);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_ADDRINC_REG
--
-- Process Description:
-- Intermediate registers for address counter increment to
-- break long timing paths.
--
-------------------------------------------------------------
IMP_IM_ADDRINC_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_incr_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_addr_cntr_incr_ireg2 <= sig_addr_cntr_incr_im1;
else
null; -- hold state
end if;
end if;
end process IMP_IM_ADDRINC_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_PREDICT_ADDR_REG
--
-- Process Description:
-- Intermediate register for predicted address to break up
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_PREDICT_ADDR_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_predict_addr_lsh_ireg3 <= (others => '0');
elsif (sig_sm_ld_calc3_reg = '1') then
sig_predict_addr_lsh_ireg3 <= sig_predict_addr_lsh_im2;
else
null; -- hold state
end if;
end if;
end process IMP_IM_PREDICT_ADDR_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_ADDR_STUFF
--
-- Process Description:
-- Implements a general register for address counter related
-- things.
--
-------------------------------------------------------------
REG_ADDR_STUFF : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_adjusted_addr_incr_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_adjusted_addr_incr_ireg2 <= sig_adjusted_addr_incr_im1;
else
null; -- hold state
end if;
end if;
end process REG_ADDR_STUFF;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_LSH_ADDR_CNTR
--
-- Process Description:
-- Least Significant Half Address counter implementation.
--
-------------------------------------------------------------
IMP_LSH_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_lsh_im0 <= (others => '0');
sig_addr_cntr_lsh_kh <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
sig_addr_cntr_lsh_im0 <= UNSIGNED(sig_cmd_addr_slice(ADDR_CNTR_WIDTH-1 downto 0));
sig_addr_cntr_lsh_kh <= sig_cmd_addr_slice;
Elsif (sig_incr_addr_cntr = '1') then -- and sig_input_burst_type_reg = '1') Then
sig_addr_cntr_lsh_im0 <= sig_predict_addr_lsh_ireg3;
else
null; -- hold current state
end if;
end if;
end process IMP_LSH_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_MSH_ADDR_CNTR
--
-- Process Description:
-- Least Significant Half Address counter implementation.
--
-------------------------------------------------------------
IMP_MSH_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_im0_msh <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
sig_addr_cntr_im0_msh <= UNSIGNED(sig_cmd_addr_slice((2*ADDR_CNTR_WIDTH)-1 downto ADDR_CNTR_WIDTH));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1') then
sig_addr_cntr_im0_msh <= sig_addr_cntr_im0_msh+ADDR_CNTR_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_MSH_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_FIRST_XFER_FLOP
--
-- Process Description:
-- Implements the register flop for the first transfer flag.
--
-------------------------------------------------------------
IMP_FIRST_XFER_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_incr_addr_cntr = '1') then
sig_first_xfer_im0 <= '0';
elsif (sig_ld_addr_cntr = '1') then
sig_first_xfer_im0 <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_FIRST_XFER_FLOP;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_32
--
-- If Generate Description:
-- Implements the Address segment merge logic for the 32-bit
-- address width case. The address counter segments are split
-- into two 16-bit sections to improve Fmax convergence.
--
--
------------------------------------------------------------
GEN_ADDR_32 : if (C_ADDR_WIDTH = 32) generate
begin
-- Populate the transfer address value by concatonating the
-- address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
end generate GEN_ADDR_32;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_GT_32_LE_48
--
-- If Generate Description:
-- Implements the additional Address Counter logic for the case
-- when the address width is greater than 32 bits and less than
-- or equal to 48 bits. In this case, an additional counter segment
-- is implemented (segment 3) that is variable width of 1
-- to 16 bits.
--
------------------------------------------------------------
GEN_ADDR_GT_32_LE_48 : if (C_ADDR_WIDTH > 32 and
C_ADDR_WIDTH <= 48) generate
-- Local constants
Constant ACNTR_SEG3_WIDTH : integer := C_ADDR_WIDTH-32;
Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH);
Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant SEG3_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1;
Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32;
-- Local Signals
signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0');
signal lsig_acntr_msh_eq_max : std_logic := '0';
signal lsig_acntr_msh_eq_max_reg : std_logic := '0';
begin
-- Populate the transfer address value by concatonating the
-- 3 address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) &
STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- See if the MSH (Segment 2) of the Adress Counter is at a max value
lsig_acntr_msh_eq_max <= '1'
when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG2_EQ_MAX_REG
--
-- Process Description:
-- Implements a register for the flag indicating the address
-- counter MSH (Segment 2) is at max value and will rollover
-- at the next increment interval for the counter. Registering
-- this signal and using it for the Seg 3 increment logic only
-- works because there is always at least a 1 clock time gap
-- between the increment causing the segment 2 counter to go to
-- max and the next increment operation that can bump segment 3.
--
-------------------------------------------------------------
IMP_SEG2_EQ_MAX_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_acntr_msh_eq_max_reg <= '0';
else
lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max;
end if;
end if;
end process IMP_SEG2_EQ_MAX_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG3_ADDR_CNTR
--
-- Process Description:
-- Segment 3 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG3_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg3_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto
SEG3_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and --sig_input_burst_type_reg = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1') then
lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG3_ADDR_CNTR;
end generate GEN_ADDR_GT_32_LE_48;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_GT_48
--
-- If Generate Description:
-- Implements the additional Address Counter logic for the case
-- when the address width is greater than 48 bits and less than
-- or equal to 64. In this case, an additional 2 counter segments
-- are implemented (segment 3 and 4). Segment 3 is a fixed 16-bits
-- and segment 4 is variable width of 1 to 16 bits.
--
------------------------------------------------------------
GEN_ADDR_GT_48 : if (C_ADDR_WIDTH > 48) generate
-- Local constants
Constant ACNTR_SEG3_WIDTH : integer := ADDR_CNTR_WIDTH;
Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH);
Constant ACNTR_SEG3_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant ACNTR_SEG4_WIDTH : integer := C_ADDR_WIDTH-48;
Constant ACNTR_SEG4_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG4_WIDTH);
Constant SEG3_ADDR_RIP_MS_INDEX : integer := 47;
Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32;
Constant SEG4_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1;
Constant SEG4_ADDR_RIP_LS_INDEX : integer := 48;
-- Local Signals
signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0');
signal lsig_acntr_msh_eq_max : std_logic := '0';
signal lsig_acntr_msh_eq_max_reg : std_logic := '0';
signal lsig_acntr_seg3_eq_max : std_logic := '0';
signal lsig_acntr_seg3_eq_max_reg : std_logic := '0';
signal lsig_seg4_addr_cntr : unsigned(ACNTR_SEG4_WIDTH-1 downto 0) := (others => '0');
begin
-- Populate the transfer address value by concatonating the
-- 4 address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg4_addr_cntr ) &
STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) &
STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- See if the MSH (Segment 2) of the Address Counter is at a max value
lsig_acntr_msh_eq_max <= '1'
when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX)
Else '0';
-- See if the Segment 3 of the Address Counter is at a max value
lsig_acntr_seg3_eq_max <= '1'
when (lsig_seg3_addr_cntr = ACNTR_SEG3_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG2_3_EQ_MAX_REG
--
-- Process Description:
-- Implements a register for the flag indicating the address
-- counter segments 2 and 3 are at max value and will rollover
-- at the next increment interval for the counter. Registering
-- these signals and using themt for the Seg 3/4 increment logic
-- only works because there is always at least a 1 clock time gap
-- between the increment causing the segment 2 or 3 counter to go
-- to max and the next increment operation.
--
-------------------------------------------------------------
IMP_SEG2_3_EQ_MAX_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_acntr_msh_eq_max_reg <= '0';
lsig_acntr_seg3_eq_max_reg <= '0';
else
lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max;
lsig_acntr_seg3_eq_max_reg <= lsig_acntr_seg3_eq_max;
end if;
end if;
end process IMP_SEG2_3_EQ_MAX_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG3_ADDR_CNTR
--
-- Process Description:
-- Segment 3 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG3_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg3_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto
SEG3_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1') then
lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG3_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG4_ADDR_CNTR
--
-- Process Description:
-- Segment 4 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG4_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg4_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg4_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG4_ADDR_RIP_MS_INDEX downto
SEG4_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1' and
lsig_acntr_seg3_eq_max_reg = '1') then
lsig_seg4_addr_cntr <= lsig_seg4_addr_cntr+ACNTR_SEG4_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG4_ADDR_CNTR;
end generate GEN_ADDR_GT_48;
-- Addr and data Cntlr FIFO interface handshake logic ------------------------------
sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready;
sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready;
sig_clr_cmd2dre_valid <= sig_cmd2dre_valid and dre2mstr_cmd_ready;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2DATA_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the Data Controller Module.
--
-------------------------------------------------------------
CMD2DATA_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2data_valid = '1') then
sig_cmd2data_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1') then
sig_cmd2data_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2DATA_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2ADDR_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the Address Controller Module.
--
-------------------------------------------------------------
CMD2ADDR_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2addr_valid = '1') then
sig_cmd2addr_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1') then
sig_cmd2addr_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2ADDR_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2DRE_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the DRE Module (S2MM DRE Only).
--
-- Note that the S2MM DRE only needs to be loaded with a command
-- for each parent command, not every child command.
--
-------------------------------------------------------------
CMD2DRE_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2dre_valid = '1') then
sig_cmd2dre_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1' and
sig_first_xfer_im0 = '1') then
sig_cmd2dre_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2DRE_VALID_FLOP;
-------------------------------------------------------------------------
-- PCC State machine Logic
-------------------------------------------------------------
-- Combinational Process
--
-- Label: PCC_SM_COMBINATIONAL
--
-- Process Description:
-- PCC State Machine combinational implementation
--
-------------------------------------------------------------
PCC_SM_COMBINATIONAL : process (sig_pcc_sm_state ,
sig_parent_done ,
sig_push_input_reg ,
sig_pop_xfer_reg ,
sig_calc_error_pushed)
begin
-- SM Defaults
sig_pcc_sm_state_ns <= INIT;
sig_sm_halt_ns <= '0';
sig_sm_ld_xfer_reg_ns <= '0';
sig_sm_pop_input_reg_ns <= '0';
sig_sm_ld_calc1_reg_ns <= '0';
sig_sm_ld_calc2_reg_ns <= '0';
sig_sm_ld_calc3_reg_ns <= '0';
case sig_pcc_sm_state is
--------------------------------------------
when INIT =>
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
sig_sm_halt_ns <= '1';
--------------------------------------------
when WAIT_FOR_CMD =>
If (sig_push_input_reg = '1') Then
sig_pcc_sm_state_ns <= CALC_1;
sig_sm_ld_calc1_reg_ns <= '1';
else
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
End if;
--------------------------------------------
when CALC_1 =>
sig_pcc_sm_state_ns <= CALC_2;
sig_sm_ld_calc2_reg_ns <= '1';
--------------------------------------------
when CALC_2 =>
sig_pcc_sm_state_ns <= CALC_3;
sig_sm_ld_calc3_reg_ns <= '1';
--------------------------------------------
when CALC_3 =>
sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH;
sig_sm_ld_xfer_reg_ns <= '1';
--------------------------------------------
when WAIT_ON_XFER_PUSH =>
if (sig_pop_xfer_reg = '1') then
sig_pcc_sm_state_ns <= CHK_IF_DONE;
else -- wait until output register is loaded
sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH;
end if;
--------------------------------------------
when CHK_IF_DONE =>
If (sig_calc_error_pushed = '1') then -- Internal error, go to trap
sig_pcc_sm_state_ns <= ERROR_TRAP;
sig_sm_halt_ns <= '1';
elsif (sig_parent_done = '1') Then -- done with parent command
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
sig_sm_pop_input_reg_ns <= '1';
else -- Still breaking up parent command
sig_pcc_sm_state_ns <= CALC_1;
sig_sm_ld_calc1_reg_ns <= '1';
end if;
--------------------------------------------
when ERROR_TRAP =>
sig_pcc_sm_state_ns <= ERROR_TRAP;
sig_sm_halt_ns <= '1';
--------------------------------------------
when others =>
sig_pcc_sm_state_ns <= INIT;
end case;
end process PCC_SM_COMBINATIONAL;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: PCC_SM_REGISTERED
--
-- Process Description:
-- PCC State Machine registered implementation
--
-------------------------------------------------------------
PCC_SM_REGISTERED : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_pcc_sm_state <= INIT;
sig_sm_halt_reg <= '1' ;
sig_sm_pop_input_reg <= '0' ;
sig_sm_ld_calc1_reg <= '0' ;
sig_sm_ld_calc2_reg <= '0' ;
sig_sm_ld_calc3_reg <= '0' ;
else
sig_pcc_sm_state <= sig_pcc_sm_state_ns ;
sig_sm_halt_reg <= sig_sm_halt_ns ;
sig_sm_pop_input_reg <= sig_sm_pop_input_reg_ns;
sig_sm_ld_calc1_reg <= sig_sm_ld_calc1_reg_ns ;
sig_sm_ld_calc2_reg <= sig_sm_ld_calc2_reg_ns ;
sig_sm_ld_calc3_reg <= sig_sm_ld_calc3_reg_ns ;
end if;
end if;
end process PCC_SM_REGISTERED;
------------------------------------------------------------------
-- Transfer Register Load Enable logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: LD_XFER_REG_FLOP
--
-- Process Description:
-- Sample and Hold FLOP for signaling a load of the output
-- xfer register.
--
-------------------------------------------------------------
LD_XFER_REG_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_push_xfer_reg = '1') then
sig_ld_xfer_reg <= '0';
Elsif (sig_sm_ld_xfer_reg_ns = '1') Then
sig_ld_xfer_reg <= '1';
else
null; -- hold current state
end if;
end if;
end process LD_XFER_REG_FLOP;
LD_XFER_REG_FLOP1 : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_pop_xfer_reg = '1') then
sig_ld_xfer_reg_tmp <= '0';
Elsif (sig_sm_ld_xfer_reg_ns = '1') Then
sig_ld_xfer_reg_tmp <= '1';
else
null; -- hold current state
end if;
end if;
end process LD_XFER_REG_FLOP1;
------------------------------------------------------------------
-- Parent Done flag logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: PARENT_DONE_FLOP
--
-- Process Description:
-- Sample and Hold FLOP for signaling a load of the output
-- xfer register.
--
-------------------------------------------------------------
PARENT_DONE_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_push_input_reg = '1') then
sig_parent_done <= '0';
Elsif (sig_ld_xfer_reg_tmp = '1') Then
sig_parent_done <= sig_last_xfer_valid_im1;
else
null; -- hold current state
end if;
end if;
end process PARENT_DONE_FLOP;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover_pcc.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_pcc.vhd
--
-- Description:
-- This file implements the DataMover Predictive Command Calculator (PCC).
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_9;
use axi_datamover_v5_1_9.axi_datamover_strb_gen2;
-------------------------------------------------------------------------------
entity axi_datamover_pcc is
generic (
C_IS_MM2S : Integer range 0 to 1 := 0;
-- This parameter tells the PCC module if it is a MM2S
-- instance or a S2MM instance.
-- 0 = S2MM Instance
-- 1 = MM2S Instance
C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2;
-- Sets the width of the DRE Aligment output ports
C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5;
-- Sets the width of the LS address bus used for
-- Muxing/Demuxing data to/from a wider AXI4 data bus
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Sets the width of the AXi Address Channel
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the width of the Stream Data width that
-- is being supported by the PCC
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates the max allowed burst length to use for
-- AXI4 transfer calculations
C_CMD_WIDTH : Integer := 68;
-- Sets the width of the input command port
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the Tag field in the input command
C_BTT_USED : Integer range 8 to 23 := 16;
-- Sets the width of the used portion of the BTT field
-- of the input command
C_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Indicates if the Indeterminate BTT mode is enabled
C_NATIVE_XFER_WIDTH : Integer range 8 to 1024 := 32;
-- Indicates the Native transfer width to use for all
-- transfer calculations. This will either be the DataMover
-- input Stream width or the AXI4 MMap data width depending
-- on DataMover parameterization.
C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1
-- Indicates the width of the starting address offset
-- bus passed to Store and Forward functions
);
port (
-- Clock and Reset input ----------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
-----------------------------------------------------------------
-- Master Command FIFO/Register Interface --------------------------------------------
--
cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-- The next command value available from the Command FIFO/Register --
--
cache2mstr_command : in std_logic_vector(7 downto 0); --
-- The next command value available from the Command FIFO/Register --
cmd2mstr_cmd_valid : in std_logic; --
-- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry --
--
mst2cmd_cmd_ready : out std_logic; --
-- Handshake bit indicating the Command Calculator is ready to accept --
-- another command --
--------------------------------------------------------------------------------------
-- Address Channel Controller Interface -----------------------------------
--
mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- The next command address to put on the AXI MMap ADDR --
--
mstr2addr_len : out std_logic_vector(7 downto 0); --
-- The next command length to put on the AXI MMap LEN --
--
mstr2addr_size : out std_logic_vector(2 downto 0); --
-- The next command size to put on the AXI MMap SIZE --
--
mstr2addr_burst : out std_logic_vector(1 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cache : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
mstr2addr_user : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
mstr2addr_cmd_cmplt : out std_logic; --
-- The indication to the Address Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2addr_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calcualtion error --
--
mstr2addr_cmd_valid : out std_logic; --
-- The next command valid indication to the Address Channel --
-- Controller for the AXI MMap --
--
addr2mstr_cmd_ready : In std_logic; --
-- Indication from the Address Channel Controller that the --
-- command is being accepted --
---------------------------------------------------------------------------
-- Data Channel Controller Interface ------------------------------------------------
--
mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); --
-- The next command start address LSbs to use for the read data --
-- mux (only used if Stream data width is less than the MMap data --
-- width). --
--
mstr2data_len : out std_logic_vector(7 downto 0); --
-- The LEN value output to the Address Channel --
--
mstr2data_strt_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); --
-- The starting strobe value to use for the data transfer --
--
mstr2data_last_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); --
-- The endiing (LAST) strobe value to use for the data transfer --
--
mstr2data_drr : out std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2data_eof : out std_logic; --
-- The endiing tranfer of a sequence of parent transfer commands --
--
mstr2data_sequential : Out std_logic; --
-- The next sequential tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2data_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2data_cmd_cmplt : out std_logic; --
-- The indication to the Data Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2data_cmd_valid : out std_logic; --
-- The next command valid indication to the Data Channel --
-- Controller for the AXI MMap --
--
data2mstr_cmd_ready : In std_logic ; --
-- Indication from the Data Channel Controller that the --
-- command is being accepted on the AXI Address --
-- Channel --
--
mstr2data_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the MM2S DRE --
--
mstr2data_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the MM2S DRE --
-------------------------------------------------------------------------------------
-- Output flag indicating that a calculation error has occured ----------------------
--
calc_error : Out std_logic; --
-- Indication from the Command Calculator that a calculation --
-- error has occured. --
-------------------------------------------------------------------------------------
-- Special DRE Controller Interface --------------------------------------------
--
dre2mstr_cmd_ready : In std_logic ; --
-- Indication from the S2MM DRE Controller that it can --
-- accept another command. --
--
mstr2dre_cmd_valid : out std_logic ; --
-- The next command valid indication to the S2MM DRE --
-- Controller. --
--
mstr2dre_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2dre_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; --
-- The source (S2MM Stream) alignment for the S2MM DRE --
--
mstr2dre_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; --
-- The destinstion (S2MM MMap) alignment for the S2MM DRE --
--
mstr2dre_btt : out std_logic_vector(C_BTT_USED-1 downto 0) ; --
-- The BTT value output to the S2MM DRE. This is needed for --
-- Scatter operations. --
--
mstr2dre_drr : out std_logic ; --
-- The starting tranfer of a sequence of transfers --
--
mstr2dre_eof : out std_logic ; --
-- The endiing tranfer of a sequence of parent transfer commands --
--
mstr2dre_cmd_cmplt : Out std_logic ; --
-- The last child tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2dre_calc_error : out std_logic ; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
-------------------------------------------------------------------------------------
-- Store and Forward Support Start Offset ---------------------------------------------
--
mstr2dre_strt_offset : out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0) --
-- Relays the starting address offset for a transfer to the Store and Forward --
-- functions incorporating upsizer/downsizer logic --
---------------------------------------------------------------------------------------
);
end entity axi_datamover_pcc;
architecture implementation of axi_datamover_pcc is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function declarations -------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_dbeat_residue_width
--
-- Function Description:
-- Calculates the number of Least significant bits of the BTT field
-- that are unused for the LEN calculation
--
-------------------------------------------------------------------
function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is
Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream
begin
case bytes_per_beat is
when 1 =>
temp_dbeat_residue_width := 0;
when 2 =>
temp_dbeat_residue_width := 1;
when 4 =>
temp_dbeat_residue_width := 2;
when 8 =>
temp_dbeat_residue_width := 3;
when 16 =>
temp_dbeat_residue_width := 4;
when 32 =>
temp_dbeat_residue_width := 5;
when 64 =>
temp_dbeat_residue_width := 6;
when others => -- 128-byte transfers
temp_dbeat_residue_width := 7;
end case;
Return (temp_dbeat_residue_width);
end function funct_get_dbeat_residue_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_burstcnt_offset
--
-- Function Description:
-- Calculates the bit offset from the residue bits needed to detirmine
-- the load value for the burst counter.
--
-------------------------------------------------------------------
function funct_get_burst_residue_width (max_burst_len : integer) return integer is
Variable temp_burst_residue_width : Integer := 0;
begin
case max_burst_len is
when 256 =>
temp_burst_residue_width := 8;
when 128 =>
temp_burst_residue_width := 7;
when 64 =>
temp_burst_residue_width := 6;
when 32 =>
temp_burst_residue_width := 5;
when 16 =>
temp_burst_residue_width := 4;
when 8 =>
temp_burst_residue_width := 3;
when 4 =>
temp_burst_residue_width := 2;
when others => -- assume 2 dbeats
temp_burst_residue_width := 1;
end case;
Return (temp_burst_residue_width);
end function funct_get_burst_residue_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_get_axi_size
--
-- Function Description:
-- Calculates the AXI SIZE Qualifier based on the data width.
--
-------------------------------------------------------------------
function func_get_axi_size (native_dwidth : integer) return std_logic_vector is
Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000";
Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001";
Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010";
Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011";
Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100";
Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101";
Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110";
Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111";
Variable temp_size : std_logic_vector(2 downto 0) := (others => '0');
begin
case native_dwidth is
when 8 =>
temp_size := AXI_SIZE_1BYTE;
when 16 =>
temp_size := AXI_SIZE_2BYTE;
when 32 =>
temp_size := AXI_SIZE_4BYTE;
when 64 =>
temp_size := AXI_SIZE_8BYTE;
when 128 =>
temp_size := AXI_SIZE_16BYTE;
when 256 =>
temp_size := AXI_SIZE_32BYTE;
when 512 =>
temp_size := AXI_SIZE_64BYTE;
when others => -- 1024 bit dwidth
temp_size := AXI_SIZE_128BYTE;
end case;
Return (temp_size);
end function func_get_axi_size;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_sf_offset_ls_index
--
-- Function Description:
-- Calculates the Ls index of the Store and Forward
-- starting offset bus based on the User Stream Width.
--
-------------------------------------------------------------------
function funct_get_sf_offset_ls_index (stream_width : integer) return integer is
Variable lvar_temp_ls_index : Integer := 0;
begin
case stream_width is
when 8 =>
lvar_temp_ls_index := 0;
when 16 =>
lvar_temp_ls_index := 1;
when 32 =>
lvar_temp_ls_index := 2;
when 64 =>
lvar_temp_ls_index := 3;
when 128 =>
lvar_temp_ls_index := 4;
when 256 =>
lvar_temp_ls_index := 5;
when 512 =>
lvar_temp_ls_index := 6;
when others => -- 1024
lvar_temp_ls_index := 7;
end case;
Return (lvar_temp_ls_index);
end function funct_get_sf_offset_ls_index;
-- Constant Declarations ----------------------------------------
Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address)
Constant CMD_BTT_WIDTH : integer := C_BTT_USED;
Constant CMD_BTT_LS_INDEX : integer := 0;
Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1;
Constant CMD_TYPE_INDEX : integer := 23;
Constant CMD_DRR_INDEX : integer := BASE_CMD_WIDTH-1;
Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2;
Constant CMD_DSA_WIDTH : integer := 6;
Constant CMD_DSA_LS_INDEX : integer := CMD_TYPE_INDEX+1;
Constant CMD_DSA_MS_INDEX : integer := (CMD_DSA_LS_INDEX+CMD_DSA_WIDTH)-1;
Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH;
Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1;
Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH;
Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH;
Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1;
----------------------------------------------------------------------------------------
-- Command calculation constants
Constant SIZE_TO_USE : std_logic_vector(2 downto 0) := func_get_axi_size(C_NATIVE_XFER_WIDTH);
Constant BYTES_PER_DBEAT : integer := C_NATIVE_XFER_WIDTH/8;
Constant DBEATS_PER_BURST : integer := C_MAX_BURST_LEN;
Constant BYTES_PER_MAX_BURST : integer := DBEATS_PER_BURST*BYTES_PER_DBEAT;
Constant LEN_WIDTH : integer := 8; -- 8 bits fixed
Constant MAX_LEN_VALUE : integer := DBEATS_PER_BURST-1;
Constant XFER_LEN_ZERO : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
Constant DBEAT_RESIDUE_WIDTH : integer := funct_get_dbeat_residue_width(BYTES_PER_DBEAT);
Constant BURST_RESIDUE_WIDTH : integer := funct_get_burst_residue_width(C_MAX_BURST_LEN);
Constant BURST_RESIDUE_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH;
Constant BTT_RESIDUE_WIDTH : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH;
Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
Constant BTT_RESIDUE_1 : unsigned := TO_UNSIGNED( 1, BTT_RESIDUE_WIDTH);
Constant BTT_RESIDUE_0 : unsigned := TO_UNSIGNED( 0, BTT_RESIDUE_WIDTH);
Constant BURST_CNT_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH;
Constant BURST_CNTR_WIDTH : integer := CMD_BTT_WIDTH - (DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH);
Constant BRST_CNT_1 : unsigned := TO_UNSIGNED( 1, BURST_CNTR_WIDTH);
Constant BRST_CNT_0 : unsigned := TO_UNSIGNED( 0, BURST_CNTR_WIDTH);
Constant BRST_RESIDUE_0 : std_logic_vector(BURST_RESIDUE_WIDTH-1 downto 0) := (others => '0');
Constant DBEAT_RESIDUE_0 : std_logic_vector(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
Constant ADDR_CNTR_WIDTH : integer := 16; -- Addres Counter slice
Constant ADDR_MS_SLICE_WIDTH : integer := C_ADDR_WIDTH-ADDR_CNTR_WIDTH;
Constant ADDR_CNTR_MAX_VALUE : unsigned := TO_UNSIGNED((2**ADDR_CNTR_WIDTH)-1, ADDR_CNTR_WIDTH);
Constant ADDR_CNTR_ONE : unsigned := TO_UNSIGNED(1, ADDR_CNTR_WIDTH);
Constant MBAA_ADDR_SLICE_WIDTH : integer := BTT_RESIDUE_WIDTH;
Constant STRBGEN_ADDR_SLICE_WIDTH : integer := DBEAT_RESIDUE_WIDTH;
Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
Constant STRBGEN_ADDR_SLICE_1 : unsigned := TO_UNSIGNED( 1, STRBGEN_ADDR_SLICE_WIDTH);
Constant SF_OFFSET_LS_INDEX : integer := funct_get_sf_offset_ls_index(C_STREAM_DWIDTH);
Constant SF_OFFSET_MS_INDEX : integer := (SF_OFFSET_LS_INDEX + C_STRT_SF_OFFSET_WIDTH)-1;
-- Type Declarations --------------------------------------------
type PCC_SM_STATE_TYPE is (
INIT,
WAIT_FOR_CMD,
CALC_1,
CALC_2,
CALC_3,
WAIT_ON_XFER_PUSH,
CHK_IF_DONE,
ERROR_TRAP
);
-- Signal Declarations --------------------------------------------
Signal sig_pcc_sm_state : PCC_SM_STATE_TYPE := INIT;
Signal sig_pcc_sm_state_ns : PCC_SM_STATE_TYPE := INIT;
signal sig_sm_halt_ns : std_logic := '0';
signal sig_sm_halt_reg : std_logic := '0';
signal sig_sm_ld_xfer_reg_ns : std_logic := '0';
signal sig_sm_ld_xfer_reg_ns_tmp : std_logic := '0';
signal sig_sm_pop_input_reg_ns : std_logic := '0';
signal sig_sm_pop_input_reg : std_logic := '0';
signal sig_sm_ld_calc1_reg_ns : std_logic := '0';
signal sig_sm_ld_calc1_reg : std_logic := '0';
signal sig_sm_ld_calc2_reg_ns : std_logic := '0';
signal sig_sm_ld_calc2_reg : std_logic := '0';
signal sig_sm_ld_calc3_reg_ns : std_logic := '0';
signal sig_sm_ld_calc3_reg : std_logic := '0';
signal sig_parent_done : std_logic := '0';
signal sig_ld_xfer_reg : std_logic := '0';
signal sig_ld_xfer_reg_tmp : std_logic := '0';
signal sig_btt_raw : std_logic := '0';
signal sig_btt_is_zero : std_logic := '0';
signal sig_btt_is_zero_reg : std_logic := '0';
-- unused signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
-- unused signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0');
-- unused signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0');
-- unused signal sig_next_strt_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0');
-- unused signal sig_next_end_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0');
----------------------------------------------------------------------------------------
-- Burst Buster signals
signal sig_burst_cnt_slice_im0 : unsigned(BURST_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_last_xfer_valid_im1 : std_logic := '0';
signal sig_brst_cnt_eq_zero_im0 : std_logic := '0';
signal sig_brst_cnt_eq_zero_ireg1 : std_logic := '0';
signal sig_brst_cnt_eq_one_im0 : std_logic := '0';
signal sig_brst_cnt_eq_one_ireg1 : std_logic := '0';
signal sig_brst_residue_eq_zero : std_logic := '0';
signal sig_brst_residue_eq_zero_reg : std_logic := '0';
signal sig_no_btt_residue_im0 : std_logic := '0';
signal sig_no_btt_residue_ireg1 : std_logic := '0';
signal sig_btt_residue_slice_im0 : Unsigned(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
-- Input command register
signal sig_push_input_reg : std_logic := '0';
signal sig_pop_input_reg : std_logic := '0';
signal sig_input_burst_type_reg : std_logic := '0';
signal sig_input_cache_type_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_input_user_type_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_input_btt_residue_minus1_reg : std_logic_vector(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
signal sig_input_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_input_drr_reg : std_logic := '0';
signal sig_input_eof_reg : std_logic := '0';
signal sig_input_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_input_reg_empty : std_logic := '0';
signal sig_input_reg_full : std_logic := '0';
-- Output qualifier Register
-- signal sig_ld_output : std_logic := '0';
signal sig_push_xfer_reg : std_logic := '0';
signal sig_pop_xfer_reg : std_logic := '0';
signal sig_xfer_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_type_reg : std_logic := '0';
signal sig_xfer_cache_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_xfer_user_reg : std_logic_vector (3 downto 0) := "0000";
signal sig_xfer_len_reg : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_drr_reg : std_logic := '0';
signal sig_xfer_eof_reg : std_logic := '0';
signal sig_xfer_strt_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_is_seq_reg : std_logic := '0';
signal sig_xfer_cmd_cmplt_reg : std_logic := '0';
signal sig_xfer_calc_err_reg : std_logic := '0';
signal sig_xfer_reg_empty : std_logic := '0';
signal sig_xfer_reg_full : std_logic := '0';
-- Address Counter
signal sig_ld_addr_cntr : std_logic := '0';
signal sig_incr_addr_cntr : std_logic := '0';
signal sig_addr_cntr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_byte_change_minus1_im2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
-- misc
signal sig_xfer_len_im2 : std_logic_vector(LEN_WIDTH-1 downto 0);
signal sig_xfer_strt_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_strt_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_address_im0 : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_cmd_addr_slice : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_type_slice : std_logic := '0';
signal sig_cmd_cache_slice : std_logic_vector (3 downto 0) := "0000";
signal sig_cmd_user_slice : std_logic_vector (3 downto 0) := "0000";
signal sig_cmd_tag_slice : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_dsa_slice : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_drr_slice : std_logic := '0';
signal sig_cmd_eof_slice : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_calc_error_pushed : std_logic := '0';
-- PCC2 stuff
signal sig_finish_addr_offset_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_len_eq_0_im2 : std_logic := '0';
signal sig_first_xfer_im0 : std_logic := '0';
signal sig_bytes_to_mbaa_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_bytes_to_mbaa_ireg1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsh_rollover : std_logic := '0';
signal sig_predict_addr_lsh_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_kh : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_lsh_im0_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_cntr_im0_msh : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_addr_im0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_bytes_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0');
signal sig_ld_btt_cntr : std_logic := '0';
signal sig_decr_btt_cntr : std_logic := '0';
signal sig_btt_cntr_im0 : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd2data_valid : std_logic := '0';
signal sig_clr_cmd2data_valid : std_logic := '0';
signal sig_cmd2addr_valid : std_logic := '0';
signal sig_clr_cmd2addr_valid : std_logic := '0';
signal sig_btt_lt_b2mbaa_im0 : std_logic := '0';
signal sig_btt_lt_b2mbaa_ireg1 : std_logic := '0';
signal sig_btt_eq_b2mbaa_im0 : std_logic := '0';
signal sig_btt_eq_b2mbaa_ireg1 : std_logic := '0';
signal sig_addr_incr_ge_bpdb_im1 : std_logic := '0';
-- Unaligned start address support
signal sig_adjusted_addr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_adjusted_addr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_start_addr_offset_slice_im0 : Unsigned(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0');
signal sig_mbaa_addr_cntr_slice_im0 : Unsigned(MBAA_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_aligned_im0 : std_logic := '0';
signal sig_addr_aligned_ireg1 : std_logic := '0';
-- S2MM DRE Support
signal sig_cmd2dre_valid : std_logic := '0';
signal sig_clr_cmd2dre_valid : std_logic := '0';
signal sig_input_xfer_btt_im0 : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_dre_eof_reg : std_logic := '0';
-- Long Timing path breakup intermediate registers
signal sig_strbgen_addr_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_strbgen_bytes_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0');
signal sig_finish_addr_offset_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_last_addr_offset_im2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0');
signal sig_xfer_strt_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_end_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0');
signal sig_xfer_len_eq_0_ireg3 : std_logic := '0';
signal sig_addr_cntr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im3_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_im2 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_predict_addr_lsh_ireg3 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsh_rollover_im3 : std_logic := '0';
signal sig_mmap_reset_reg : std_logic := '0';
----------------------------------------------------------
begin --(architecture implementation)
-- Assign calculation error output
calc_error <= sig_calc_error_reg;
-- Assign the ready output to the Command FIFO
mst2cmd_cmd_ready <= not(sig_sm_halt_reg) and
sig_input_reg_empty and
not(sig_calc_error_pushed);
-- Assign the Address Channel Controller Qualifiers
mstr2addr_tag <= sig_xfer_tag_reg ;
mstr2addr_addr <= sig_xfer_addr_reg;
mstr2addr_len <= sig_xfer_len_reg ;
mstr2addr_size <= sig_xfer_size ;
mstr2addr_burst <= '0' & sig_xfer_type_reg; -- only fixed or increment supported
mstr2addr_cache <= sig_xfer_cache_reg; -- only fixed or increment supported
mstr2addr_user <= sig_xfer_user_reg; -- only fixed or increment supported
mstr2addr_cmd_valid <= sig_cmd2addr_valid;
mstr2addr_calc_error <= sig_xfer_calc_err_reg;
mstr2addr_cmd_cmplt <= sig_xfer_cmd_cmplt_reg;
-- Assign the Data Channel Controller Qualifiers
mstr2data_tag <= sig_xfer_tag_reg ;
mstr2data_saddr_lsb <= sig_xfer_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0);
mstr2data_len <= sig_xfer_len_reg ;
mstr2data_strt_strb <= sig_xfer_strt_strb_reg;
mstr2data_last_strb <= sig_xfer_end_strb_reg ;
mstr2data_drr <= sig_xfer_drr_reg ;
mstr2data_eof <= sig_xfer_eof_reg ;
mstr2data_sequential <= sig_xfer_is_seq_reg ;
mstr2data_cmd_cmplt <= sig_xfer_cmd_cmplt_reg;
mstr2data_cmd_valid <= sig_cmd2data_valid ;
mstr2data_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE
mstr2data_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE
mstr2data_calc_error <= sig_xfer_calc_err_reg ;
-- Assign the DRE Controller Qualifiers
mstr2dre_cmd_valid <= sig_cmd2dre_valid ; -- Used by DRE
mstr2dre_tag <= sig_xfer_tag_reg ; -- Used by DRE
mstr2dre_btt <= sig_xfer_btt_reg ; -- Used by DRE
mstr2dre_drr <= sig_xfer_drr_reg ; -- Used by DRE
mstr2dre_eof <= sig_xfer_dre_eof_reg ; -- Used by DRE
mstr2dre_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; -- Used by DRE
mstr2dre_calc_error <= sig_xfer_calc_err_reg ; -- Used by DRE
------------------------------------------------------------
-- If Generate
--
-- Label: DO_MM2S_CASE
--
-- If Generate Description:
-- Assigns the auxillary DRE Control Source and Destination
-- ports for the MM2S use case.
--
------------------------------------------------------------
DO_MM2S_CASE : if (C_IS_MM2S = 1) generate
begin
mstr2dre_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE
mstr2dre_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE
end generate DO_MM2S_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: DO_S2MM_CASE
--
-- If Generate Description:
-- Assigns the auxillary DRE Control Source and Destination
-- ports for the S2MM use case.
--
------------------------------------------------------------
DO_S2MM_CASE : if (C_IS_MM2S = 0) generate
begin
mstr2dre_dre_src_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE
mstr2dre_dre_dest_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE
end generate DO_S2MM_CASE;
-- Store and Forward Support Start Offset (used by Packer/Unpacker logic)
mstr2dre_strt_offset <= sig_xfer_addr_reg(SF_OFFSET_MS_INDEX downto SF_OFFSET_LS_INDEX);
-- Start internal logic.
-- sig_cmd_type_slice <= '1'; -- always incrementing (per Interface_X guidelines)
sig_cmd_user_slice <= cache2mstr_command(7 downto 4);
sig_cmd_cache_slice <= cache2mstr_command(3 downto 0);
sig_cmd_type_slice <= cmd2mstr_command(CMD_TYPE_INDEX);
sig_cmd_addr_slice <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX);
sig_cmd_tag_slice <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX);
sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX);
sig_cmd_dsa_slice <= cmd2mstr_command(CMD_DSA_MS_INDEX downto CMD_DSA_LS_INDEX);
sig_cmd_drr_slice <= cmd2mstr_command(CMD_DRR_INDEX);
sig_cmd_eof_slice <= cmd2mstr_command(CMD_EOF_INDEX);
-- Check for a zero length BTT (error condition)
sig_btt_is_zero <= '1'
when (sig_cmd_btt_slice = BTT_ZEROS)
Else '0';
sig_xfer_size <= SIZE_TO_USE;
-----------------------------------------------------------------
-- Reset fanout control
-----------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_REG
--
-- Process Description:
-- Registers the input reset to reduce fanout. This module
-- has a high number of register bits to reset.
--
-------------------------------------------------------------
IMP_RESET_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
sig_mmap_reset_reg <= mmap_reset;
end if;
end process IMP_RESET_REG;
-----------------------------------------------------------------
-- Input xfer register design
sig_push_input_reg <= not(sig_sm_halt_reg) and
cmd2mstr_cmd_valid and
sig_input_reg_empty and
not(sig_calc_error_reg);
sig_pop_input_reg <= sig_sm_pop_input_reg;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_INPUT_QUAL
--
-- Process Description:
-- Implements the input command qualifier holding register
--
-------------------------------------------------------------
REG_INPUT_QUAL : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_pop_input_reg = '1' or
sig_calc_error_pushed = '1') then
sig_input_cache_type_reg <= (others => '0');
sig_input_user_type_reg <= (others => '0');
sig_input_burst_type_reg <= '0';
sig_input_tag_reg <= (others => '0');
sig_input_dsa_reg <= (others => '0');
sig_input_drr_reg <= '0';
sig_input_eof_reg <= '0';
sig_input_reg_empty <= '1';
sig_input_reg_full <= '0';
elsif (sig_push_input_reg = '1') then
sig_input_cache_type_reg <= sig_cmd_cache_slice;
sig_input_user_type_reg <= sig_cmd_user_slice;
sig_input_burst_type_reg <= sig_cmd_type_slice;
sig_input_tag_reg <= sig_cmd_tag_slice;
sig_input_dsa_reg <= sig_cmd_dsa_slice;
sig_input_drr_reg <= sig_cmd_drr_slice;
sig_input_eof_reg <= sig_cmd_eof_slice;
sig_input_reg_empty <= '0';
sig_input_reg_full <= '1';
else
null; -- Hold current State
end if;
end if;
end process REG_INPUT_QUAL;
----------------------------------------------------------------------
-- Calculation Error Logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CALC_ERROR_FLOP
--
-- Process Description:
-- Implements the flop for the Calc Error flag, Once set,
-- the flag cannot be cleared until a reset is issued.
--
-------------------------------------------------------------
IMP_CALC_ERROR_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_calc_error_reg <= '0';
elsif (sig_push_input_reg = '1' and
sig_calc_error_reg = '0') then
sig_calc_error_reg <= sig_btt_is_zero;
else
Null; -- hold the current state
end if;
end if;
end process IMP_CALC_ERROR_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CALC_ERROR_PUSHED
--
-- Process Description:
-- Implements the flop for generating a flag indicating the
-- calculation error flag has been pushed to the addr and data
-- controllers.
--
-------------------------------------------------------------
IMP_CALC_ERROR_PUSHED : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_calc_error_pushed <= '0';
elsif (sig_push_xfer_reg = '1' and
sig_calc_error_pushed = '0') then
sig_calc_error_pushed <= sig_calc_error_reg;
else
Null; -- hold the current state
end if;
end if;
end process IMP_CALC_ERROR_PUSHED;
---------------------------------------------------------------------
-- Strobe Generator Logic
sig_xfer_strt_strb2use_im3 <= sig_xfer_strt_strb_ireg3
When (sig_first_xfer_im0 = '1')
Else (others => '1');
sig_xfer_end_strb2use_im3 <= sig_xfer_strt_strb2use_im3
When (sig_xfer_len_eq_0_ireg3 = '1' and
sig_first_xfer_im0 = '1')
else sig_xfer_end_strb_ireg3
When (sig_last_xfer_valid_im1 = '1')
Else (others => '1');
----------------------------------------------------------
-- Intermediate registers for STBGEN Fmax path
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_STBGEN_REGS
--
-- Process Description:
-- Intermediate registers for Strobegen inputs to break
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_STBGEN_REGS : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_strbgen_addr_ireg2 <= (others => '0');
sig_strbgen_bytes_ireg2 <= (others => '0');
sig_finish_addr_offset_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_strbgen_addr_ireg2 <= sig_strbgen_addr_im0 ;
sig_strbgen_bytes_ireg2 <= sig_strbgen_bytes_im1 ;
sig_finish_addr_offset_ireg2 <= sig_finish_addr_offset_im1;
else
null; -- hold state
end if;
end if;
end process IMP_IM_STBGEN_REGS;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_STBGEN_OUT_REGS
--
-- Process Description:
-- Intermediate registers for Strobegen outputs to break
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_STBGEN_OUT_REGS : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_xfer_strt_strb_ireg3 <= (others => '0');
sig_xfer_end_strb_ireg3 <= (others => '0');
sig_xfer_len_eq_0_ireg3 <= '0';
elsif (sig_sm_ld_calc3_reg = '1') then
sig_xfer_strt_strb_ireg3 <= sig_xfer_strt_strb_im2;
sig_xfer_end_strb_ireg3 <= sig_xfer_end_strb_im2 ;
sig_xfer_len_eq_0_ireg3 <= sig_xfer_len_eq_0_im2 ;
else
null; -- hold state
end if;
end if;
end process IMP_IM_STBGEN_OUT_REGS;
------------------------------------------------------------
-- Instance: I_STRT_STRB_GEN
--
-- Description:
-- Strobe generator instance. Generates strobe bits for
-- a designated starting byte lane and the number of bytes
-- to be transfered (for that data beat).
--
------------------------------------------------------------
I_STRT_STRB_GEN : entity axi_datamover_v5_1_9.axi_datamover_strb_gen2
generic map (
C_OP_MODE => 0 , -- 0 = Offset/Length mode
C_STRB_WIDTH => BYTES_PER_DBEAT ,
C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ,
C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1
)
port map (
start_addr_offset => sig_strbgen_addr_ireg2 ,
end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0
num_valid_bytes => sig_strbgen_bytes_ireg2 ,
strb_out => sig_xfer_strt_strb_im2
);
-- The ending address offset is 1 less than the calculated
-- starting address for the next sequential transfer.
sig_last_addr_offset_im2 <= STD_LOGIC_VECTOR(UNSIGNED(sig_finish_addr_offset_ireg2) -
STRBGEN_ADDR_SLICE_1);
------------------------------------------------------------
-- Instance: I_END_STRB_GEN
--
-- Description:
-- End Strobe generator instance. Generates asserted strobe
-- bits from byte offset 0 to the ending byte offset.
--
------------------------------------------------------------
I_END_STRB_GEN : entity axi_datamover_v5_1_9.axi_datamover_strb_gen2
generic map (
C_OP_MODE => 1 , -- 0 = Offset/Length mode
C_STRB_WIDTH => BYTES_PER_DBEAT ,
C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ,
C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH
)
port map (
start_addr_offset => STRBGEN_ADDR_0 ,
end_addr_offset => sig_last_addr_offset_im2 ,
num_valid_bytes => STRBGEN_ADDR_0 , -- not used in op mode 1
strb_out => sig_xfer_end_strb_im2
);
-----------------------------------------------------------------
-- Output xfer register design
sig_push_xfer_reg <= (sig_ld_xfer_reg and sig_xfer_reg_empty);
-- Data taking xfer after Addr and DRE
sig_pop_xfer_reg <= (sig_clr_cmd2data_valid and not(sig_cmd2addr_valid) and not(sig_cmd2dre_valid)) or
-- Addr taking xfer after Data and DRE
(sig_clr_cmd2addr_valid and not(sig_cmd2data_valid) and not(sig_cmd2dre_valid)) or
-- DRE taking xfer after Data and ADDR
(sig_clr_cmd2dre_valid and not(sig_cmd2data_valid) and not(sig_cmd2addr_valid)) or
-- data and Addr taking xfer after DRE
(sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and not(sig_cmd2dre_valid)) or
-- Addr and DRE taking xfer after Data
(sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid and not(sig_cmd2data_valid)) or
-- Data and DRE taking xfer after Addr
(sig_clr_cmd2data_valid and sig_clr_cmd2dre_valid and not(sig_cmd2addr_valid)) or
-- Addr, Data, and DRE all taking xfer
(sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_OUTPUT_QUAL
--
-- Process Description:
-- Implements the output xfer qualifier holding register
--
-------------------------------------------------------------
REG_OUTPUT_QUAL : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
(sig_pop_xfer_reg = '1' and
sig_push_xfer_reg = '0')) then
-- sig_xfer_cache_reg <= (others => '0');
-- sig_xfer_user_reg <= (others => '0');
-- sig_xfer_addr_reg <= (others => '0');
-- sig_xfer_type_reg <= '0';
-- sig_xfer_len_reg <= (others => '0');
-- sig_xfer_tag_reg <= (others => '0');
-- sig_xfer_dsa_reg <= (others => '0');
-- sig_xfer_drr_reg <= '0';
-- sig_xfer_eof_reg <= '0';
-- sig_xfer_strt_strb_reg <= (others => '0');
-- sig_xfer_end_strb_reg <= (others => '0');
-- sig_xfer_is_seq_reg <= '0';
-- sig_xfer_cmd_cmplt_reg <= '0';
-- sig_xfer_calc_err_reg <= '0';
-- sig_xfer_btt_reg <= (others => '0');
-- sig_xfer_dre_eof_reg <= '0';
sig_xfer_reg_empty <= '1';
sig_xfer_reg_full <= '0';
elsif (sig_push_xfer_reg = '1') then
-- if (sig_input_burst_type_reg = '0') then
-- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh;
-- else
-- sig_xfer_addr_reg <= sig_xfer_address_im0 ;
-- end if;
-- sig_xfer_type_reg <= sig_input_burst_type_reg ;
-- sig_xfer_cache_reg <= sig_input_cache_type_reg ;
-- sig_xfer_user_reg <= sig_input_user_type_reg ;
-- sig_xfer_len_reg <= sig_xfer_len_im2 ;
-- sig_xfer_tag_reg <= sig_input_tag_reg ;
-- sig_xfer_dsa_reg <= sig_input_dsa_reg ;
-- sig_xfer_drr_reg <= sig_input_drr_reg and
-- sig_first_xfer_im0 ;
-- sig_xfer_eof_reg <= sig_input_eof_reg and
-- sig_last_xfer_valid_im1 ;
-- sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ;
-- sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ;
-- sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ;
-- sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or
-- sig_calc_error_reg ;
-- sig_xfer_calc_err_reg <= sig_calc_error_reg ;
-- sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ;
-- sig_xfer_dre_eof_reg <= sig_input_eof_reg ;
sig_xfer_reg_empty <= '0';
sig_xfer_reg_full <= '1';
else
null; -- Hold current State
end if;
end if;
end process REG_OUTPUT_QUAL;
-- if (sig_input_burst_type_reg = '0') then
-- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh;
-- else
sig_xfer_addr_reg <= sig_xfer_address_im0 when (sig_input_burst_type_reg = '1') else
sig_addr_cntr_lsh_kh ;
-- end if;
sig_xfer_type_reg <= sig_input_burst_type_reg ;
sig_xfer_cache_reg <= sig_input_cache_type_reg ;
sig_xfer_user_reg <= sig_input_user_type_reg ;
sig_xfer_len_reg <= sig_xfer_len_im2 ;
sig_xfer_tag_reg <= sig_input_tag_reg ;
sig_xfer_dsa_reg <= sig_input_dsa_reg ;
sig_xfer_drr_reg <= sig_input_drr_reg and
sig_first_xfer_im0 ;
sig_xfer_eof_reg <= sig_input_eof_reg and
sig_last_xfer_valid_im1 ;
sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ;
sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ;
sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ;
sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or
sig_calc_error_reg ;
sig_xfer_calc_err_reg <= sig_calc_error_reg ;
sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ;
sig_xfer_dre_eof_reg <= sig_input_eof_reg ;
--------------------------------------------------------------
-- BTT Counter Logic
sig_ld_btt_cntr <= sig_ld_addr_cntr;
-- sig_decr_btt_cntr <= sig_incr_addr_cntr;
-- above signal is using the incr_addr_cntr signal and hence cannot be
-- used if burst type is Fixed
sig_decr_btt_cntr <= sig_incr_addr_cntr; --sig_push_xfer_reg;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_BTT_CNTR
--
-- Process Description:
-- Bytes to transfer counter implementation.
--
-------------------------------------------------------------
IMP_BTT_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_btt_cntr_im0 <= (others => '0');
elsif (sig_ld_btt_cntr = '1') then
sig_btt_cntr_im0 <= UNSIGNED(sig_cmd_btt_slice);
Elsif (sig_decr_btt_cntr = '1') Then
sig_btt_cntr_im0 <= sig_btt_cntr_im0-RESIZE(sig_addr_cntr_incr_ireg2, CMD_BTT_WIDTH);
else
null; -- hold current state
end if;
end if;
end process IMP_BTT_CNTR;
-- Convert to logic vector for the S2MM DRE use
-- The DRE will only use this value prior to the first
-- decrement of the BTT Counter. Using this saves a separate
-- BTT register.
sig_input_xfer_btt_im0 <= STD_LOGIC_VECTOR(sig_btt_cntr_im0);
-- Rip the Burst Count slice from BTT counter value
sig_burst_cnt_slice_im0 <= sig_btt_cntr_im0(CMD_BTT_WIDTH-1 downto BURST_CNT_LS_INDEX);
sig_brst_cnt_eq_zero_im0 <= '1'
When (sig_burst_cnt_slice_im0 = BRST_CNT_0)
Else '0';
sig_brst_cnt_eq_one_im0 <= '1'
When (sig_burst_cnt_slice_im0 = BRST_CNT_1)
Else '0';
-- Rip the BTT residue field from the BTT counter value
sig_btt_residue_slice_im0 <= sig_btt_cntr_im0(BTT_RESIDUE_WIDTH-1 downto 0);
-- Check for transfer length residue of zero prior to subtracting 1
sig_no_btt_residue_im0 <= '1'
when (sig_btt_residue_slice_im0 = BTT_RESIDUE_0)
Else '0';
-- Unaligned address compensation
-- Add the number of starting address offset byte positions to the
-- final byte change value needed to calculate the AXI LEN field
sig_start_addr_offset_slice_im0 <= sig_addr_cntr_lsh_im0(DBEAT_RESIDUE_WIDTH-1 downto 0);
sig_adjusted_addr_incr_im1 <= sig_addr_cntr_incr_im1 +
RESIZE(sig_start_addr_offset_slice_im0, ADDR_CNTR_WIDTH);
-- adjust the address increment down by 1 byte to compensate
-- for the LEN requirement of being N-1 data beats
sig_byte_change_minus1_im2 <= sig_adjusted_addr_incr_ireg2-ADDR_CNTR_ONE;
-- Rip the new transfer length value
sig_xfer_len_im2 <= STD_LOGIC_VECTOR(
RESIZE(
sig_byte_change_minus1_im2(BTT_RESIDUE_WIDTH-1 downto
DBEAT_RESIDUE_WIDTH),
LEN_WIDTH)
);
-- Check to see if the new xfer length is zero (1 data beat)
sig_xfer_len_eq_0_im2 <= '1'
when (sig_xfer_len_im2 = XFER_LEN_ZERO)
Else '0';
-- Check for Last transfer condition
--sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_im0 and
sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_ireg1 and
--sig_no_btt_residue_im0 and
sig_no_btt_residue_ireg1 and
-- sig_addr_aligned_im0) or -- always the last databeat case
sig_addr_aligned_ireg1) or -- always the last databeat case
-- ((sig_btt_lt_b2mbaa_im0 or sig_btt_eq_b2mbaa_im0) and -- less than a full burst remaining
((sig_btt_lt_b2mbaa_ireg1 or sig_btt_eq_b2mbaa_ireg1) and -- less than a full burst remaining
-- (sig_brst_cnt_eq_zero_im0 and not(sig_no_btt_residue_im0)));
(sig_brst_cnt_eq_zero_ireg1 and not(sig_no_btt_residue_ireg1)));
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
--
-- General Address Counter Logic (applies to any address width of 32 or greater
-- The address counter is divided into 2 16-bit segements for 32-bit address support. As the
-- address gets wider, up to 2 more segements will be added via IfGens to provide for 64-bit
-- addressing.
--
----------------------------------------------------------------------------------------------------
-- Rip the LS bits of the LS Address Counter for the StrobeGen
-- starting address offset
sig_strbgen_addr_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0));
-- Check if the calcualted address increment (in bytes) is greater than the
-- number of bytes that can be transfered per data beat
sig_addr_incr_ge_bpdb_im1 <= '1'
When (sig_addr_cntr_incr_im1 >= TO_UNSIGNED(BYTES_PER_DBEAT, ADDR_CNTR_WIDTH))
Else '0';
-- If the calculated address increment (in bytes) is greater than the
-- number of bytes that can be transfered per data beat, then clip the
-- strobegen byte value to the number of bytes per data beat, else use the
-- increment value.
sig_strbgen_bytes_im1 <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1))
when (sig_addr_incr_ge_bpdb_im1 = '1')
else STD_LOGIC_VECTOR(sig_addr_cntr_incr_im1(STRBGEN_ADDR_SLICE_WIDTH downto 0));
--------------------------------------------------------------------------
-- Address Counter logic
sig_ld_addr_cntr <= sig_push_input_reg;
-- don't increment address cntr if type is '0' (non-incrementing)
sig_incr_addr_cntr <= sig_pop_xfer_reg;-- and
-- sig_input_burst_type_reg;
sig_mbaa_addr_cntr_slice_im0 <= sig_addr_cntr_lsh_im0(MBAA_ADDR_SLICE_WIDTH-1 downto 0);
sig_bytes_to_mbaa_im0 <= TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH) -
RESIZE(sig_mbaa_addr_cntr_slice_im0,ADDR_CNTR_WIDTH);
sig_addr_aligned_im0 <= '1'
when (sig_mbaa_addr_cntr_slice_im0 = BTT_RESIDUE_0)
Else '0';
-- Check to see if the jump to the Max Burst Aligned Address (mbaa) is less
-- than or equal to the remaining bytes to transfer. If it is, then at least
-- two tranfers have to be scheduled.
sig_btt_lt_b2mbaa_im0 <= '1'
when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) < sig_bytes_to_mbaa_im0) and
(sig_brst_cnt_eq_zero_im0 = '1'))
Else '0';
sig_btt_eq_b2mbaa_im0 <= '1'
when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) = sig_bytes_to_mbaa_im0) and
(sig_brst_cnt_eq_zero_im0 = '1'))
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_REG1
--
-- Process Description:
-- Intermediate register stage 1 for Address Counter
-- derivative calculations.
--
-------------------------------------------------------------
IMP_IM_REG1 : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_bytes_to_mbaa_ireg1 <= (others => '0');
sig_addr_aligned_ireg1 <= '0' ;
sig_btt_lt_b2mbaa_ireg1 <= '0' ;
sig_btt_eq_b2mbaa_ireg1 <= '0' ;
sig_brst_cnt_eq_zero_ireg1 <= '0' ;
sig_brst_cnt_eq_one_ireg1 <= '0' ;
sig_no_btt_residue_ireg1 <= '0' ;
elsif (sig_sm_ld_calc1_reg = '1') then
sig_bytes_to_mbaa_ireg1 <= sig_bytes_to_mbaa_im0 ;
sig_addr_aligned_ireg1 <= sig_addr_aligned_im0 ;
sig_btt_lt_b2mbaa_ireg1 <= sig_btt_lt_b2mbaa_im0 ;
sig_btt_eq_b2mbaa_ireg1 <= sig_btt_eq_b2mbaa_im0 ;
sig_brst_cnt_eq_zero_ireg1 <= sig_brst_cnt_eq_zero_im0;
sig_brst_cnt_eq_one_ireg1 <= sig_brst_cnt_eq_one_im0 ;
sig_no_btt_residue_ireg1 <= sig_no_btt_residue_im0 ;
else
null; -- hold state
end if;
end if;
end process IMP_IM_REG1;
-- Select the address counter increment value to use
sig_addr_cntr_incr_im1 <= RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH)
--When (sig_btt_lt_b2mbaa_im0 = '1')
When (sig_btt_lt_b2mbaa_ireg1 = '1')
--else sig_bytes_to_mbaa_im0
else sig_bytes_to_mbaa_ireg1
when (sig_first_xfer_im0 = '1')
else TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH);
-- calculate the next starting address after the current
-- xfer completes
sig_predict_addr_lsh_im1 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_im1;
-- Predict next transfer's address offset for the Strobe Generator
sig_finish_addr_offset_im1 <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_im1(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0));
sig_addr_cntr_lsh_im0_slv <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- Determine if an address count lsh rollover is going to occur when
-- jumping to the next starting address by comparing the MS bit of the
-- current address lsh to the MS bit of the predicted address lsh .
-- A transition of a '1' to a '0' is a rollover.
sig_addr_lsh_rollover_im3 <= '1'
when (
(sig_addr_cntr_lsh_im0_slv(ADDR_CNTR_WIDTH-1) = '1') and
(sig_predict_addr_lsh_im3_slv(ADDR_CNTR_WIDTH-1) = '0')
)
Else '0';
----------------------------------------------------------
-- Intermediate registers for reducing the Address Counter
-- Increment timing path
----------------------------------------------------------
-- calculate the next starting address after the current
-- xfer completes using intermediate register values
sig_predict_addr_lsh_im2 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_ireg2;
sig_predict_addr_lsh_im3_slv <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_ireg3);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_ADDRINC_REG
--
-- Process Description:
-- Intermediate registers for address counter increment to
-- break long timing paths.
--
-------------------------------------------------------------
IMP_IM_ADDRINC_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_incr_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_addr_cntr_incr_ireg2 <= sig_addr_cntr_incr_im1;
else
null; -- hold state
end if;
end if;
end process IMP_IM_ADDRINC_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_IM_PREDICT_ADDR_REG
--
-- Process Description:
-- Intermediate register for predicted address to break up
-- long timing paths.
--
-------------------------------------------------------------
IMP_IM_PREDICT_ADDR_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_predict_addr_lsh_ireg3 <= (others => '0');
elsif (sig_sm_ld_calc3_reg = '1') then
sig_predict_addr_lsh_ireg3 <= sig_predict_addr_lsh_im2;
else
null; -- hold state
end if;
end if;
end process IMP_IM_PREDICT_ADDR_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_ADDR_STUFF
--
-- Process Description:
-- Implements a general register for address counter related
-- things.
--
-------------------------------------------------------------
REG_ADDR_STUFF : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_adjusted_addr_incr_ireg2 <= (others => '0');
elsif (sig_sm_ld_calc2_reg = '1') then
sig_adjusted_addr_incr_ireg2 <= sig_adjusted_addr_incr_im1;
else
null; -- hold state
end if;
end if;
end process REG_ADDR_STUFF;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_LSH_ADDR_CNTR
--
-- Process Description:
-- Least Significant Half Address counter implementation.
--
-------------------------------------------------------------
IMP_LSH_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_lsh_im0 <= (others => '0');
sig_addr_cntr_lsh_kh <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
sig_addr_cntr_lsh_im0 <= UNSIGNED(sig_cmd_addr_slice(ADDR_CNTR_WIDTH-1 downto 0));
sig_addr_cntr_lsh_kh <= sig_cmd_addr_slice;
Elsif (sig_incr_addr_cntr = '1') then -- and sig_input_burst_type_reg = '1') Then
sig_addr_cntr_lsh_im0 <= sig_predict_addr_lsh_ireg3;
else
null; -- hold current state
end if;
end if;
end process IMP_LSH_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_MSH_ADDR_CNTR
--
-- Process Description:
-- Least Significant Half Address counter implementation.
--
-------------------------------------------------------------
IMP_MSH_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_addr_cntr_im0_msh <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
sig_addr_cntr_im0_msh <= UNSIGNED(sig_cmd_addr_slice((2*ADDR_CNTR_WIDTH)-1 downto ADDR_CNTR_WIDTH));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1') then
sig_addr_cntr_im0_msh <= sig_addr_cntr_im0_msh+ADDR_CNTR_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_MSH_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_FIRST_XFER_FLOP
--
-- Process Description:
-- Implements the register flop for the first transfer flag.
--
-------------------------------------------------------------
IMP_FIRST_XFER_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_incr_addr_cntr = '1') then
sig_first_xfer_im0 <= '0';
elsif (sig_ld_addr_cntr = '1') then
sig_first_xfer_im0 <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_FIRST_XFER_FLOP;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_32
--
-- If Generate Description:
-- Implements the Address segment merge logic for the 32-bit
-- address width case. The address counter segments are split
-- into two 16-bit sections to improve Fmax convergence.
--
--
------------------------------------------------------------
GEN_ADDR_32 : if (C_ADDR_WIDTH = 32) generate
begin
-- Populate the transfer address value by concatonating the
-- address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
end generate GEN_ADDR_32;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_GT_32_LE_48
--
-- If Generate Description:
-- Implements the additional Address Counter logic for the case
-- when the address width is greater than 32 bits and less than
-- or equal to 48 bits. In this case, an additional counter segment
-- is implemented (segment 3) that is variable width of 1
-- to 16 bits.
--
------------------------------------------------------------
GEN_ADDR_GT_32_LE_48 : if (C_ADDR_WIDTH > 32 and
C_ADDR_WIDTH <= 48) generate
-- Local constants
Constant ACNTR_SEG3_WIDTH : integer := C_ADDR_WIDTH-32;
Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH);
Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant SEG3_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1;
Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32;
-- Local Signals
signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0');
signal lsig_acntr_msh_eq_max : std_logic := '0';
signal lsig_acntr_msh_eq_max_reg : std_logic := '0';
begin
-- Populate the transfer address value by concatonating the
-- 3 address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) &
STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- See if the MSH (Segment 2) of the Adress Counter is at a max value
lsig_acntr_msh_eq_max <= '1'
when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG2_EQ_MAX_REG
--
-- Process Description:
-- Implements a register for the flag indicating the address
-- counter MSH (Segment 2) is at max value and will rollover
-- at the next increment interval for the counter. Registering
-- this signal and using it for the Seg 3 increment logic only
-- works because there is always at least a 1 clock time gap
-- between the increment causing the segment 2 counter to go to
-- max and the next increment operation that can bump segment 3.
--
-------------------------------------------------------------
IMP_SEG2_EQ_MAX_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_acntr_msh_eq_max_reg <= '0';
else
lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max;
end if;
end if;
end process IMP_SEG2_EQ_MAX_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG3_ADDR_CNTR
--
-- Process Description:
-- Segment 3 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG3_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg3_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto
SEG3_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and --sig_input_burst_type_reg = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1') then
lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG3_ADDR_CNTR;
end generate GEN_ADDR_GT_32_LE_48;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_GT_48
--
-- If Generate Description:
-- Implements the additional Address Counter logic for the case
-- when the address width is greater than 48 bits and less than
-- or equal to 64. In this case, an additional 2 counter segments
-- are implemented (segment 3 and 4). Segment 3 is a fixed 16-bits
-- and segment 4 is variable width of 1 to 16 bits.
--
------------------------------------------------------------
GEN_ADDR_GT_48 : if (C_ADDR_WIDTH > 48) generate
-- Local constants
Constant ACNTR_SEG3_WIDTH : integer := ADDR_CNTR_WIDTH;
Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH);
Constant ACNTR_SEG3_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1');
Constant ACNTR_SEG4_WIDTH : integer := C_ADDR_WIDTH-48;
Constant ACNTR_SEG4_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG4_WIDTH);
Constant SEG3_ADDR_RIP_MS_INDEX : integer := 47;
Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32;
Constant SEG4_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1;
Constant SEG4_ADDR_RIP_LS_INDEX : integer := 48;
-- Local Signals
signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0');
signal lsig_acntr_msh_eq_max : std_logic := '0';
signal lsig_acntr_msh_eq_max_reg : std_logic := '0';
signal lsig_acntr_seg3_eq_max : std_logic := '0';
signal lsig_acntr_seg3_eq_max_reg : std_logic := '0';
signal lsig_seg4_addr_cntr : unsigned(ACNTR_SEG4_WIDTH-1 downto 0) := (others => '0');
begin
-- Populate the transfer address value by concatonating the
-- 4 address counter segments
sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg4_addr_cntr ) &
STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) &
STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) &
STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0);
-- See if the MSH (Segment 2) of the Address Counter is at a max value
lsig_acntr_msh_eq_max <= '1'
when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX)
Else '0';
-- See if the Segment 3 of the Address Counter is at a max value
lsig_acntr_seg3_eq_max <= '1'
when (lsig_seg3_addr_cntr = ACNTR_SEG3_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG2_3_EQ_MAX_REG
--
-- Process Description:
-- Implements a register for the flag indicating the address
-- counter segments 2 and 3 are at max value and will rollover
-- at the next increment interval for the counter. Registering
-- these signals and using themt for the Seg 3/4 increment logic
-- only works because there is always at least a 1 clock time gap
-- between the increment causing the segment 2 or 3 counter to go
-- to max and the next increment operation.
--
-------------------------------------------------------------
IMP_SEG2_3_EQ_MAX_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_acntr_msh_eq_max_reg <= '0';
lsig_acntr_seg3_eq_max_reg <= '0';
else
lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max;
lsig_acntr_seg3_eq_max_reg <= lsig_acntr_seg3_eq_max;
end if;
end if;
end process IMP_SEG2_3_EQ_MAX_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG3_ADDR_CNTR
--
-- Process Description:
-- Segment 3 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG3_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg3_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto
SEG3_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1') then
lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG3_ADDR_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEG4_ADDR_CNTR
--
-- Process Description:
-- Segment 4 of the Address counter implementation.
--
-------------------------------------------------------------
IMP_SEG4_ADDR_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
lsig_seg4_addr_cntr <= (others => '0');
elsif (sig_ld_addr_cntr = '1') then
lsig_seg4_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG4_ADDR_RIP_MS_INDEX downto
SEG4_ADDR_RIP_LS_INDEX));
Elsif (sig_incr_addr_cntr = '1' and
sig_addr_lsh_rollover_im3 = '1' and
lsig_acntr_msh_eq_max_reg = '1' and
lsig_acntr_seg3_eq_max_reg = '1') then
lsig_seg4_addr_cntr <= lsig_seg4_addr_cntr+ACNTR_SEG4_ONE;
else
null; -- hold current state
end if;
end if;
end process IMP_SEG4_ADDR_CNTR;
end generate GEN_ADDR_GT_48;
-- Addr and data Cntlr FIFO interface handshake logic ------------------------------
sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready;
sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready;
sig_clr_cmd2dre_valid <= sig_cmd2dre_valid and dre2mstr_cmd_ready;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2DATA_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the Data Controller Module.
--
-------------------------------------------------------------
CMD2DATA_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2data_valid = '1') then
sig_cmd2data_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1') then
sig_cmd2data_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2DATA_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2ADDR_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the Address Controller Module.
--
-------------------------------------------------------------
CMD2ADDR_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2addr_valid = '1') then
sig_cmd2addr_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1') then
sig_cmd2addr_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2ADDR_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2DRE_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Valid control
-- to the DRE Module (S2MM DRE Only).
--
-- Note that the S2MM DRE only needs to be loaded with a command
-- for each parent command, not every child command.
--
-------------------------------------------------------------
CMD2DRE_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_clr_cmd2dre_valid = '1') then
sig_cmd2dre_valid <= '0';
elsif (sig_sm_ld_xfer_reg_ns = '1' and
sig_first_xfer_im0 = '1') then
sig_cmd2dre_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2DRE_VALID_FLOP;
-------------------------------------------------------------------------
-- PCC State machine Logic
-------------------------------------------------------------
-- Combinational Process
--
-- Label: PCC_SM_COMBINATIONAL
--
-- Process Description:
-- PCC State Machine combinational implementation
--
-------------------------------------------------------------
PCC_SM_COMBINATIONAL : process (sig_pcc_sm_state ,
sig_parent_done ,
sig_push_input_reg ,
sig_pop_xfer_reg ,
sig_calc_error_pushed)
begin
-- SM Defaults
sig_pcc_sm_state_ns <= INIT;
sig_sm_halt_ns <= '0';
sig_sm_ld_xfer_reg_ns <= '0';
sig_sm_pop_input_reg_ns <= '0';
sig_sm_ld_calc1_reg_ns <= '0';
sig_sm_ld_calc2_reg_ns <= '0';
sig_sm_ld_calc3_reg_ns <= '0';
case sig_pcc_sm_state is
--------------------------------------------
when INIT =>
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
sig_sm_halt_ns <= '1';
--------------------------------------------
when WAIT_FOR_CMD =>
If (sig_push_input_reg = '1') Then
sig_pcc_sm_state_ns <= CALC_1;
sig_sm_ld_calc1_reg_ns <= '1';
else
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
End if;
--------------------------------------------
when CALC_1 =>
sig_pcc_sm_state_ns <= CALC_2;
sig_sm_ld_calc2_reg_ns <= '1';
--------------------------------------------
when CALC_2 =>
sig_pcc_sm_state_ns <= CALC_3;
sig_sm_ld_calc3_reg_ns <= '1';
--------------------------------------------
when CALC_3 =>
sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH;
sig_sm_ld_xfer_reg_ns <= '1';
--------------------------------------------
when WAIT_ON_XFER_PUSH =>
if (sig_pop_xfer_reg = '1') then
sig_pcc_sm_state_ns <= CHK_IF_DONE;
else -- wait until output register is loaded
sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH;
end if;
--------------------------------------------
when CHK_IF_DONE =>
If (sig_calc_error_pushed = '1') then -- Internal error, go to trap
sig_pcc_sm_state_ns <= ERROR_TRAP;
sig_sm_halt_ns <= '1';
elsif (sig_parent_done = '1') Then -- done with parent command
sig_pcc_sm_state_ns <= WAIT_FOR_CMD;
sig_sm_pop_input_reg_ns <= '1';
else -- Still breaking up parent command
sig_pcc_sm_state_ns <= CALC_1;
sig_sm_ld_calc1_reg_ns <= '1';
end if;
--------------------------------------------
when ERROR_TRAP =>
sig_pcc_sm_state_ns <= ERROR_TRAP;
sig_sm_halt_ns <= '1';
--------------------------------------------
when others =>
sig_pcc_sm_state_ns <= INIT;
end case;
end process PCC_SM_COMBINATIONAL;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: PCC_SM_REGISTERED
--
-- Process Description:
-- PCC State Machine registered implementation
--
-------------------------------------------------------------
PCC_SM_REGISTERED : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1') then
sig_pcc_sm_state <= INIT;
sig_sm_halt_reg <= '1' ;
sig_sm_pop_input_reg <= '0' ;
sig_sm_ld_calc1_reg <= '0' ;
sig_sm_ld_calc2_reg <= '0' ;
sig_sm_ld_calc3_reg <= '0' ;
else
sig_pcc_sm_state <= sig_pcc_sm_state_ns ;
sig_sm_halt_reg <= sig_sm_halt_ns ;
sig_sm_pop_input_reg <= sig_sm_pop_input_reg_ns;
sig_sm_ld_calc1_reg <= sig_sm_ld_calc1_reg_ns ;
sig_sm_ld_calc2_reg <= sig_sm_ld_calc2_reg_ns ;
sig_sm_ld_calc3_reg <= sig_sm_ld_calc3_reg_ns ;
end if;
end if;
end process PCC_SM_REGISTERED;
------------------------------------------------------------------
-- Transfer Register Load Enable logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: LD_XFER_REG_FLOP
--
-- Process Description:
-- Sample and Hold FLOP for signaling a load of the output
-- xfer register.
--
-------------------------------------------------------------
LD_XFER_REG_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_push_xfer_reg = '1') then
sig_ld_xfer_reg <= '0';
Elsif (sig_sm_ld_xfer_reg_ns = '1') Then
sig_ld_xfer_reg <= '1';
else
null; -- hold current state
end if;
end if;
end process LD_XFER_REG_FLOP;
LD_XFER_REG_FLOP1 : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_pop_xfer_reg = '1') then
sig_ld_xfer_reg_tmp <= '0';
Elsif (sig_sm_ld_xfer_reg_ns = '1') Then
sig_ld_xfer_reg_tmp <= '1';
else
null; -- hold current state
end if;
end if;
end process LD_XFER_REG_FLOP1;
------------------------------------------------------------------
-- Parent Done flag logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: PARENT_DONE_FLOP
--
-- Process Description:
-- Sample and Hold FLOP for signaling a load of the output
-- xfer register.
--
-------------------------------------------------------------
PARENT_DONE_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_mmap_reset_reg = '1' or
sig_push_input_reg = '1') then
sig_parent_done <= '0';
Elsif (sig_ld_xfer_reg_tmp = '1') Then
sig_parent_done <= sig_last_xfer_valid_im1;
else
null; -- hold current state
end if;
end if;
end process PARENT_DONE_FLOP;
end implementation;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ps2_rx is
port (
clk, reset: in std_logic; -- System clock and reset
ps2d, ps2c: in std_logic; -- PS/2 data and clock signals
rx_en: in std_logic; -- Receiver enabled/disabled signal
rx_done: out std_logic; -- End of transmission signal
dout: out std_logic_vector(7 downto 0) -- Output buffer
);
end ps2_rx;
architecture behavioral of ps2_rx is
-- State machine
type state is (idle, busy, done);
signal state_reg, state_next: state;
-- Counter from 9 to 0 - 4 bits should be enough
signal counter_reg, counter_next: unsigned(3 downto 0);
-- Data buffer
signal buf_reg, buf_next: std_logic_vector(10 downto 0);
-- Falling edge detector signals
signal fall_edge: std_logic;
signal ps2_edge: std_logic_vector(1 downto 0);
begin
-- falling edge detector using shift buffer
edge_detector: process(clk, reset)
begin
if reset = '1' then
ps2_edge <= (others => '0');
elsif rising_edge(clk) then
ps2_edge <= ps2_edge(0) & ps2c;
end if;
end process;
fall_edge <= '1' when ps2_edge(1) = '1' and ps2_edge(0) = '0' else '0';
-- clock based state changer
clk_process: process(clk, reset)
begin
if reset = '1' then
state_reg <= idle;
buf_reg <= (others => '0');
counter_reg <= (others => '0');
elsif rising_edge(clk) then
state_reg <= state_next;
buf_reg <= buf_next;
counter_reg <= counter_next;
end if;
end process;
state_machine: process(state_reg, fall_edge, rx_en, ps2d, buf_reg, counter_reg)
begin
-- setting default values
state_next <= state_reg;
buf_next <= buf_reg;
counter_next <= counter_reg;
rx_done <= '0';
case (state_reg) is
-- waiting for falling edge and start bit
when idle =>
if rx_en = '1' and fall_edge = '1' and ps2d = '0' then
state_next <= busy;
counter_next <= "1001"; -- 9 bits to go
-- loading bits into buffer
buf_next <= ps2d & buf_reg(10 downto 1);
end if;
-- receiving bits
when busy =>
if fall_edge = '1' then
-- loading bits into buffer
buf_next <= ps2d & buf_reg(10 downto 1);
-- simple counter
if counter_reg = 0 then
state_next <= done;
else
counter_next <= counter_reg - 1;
end if;
end if;
-- end of transmission
when done =>
state_next <= idle;
rx_done <= '1';
end case;
end process;
dout <= buf_reg(8 downto 1); -- output from shift register
end behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ForLoop is
generic(n : natural := 2);
port(A : in std_logic_vector(n - 1 downto 0);
B : in std_logic_vector(n - 1 downto 0);
carry : out std_logic;
sum : out std_logic_vector(n - 1 downto 0));
end ForLoop;
architecture behaviour of ForLoop is
signal result : std_logic_vector(n downto 0);
begin
gen : for i in 1 to 2 generate
sum <= i;
end generate gen;
end behaviour;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fifo_generator_v9_3_pctrl.vhd
--
-- Description:
-- Used for protocol control on write and read interface stimulus and status generation
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.fifo_generator_v9_3_pkg.ALL;
ENTITY fifo_generator_v9_3_pctrl IS
GENERIC(
AXI_CHANNEL : STRING :="NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE fg_pc_arch OF fifo_generator_v9_3_pctrl IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH);
SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_en_i : STD_LOGIC := '0';
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL state : STD_LOGIC := '0';
SIGNAL wr_control : STD_LOGIC := '0';
SIGNAL rd_control : STD_LOGIC := '0';
SIGNAL stop_on_err : STD_LOGIC := '0';
SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8);
SIGNAL sim_done_i : STD_LOGIC := '0';
SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0');
SIGNAL prc_we_i : STD_LOGIC := '0';
SIGNAL prc_re_i : STD_LOGIC := '0';
SIGNAL reset_en_i : STD_LOGIC := '0';
SIGNAL sim_done_d1 : STD_LOGIC := '0';
SIGNAL sim_done_wr1 : STD_LOGIC := '0';
SIGNAL sim_done_wr2 : STD_LOGIC := '0';
SIGNAL empty_d1 : STD_LOGIC := '0';
SIGNAL empty_wr_dom1 : STD_LOGIC := '0';
SIGNAL state_d1 : STD_LOGIC := '0';
SIGNAL state_rd_dom1 : STD_LOGIC := '0';
SIGNAL rd_en_d1 : STD_LOGIC := '0';
SIGNAL rd_en_wr1 : STD_LOGIC := '0';
SIGNAL wr_en_d1 : STD_LOGIC := '0';
SIGNAL wr_en_rd1 : STD_LOGIC := '0';
SIGNAL full_chk_d1 : STD_LOGIC := '0';
SIGNAL full_chk_rd1 : STD_LOGIC := '0';
SIGNAL empty_wr_dom2 : STD_LOGIC := '0';
SIGNAL state_rd_dom2 : STD_LOGIC := '0';
SIGNAL state_rd_dom3 : STD_LOGIC := '0';
SIGNAL rd_en_wr2 : STD_LOGIC := '0';
SIGNAL wr_en_rd2 : STD_LOGIC := '0';
SIGNAL full_chk_rd2 : STD_LOGIC := '0';
SIGNAL reset_en_d1 : STD_LOGIC := '0';
SIGNAL reset_en_rd1 : STD_LOGIC := '0';
SIGNAL reset_en_rd2 : STD_LOGIC := '0';
SIGNAL data_chk_wr_d1 : STD_LOGIC := '0';
SIGNAL data_chk_rd1 : STD_LOGIC := '0';
SIGNAL data_chk_rd2 : STD_LOGIC := '0';
SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
BEGIN
status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0';
STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high);
prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0';
prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0';
SIM_DONE <= sim_done_i;
rdw_gt_wrw <= (OTHERS => '1');
wrw_gt_rdw <= (OTHERS => '1');
PROCESS(RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(prc_re_i = '1') THEN
rd_activ_cont <= rd_activ_cont + "1";
END IF;
END IF;
END PROCESS;
PROCESS(sim_done_i)
BEGIN
assert sim_done_i = '0'
report "Simulation Complete for:" & AXI_CHANNEL
severity note;
END PROCESS;
-----------------------------------------------------
-- SIM_DONE SIGNAL GENERATION
-----------------------------------------------------
PROCESS (RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
--sim_done_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN
sim_done_i <= '1';
END IF;
END IF;
END PROCESS;
-- TB Timeout/Stop
fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE
PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN
sim_stop_cntr <= sim_stop_cntr - "1";
END IF;
END IF;
END PROCESS;
END GENERATE fifo_tb_stop_run;
-- Stop when error found
PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(sim_done_i = '0') THEN
status_d1_i <= status_i OR status_d1_i;
END IF;
IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN
stop_on_err <= '1';
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-----------------------------------------------------
-- CHECKS FOR FIFO
-----------------------------------------------------
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
post_rst_dly_rd <= (OTHERS => '1');
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4);
END IF;
END PROCESS;
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
post_rst_dly_wr <= (OTHERS => '1');
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4);
END IF;
END PROCESS;
-- FULL de-assert Counter
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
full_ds_timeout <= (OTHERS => '0');
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN
full_ds_timeout <= full_ds_timeout + '1';
END IF;
ELSE
full_ds_timeout <= (OTHERS => '0');
END IF;
END IF;
END PROCESS;
-- EMPTY deassert counter
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_ds_timeout <= (OTHERS => '0');
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0') THEN
IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN
empty_ds_timeout <= empty_ds_timeout + '1';
END IF;
ELSE
empty_ds_timeout <= (OTHERS => '0');
END IF;
END IF;
END PROCESS;
-- Full check signal generation
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
full_chk_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
full_chk_i <= '0';
ELSE
full_chk_i <= AND_REDUCE(full_as_timeout) OR
AND_REDUCE(full_ds_timeout);
END IF;
END IF;
END PROCESS;
-- Empty checks
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_chk_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
empty_chk_i <= '0';
ELSE
empty_chk_i <= AND_REDUCE(empty_as_timeout) OR
AND_REDUCE(empty_ds_timeout);
END IF;
END IF;
END PROCESS;
fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE
PRC_WR_EN <= prc_we_i AFTER 50 ns;
PRC_RD_EN <= prc_re_i AFTER 100 ns;
data_chk_i <= dout_chk;
END GENERATE fifo_d_chk;
-----------------------------------------------------
-----------------------------------------------------
-- SYNCHRONIZERS B/W WRITE AND READ DOMAINS
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
empty_wr_dom1 <= '1';
empty_wr_dom2 <= '1';
state_d1 <= '0';
wr_en_d1 <= '0';
rd_en_wr1 <= '0';
rd_en_wr2 <= '0';
full_chk_d1 <= '0';
reset_en_d1 <= '0';
sim_done_wr1 <= '0';
sim_done_wr2 <= '0';
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
sim_done_wr1 <= sim_done_d1;
sim_done_wr2 <= sim_done_wr1;
reset_en_d1 <= reset_en_i;
state_d1 <= state;
empty_wr_dom1 <= empty_d1;
empty_wr_dom2 <= empty_wr_dom1;
wr_en_d1 <= wr_en_i;
rd_en_wr1 <= rd_en_d1;
rd_en_wr2 <= rd_en_wr1;
full_chk_d1 <= full_chk_i;
END IF;
END PROCESS;
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_d1 <= '1';
state_rd_dom1 <= '0';
state_rd_dom2 <= '0';
state_rd_dom3 <= '0';
wr_en_rd1 <= '0';
wr_en_rd2 <= '0';
rd_en_d1 <= '0';
full_chk_rd1 <= '0';
full_chk_rd2 <= '0';
reset_en_rd1 <= '0';
reset_en_rd2 <= '0';
sim_done_d1 <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
sim_done_d1 <= sim_done_i;
reset_en_rd1 <= reset_en_d1;
reset_en_rd2 <= reset_en_rd1;
empty_d1 <= EMPTY;
rd_en_d1 <= rd_en_i;
state_rd_dom1 <= state_d1;
state_rd_dom2 <= state_rd_dom1;
state_rd_dom3 <= state_rd_dom2;
wr_en_rd1 <= wr_en_d1;
wr_en_rd2 <= wr_en_rd1;
full_chk_rd1 <= full_chk_d1;
full_chk_rd2 <= full_chk_rd1;
END IF;
END PROCESS;
RESET_EN <= reset_en_rd2;
data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE
-----------------------------------------------------
-- WR_EN GENERATION
-----------------------------------------------------
gen_rand_wr_en:fifo_generator_v9_3_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+1
)
PORT MAP(
CLK => WR_CLK,
RESET => RESET_WR,
RANDOM_NUM => wr_en_gen,
ENABLE => '1'
);
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wr_en_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control;
ELSE
wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4));
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-- WR_EN CONTROL
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wr_cntr <= (OTHERS => '0');
wr_control <= '1';
full_as_timeout <= (OTHERS => '0');
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
IF(wr_en_i = '1') THEN
wr_cntr <= wr_cntr + "1";
END IF;
full_as_timeout <= (OTHERS => '0');
ELSE
wr_cntr <= (OTHERS => '0');
IF(rd_en_wr2 = '0') THEN
IF(wr_en_i = '1') THEN
full_as_timeout <= full_as_timeout + "1";
END IF;
ELSE
full_as_timeout <= (OTHERS => '0');
END IF;
END IF;
wr_control <= NOT wr_cntr(wr_cntr'high);
END IF;
END PROCESS;
-----------------------------------------------------
-- RD_EN GENERATION
-----------------------------------------------------
gen_rand_rd_en:fifo_generator_v9_3_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED
)
PORT MAP(
CLK => RD_CLK,
RESET => RESET_RD,
RANDOM_NUM => rd_en_gen,
ENABLE => '1'
);
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rd_en_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state_rd_dom2 = '0') THEN
rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4));
ELSE
rd_en_i <= rd_en_gen(0) OR rd_en_gen(6);
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-- RD_EN CONTROL
-----------------------------------------------------
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rd_cntr <= (OTHERS => '0');
rd_control <= '1';
empty_as_timeout <= (OTHERS => '0');
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state_rd_dom2 = '0') THEN
IF(rd_en_i = '1') THEN
rd_cntr <= rd_cntr + "1";
END IF;
empty_as_timeout <= (OTHERS => '0');
ELSE
rd_cntr <= (OTHERS => '0');
IF(wr_en_rd2 = '0') THEN
IF(rd_en_i = '1') THEN
empty_as_timeout <= empty_as_timeout + "1";
END IF;
ELSE
empty_as_timeout <= (OTHERS => '0');
END IF;
END IF;
rd_control <= NOT rd_cntr(rd_cntr'high);
END IF;
END PROCESS;
-----------------------------------------------------
-- STIMULUS CONTROL
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
state <= '0';
reset_en_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
CASE state IS
WHEN '0' =>
IF(FULL = '1' AND empty_wr_dom2 = '0') THEN
state <= '1';
reset_en_i <= '0';
END IF;
WHEN '1' =>
IF(empty_wr_dom2 = '1' AND FULL = '0') THEN
state <= '0';
reset_en_i <= '1';
END IF;
WHEN OTHERS => state <= state;
END CASE;
END IF;
END PROCESS;
END GENERATE data_fifo_en;
END ARCHITECTURE;
|
-------------------------------------------------------------------------------
-- ____ _____ __ __ ________ _______
-- | | \ \ | \ | | |__ __| | __ \
-- |____| \____\ | \| | | | | |__> )
-- ____ ____ | |\ \ | | | | __ <
-- | | | | | | \ | | | | |__> )
-- |____| |____| |__| \__| |__| |_______/
--
-- NTB University of Applied Sciences in Technology
--
-- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland
-- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland
--
-- Web http://www.ntb.ch Tel. +41 81 755 33 11
--
-------------------------------------------------------------------------------
-- Copyright 2013 NTB University of Applied Sciences in Technology
-------------------------------------------------------------------------------
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.numeric_std.ALL;
-------------------------------------------------------------------------------
-- PACKAGE DEFINITION
-------------------------------------------------------------------------------
PACKAGE adc128S102_pkg IS
CONSTANT NUMBER_OF_CHANNELS : INTEGER := 8;
CONSTANT RESOLUTION : INTEGER := 12;
TYPE t_value_regs IS ARRAY(NUMBER_OF_CHANNELS -1 DOWNTO 0) OF STD_LOGIC_VECTOR(RESOLUTION-1 DOWNTO 0);
COMPONENT adc128S102 IS
GENERIC(
BASE_CLK : INTEGER := 33000000;
SCLK_FREQUENCY : INTEGER := 8000000 --Min 0.8 Mhz, max 16Mhz
);
PORT(
isl_clk : IN STD_LOGIC;
isl_reset_n : IN STD_LOGIC;
ot_values : OUT t_value_regs;
osl_sclk : OUT STD_LOGIC;
osl_ss : OUT STD_LOGIC;
osl_mosi : OUT STD_LOGIC;
isl_miso : IN STD_LOGIC
);
END COMPONENT adc128S102;
END PACKAGE adc128S102_pkg;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.numeric_std.ALL;
USE IEEE.math_real.ALL;
USE work.adc128S102_pkg.ALL;
USE work.spi_master_pkg.ALL;
-------------------------------------------------------------------------------
-- ENTITIY
-------------------------------------------------------------------------------
ENTITY adc128S102 IS
GENERIC(
BASE_CLK : INTEGER := 33000000;
SCLK_FREQUENCY : INTEGER := 8000000 --Min 0.8 Mhz, max 16Mhz
);
PORT(
isl_clk : IN STD_LOGIC;
isl_reset_n : IN STD_LOGIC;
ot_values : OUT t_value_regs;
osl_sclk : OUT STD_LOGIC;
osl_ss : OUT STD_LOGIC;
osl_mosi : OUT STD_LOGIC;
isl_miso : IN STD_LOGIC
);
END ENTITY adc128S102;
-------------------------------------------------------------------------------
-- ARCHITECTURE
-------------------------------------------------------------------------------
ARCHITECTURE rtl OF adc128S102 IS
CONSTANT SS_HOLD_FREQUENCY : INTEGER := 100000000; -- (10ns)^-1 see data sheet for this value
CONSTANT SS_HOLD_CYCLES : INTEGER := BASE_CLK/SS_HOLD_FREQUENCY + 2; -- add 2 to be sure and have a minimum number of cycles
CONSTANT TRANSFER_WIDTH : INTEGER := 16;
CONSTANT CHANNEL_COUNT_WIDTH : INTEGER := integer(ceil(log2(real(NUMBER_OF_CHANNELS))));
TYPE t_states IS (idle,wait_for_data,store_data,wait_for_next_transfer);
TYPE t_internal_register IS RECORD
state :t_states;
tx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0);
tx_start : STD_LOGIC;
channel_count : UNSIGNED(CHANNEL_COUNT_WIDTH-1 DOWNTO 0);
values : t_value_regs;
cycle_count : UNSIGNED(6 DOWNTO 0);
END RECORD;
SIGNAL slv_rx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0);
SIGNAL sl_rx_done : STD_LOGIC;
SIGNAL ri, ri_next : t_internal_register;
BEGIN
my_spi_master : spi_master
GENERIC MAP(
BASE_CLK => BASE_CLK,
SCLK_FREQUENCY => SCLK_FREQUENCY,
CS_SETUP_CYLES => SS_HOLD_CYCLES,
TRANSFER_WIDTH => 16, -- 16 bit per transfer see data sheet
NR_OF_SS => 1, -- only one ss is needed
CPOL => '1', -- sckl inactive high see data sheet
CPHA => '1', -- data is captured on the leading edge see data sheet
MSBFIRST => '1', -- MSB first
SSPOL => '0' -- zero active see data sheet
)
PORT MAP(
isl_clk => isl_clk,
isl_reset_n => isl_reset_n,
islv_tx_data => ri.tx_data,
isl_tx_start => ri.tx_start,
oslv_rx_data => slv_rx_data,
osl_rx_done => sl_rx_done,
islv_ss_activ(0) => '1',
osl_sclk => osl_sclk,
oslv_ss(0) => osl_ss,
osl_mosi => osl_mosi,
isl_miso => isl_miso
);
--------------------------------------------
-- combinatorial process
--------------------------------------------
comb_process: PROCESS(ri, isl_reset_n,sl_rx_done,slv_rx_data)
VARIABLE vi: t_internal_register;
BEGIN
-- keep variables stable
vi:=ri;
--standard values
vi.tx_start := '0';
CASE vi.state IS
WHEN idle =>
vi.tx_data := (OTHERS => '0');
vi.tx_data(TRANSFER_WIDTH -3 DOWNTO TRANSFER_WIDTH-5) := STD_LOGIC_VECTOR(vi.channel_count);
vi.tx_start := '1';
vi.state := wait_for_data;
WHEN wait_for_data =>
IF sl_rx_done = '1' THEN
vi.state := store_data;
END IF;
WHEN store_data =>
IF vi.channel_count = to_unsigned(0,CHANNEL_COUNT_WIDTH) THEN
vi.values(NUMBER_OF_CHANNELS-1) := slv_rx_data(RESOLUTION-1 DOWNTO 0);
ELSE
vi.values(to_integer(vi.channel_count)-1) := slv_rx_data(RESOLUTION-1 DOWNTO 0);
END IF;
IF vi.channel_count >= NUMBER_OF_CHANNELS-1 THEN
vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH);
ELSE
vi.channel_count := vi.channel_count + 1;
END IF;
vi.state := wait_for_next_transfer;
WHEN wait_for_next_transfer =>
IF vi.cycle_count = 50 THEN
vi.cycle_count := to_unsigned(0,7);
vi.state := idle;
ELSE
vi.cycle_count := vi.cycle_count + 1;
END IF;
WHEN OTHERS =>
vi.state := idle;
END CASE;
--reset
IF isl_reset_n = '0' THEN
vi.state := idle;
vi.tx_data := (OTHERS => '0');
vi.tx_start := '0';
vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH);
FOR i IN 0 TO NUMBER_OF_CHANNELS-1 LOOP
vi.values(i) := (OTHERS => '0');
END LOOP;
vi.cycle_count := (OTHERS => '0');
END IF;
-- setting outputs
ri_next <= vi;
END PROCESS comb_process;
--------------------------------------------
-- registered process
--------------------------------------------
reg_process: PROCESS (isl_clk)
BEGIN
IF rising_edge(isl_clk) THEN
ri <= ri_next;
END IF;
END PROCESS reg_process;
ot_values <= ri.values;
END ARCHITECTURE rtl;
|
entity repro is
end entity;
architecture A of repro is
signal S1 : bit := '0';
alias S1_delayed : bit is S1'delayed(100 ns);
begin
S1 <= '1' after 10 ns, '0' after 20 ns;
process (S1) is
begin
assert false report "S1 = " & bit'image(S1) severity note;
end process;
process (S1_delayed) is
begin
assert false report "S1'delayed = " & bit'image(S1_delayed)
severity note;
end process;
end architecture;
|
architecture RTL of FIFO is
begin
IF_LABEL : if a = '1' generate
ELSIF b = '1' generate
else generate
end generate;
-- Violations below
IF_LABEL : if a = '1' generate
ELSIF b = '1' generate
else generate
end generate;
end;
|
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity planet_rnd is
port(
clock: in std_logic;
input: in std_logic_vector(6 downto 0);
output: out std_logic_vector(18 downto 0)
);
end planet_rnd;
architecture behaviour of planet_rnd is
constant st0: std_logic_vector(5 downto 0) := "111101";
constant st1: std_logic_vector(5 downto 0) := "000010";
constant st2: std_logic_vector(5 downto 0) := "011011";
constant st3: std_logic_vector(5 downto 0) := "111110";
constant st4: std_logic_vector(5 downto 0) := "111111";
constant st42: std_logic_vector(5 downto 0) := "010001";
constant st5: std_logic_vector(5 downto 0) := "010110";
constant st6: std_logic_vector(5 downto 0) := "001011";
constant st7: std_logic_vector(5 downto 0) := "001111";
constant st41: std_logic_vector(5 downto 0) := "011110";
constant st38: std_logic_vector(5 downto 0) := "101011";
constant st8: std_logic_vector(5 downto 0) := "100001";
constant st10: std_logic_vector(5 downto 0) := "110000";
constant st9: std_logic_vector(5 downto 0) := "101111";
constant st11: std_logic_vector(5 downto 0) := "011010";
constant st12: std_logic_vector(5 downto 0) := "111000";
constant st13: std_logic_vector(5 downto 0) := "110110";
constant st14: std_logic_vector(5 downto 0) := "001000";
constant st15: std_logic_vector(5 downto 0) := "000001";
constant st16: std_logic_vector(5 downto 0) := "100100";
constant st17: std_logic_vector(5 downto 0) := "001001";
constant st18: std_logic_vector(5 downto 0) := "101001";
constant st19: std_logic_vector(5 downto 0) := "100110";
constant st46: std_logic_vector(5 downto 0) := "111011";
constant st24: std_logic_vector(5 downto 0) := "011100";
constant st20: std_logic_vector(5 downto 0) := "100011";
constant st25: std_logic_vector(5 downto 0) := "100010";
constant st21: std_logic_vector(5 downto 0) := "011000";
constant st22: std_logic_vector(5 downto 0) := "000011";
constant st23: std_logic_vector(5 downto 0) := "110111";
constant st26: std_logic_vector(5 downto 0) := "010011";
constant st28: std_logic_vector(5 downto 0) := "110010";
constant st30: std_logic_vector(5 downto 0) := "000111";
constant st27: std_logic_vector(5 downto 0) := "001100";
constant st29: std_logic_vector(5 downto 0) := "110101";
constant st31: std_logic_vector(5 downto 0) := "010100";
constant st32: std_logic_vector(5 downto 0) := "000000";
constant st33: std_logic_vector(5 downto 0) := "100111";
constant st35: std_logic_vector(5 downto 0) := "011101";
constant st34: std_logic_vector(5 downto 0) := "101101";
constant st36: std_logic_vector(5 downto 0) := "100101";
constant st37: std_logic_vector(5 downto 0) := "100000";
constant st39: std_logic_vector(5 downto 0) := "111100";
constant st40: std_logic_vector(5 downto 0) := "000100";
constant st43: std_logic_vector(5 downto 0) := "110011";
constant st44: std_logic_vector(5 downto 0) := "010111";
constant st45: std_logic_vector(5 downto 0) := "111010";
constant st47: std_logic_vector(5 downto 0) := "111001";
signal current_state, next_state: std_logic_vector(5 downto 0);
begin
process(clock) begin
if rising_edge(clock) then current_state <= next_state;
end if;
end process;
process(input, current_state) begin
next_state <= "------"; output <= "-------------------";
case current_state is
when st0 =>
if std_match(input, "-------") then next_state <= st1; output <= "001011101000000---0";
end if;
when st1 =>
if std_match(input, "----01-") then next_state <= st1; output <= "--------0000000---0";
elsif std_match(input, "----10-") then next_state <= st1; output <= "--------0100000---1";
elsif std_match(input, "----00-") then next_state <= st1; output <= "1000----1000000---1";
elsif std_match(input, "----11-") then next_state <= st2; output <= "1000111110011001000";
end if;
when st2 =>
if std_match(input, "------0") then next_state <= st3; output <= "1010010010000000000";
elsif std_match(input, "---0---") then next_state <= st3; output <= "1010010010000000000";
elsif std_match(input, "---1--1") then next_state <= st0; output <= "1010----1010010---1";
end if;
when st3 =>
if std_match(input, "11-----") then next_state <= st4; output <= "001111101000000-010";
elsif std_match(input, "10-----") then next_state <= st4; output <= "0011111110000000-10";
elsif std_match(input, "0-0-01-") then next_state <= st4; output <= "--------000010000-0";
elsif std_match(input, "0-0-10-") then next_state <= st4; output <= "--------010010000-1";
elsif std_match(input, "0-0-11-") then next_state <= st42; output <= "011011011000100---0";
elsif std_match(input, "0-0-00-") then next_state <= st4; output <= "0110----100000000-1";
elsif std_match(input, "0-1-01-") then next_state <= st4; output <= "--------00011000000";
elsif std_match(input, "0-1-10-") then next_state <= st4; output <= "--------01011000001";
elsif std_match(input, "0-1-11-") then next_state <= st42; output <= "011011011001100--00";
elsif std_match(input, "0-1-00-") then next_state <= st4; output <= "0110----10010000001";
end if;
when st4 =>
if std_match(input, "-------") then next_state <= st5; output <= "1010010010000000000";
end if;
when st5 =>
if std_match(input, "--0----") then next_state <= st6; output <= "1000011110000000001";
elsif std_match(input, "--1----") then next_state <= st6; output <= "1000011110010000001";
end if;
when st6 =>
if std_match(input, "-1----0") then next_state <= st7; output <= "101001001000000-000";
elsif std_match(input, "-110--1") then next_state <= st7; output <= "101001001000000-000";
elsif std_match(input, "-10---1") then next_state <= st41; output <= "101001001000000--00";
elsif std_match(input, "-111--1") then next_state <= st38; output <= "101001001000000--00";
elsif std_match(input, "-0-----") then next_state <= st7; output <= "1010010010000000-00";
end if;
when st7 =>
if std_match(input, "--1----") then next_state <= st8; output <= "0001101010010000000";
elsif std_match(input, "--0----") then next_state <= st8; output <= "0001101010000000000";
end if;
when st8 =>
if std_match(input, "--0----") then next_state <= st10; output <= "1010010010000000000";
elsif std_match(input, "--1----") then next_state <= st9; output <= "1010010010000000000";
end if;
when st9 =>
if std_match(input, "-11----") then next_state <= st11; output <= "001011101001000-000";
elsif std_match(input, "-01----") then next_state <= st11; output <= "0010111110010000-00";
elsif std_match(input, "-10----") then next_state <= st11; output <= "001011101000000-000";
elsif std_match(input, "-00----") then next_state <= st11; output <= "0010111110000000-00";
end if;
when st10 =>
if std_match(input, "--1----") then next_state <= st12; output <= "0010----10010000001";
elsif std_match(input, "--0----") then next_state <= st12; output <= "0010----10000000001";
end if;
when st11 =>
if std_match(input, "-------") then next_state <= st13; output <= "1010010010000000000";
end if;
when st12 =>
if std_match(input, "-------") then next_state <= st14; output <= "1010010010000000000";
end if;
when st13 =>
if std_match(input, "-11----") then next_state <= st15; output <= "010110011001000-000";
elsif std_match(input, "-10----") then next_state <= st15; output <= "010110011000000-000";
elsif std_match(input, "-01----") then next_state <= st15; output <= "0101100010010000-00";
elsif std_match(input, "-00----") then next_state <= st15; output <= "0101100010000000-00";
end if;
when st14 =>
if std_match(input, "--1----") then next_state <= st15; output <= "0101----10010000001";
elsif std_match(input, "--0----") then next_state <= st15; output <= "0101----10000000001";
end if;
when st15 =>
if std_match(input, "-------") then next_state <= st16; output <= "1010010010000000000";
end if;
when st16 =>
if std_match(input, "--1----") then next_state <= st17; output <= "0110010110010000001";
elsif std_match(input, "--0----") then next_state <= st17; output <= "0110010110000000001";
end if;
when st17 =>
if std_match(input, "---0---") then next_state <= st18; output <= "1010010010000000000";
elsif std_match(input, "01-1---") then next_state <= st19; output <= "101001001000001-0-0";
elsif std_match(input, "00-1--0") then next_state <= st19; output <= "1010010010000010--0";
elsif std_match(input, "00-1--1") then next_state <= st46; output <= "101001001000000---0";
elsif std_match(input, "11-1---") then next_state <= st24; output <= "101001001000001-000";
elsif std_match(input, "10-1--0") then next_state <= st24; output <= "1010010010000010-00";
elsif std_match(input, "10-1--1") then next_state <= st18; output <= "1010010010000000-00";
end if;
when st18 =>
if std_match(input, "--1----") then next_state <= st2; output <= "1000111110010000000";
elsif std_match(input, "0-0----") then next_state <= st2; output <= "1000----10000000001";
elsif std_match(input, "1-0----") then next_state <= st2; output <= "1000111110000000000";
end if;
when st19 =>
if std_match(input, "-10----") then next_state <= st20; output <= "100101001000000-0-0";
elsif std_match(input, "-00----") then next_state <= st20; output <= "1001010110000000--0";
elsif std_match(input, "--1----") then next_state <= st25; output <= "100011111001000--00";
end if;
when st20 =>
if std_match(input, "-10----") then next_state <= st19; output <= "101001001000000-0-0";
elsif std_match(input, "-11----") then next_state <= st21; output <= "101001001000000-0-0";
elsif std_match(input, "-01----") then next_state <= st19; output <= "1010010010000000--0";
elsif std_match(input, "-00----") then next_state <= st21; output <= "1010010010000000--0";
end if;
when st21 =>
if std_match(input, "-10----") then next_state <= st22; output <= "001111111000000-0-0";
elsif std_match(input, "-11----") then next_state <= st23; output <= "001111111001000--00";
elsif std_match(input, "-00----") then next_state <= st22; output <= "0011111010000000--0";
elsif std_match(input, "-01----") then next_state <= st23; output <= "001111101001000--00";
end if;
when st22 =>
if std_match(input, "-------") then next_state <= st19; output <= "10100100100000000-0";
end if;
when st23 =>
if std_match(input, "-------") then next_state <= st24; output <= "101001001000000--00";
end if;
when st24 =>
if std_match(input, "-------") then next_state <= st25; output <= "100011111000000--00";
end if;
when st25 =>
if std_match(input, "---0--0") then next_state <= st26; output <= "101001001000000---0";
elsif std_match(input, "---1--0") then next_state <= st28; output <= "101001001000010--00";
elsif std_match(input, "------1") then next_state <= st30; output <= "101001001000000--10";
end if;
when st26 =>
if std_match(input, "--0-01-") then next_state <= st27; output <= "--------0000100---0";
elsif std_match(input, "--0-10-") then next_state <= st27; output <= "--------0100100---1";
elsif std_match(input, "--0-00-") then next_state <= st27; output <= "0110----1000000---1";
elsif std_match(input, "--0-11-") then next_state <= st42; output <= "011011011000100---0";
elsif std_match(input, "--1----") then next_state <= st25; output <= "100011111001000--00";
end if;
when st27 =>
if std_match(input, "-------") then next_state <= st26; output <= "101001001000000---0";
end if;
when st28 =>
if std_match(input, "-------") then next_state <= st29; output <= "011001011000000--01";
end if;
when st29 =>
if std_match(input, "---1---") then next_state <= st26; output <= "101001001000000---0";
elsif std_match(input, "--10---") then next_state <= st3; output <= "1010010010000001000";
elsif std_match(input, "--00---") then next_state <= st3; output <= "1010010010000000100";
end if;
when st30 =>
if std_match(input, "-------") then next_state <= st31; output <= "100001111000000---1";
end if;
when st31 =>
if std_match(input, "---0---") then next_state <= st26; output <= "101001001000000---0";
elsif std_match(input, "---1---") then next_state <= st32; output <= "101001001000000---0";
end if;
when st32 =>
if std_match(input, "--0----") then next_state <= st33; output <= "100101011000000---0";
elsif std_match(input, "--1----") then next_state <= st35; output <= "011011011001000--00";
end if;
when st33 =>
if std_match(input, "--10---") then next_state <= st32; output <= "101001001000000---0";
elsif std_match(input, "--0----") then next_state <= st34; output <= "101001001000000---0";
elsif std_match(input, "---1---") then next_state <= st34; output <= "101001001000000---0";
end if;
when st34 =>
if std_match(input, "--1----") then next_state <= st35; output <= "011011011001000--00";
elsif std_match(input, "--0----") then next_state <= st35; output <= "011011011000000---0";
end if;
when st35 =>
if std_match(input, "-------") then next_state <= st36; output <= "101001001000000--00";
end if;
when st36 =>
if std_match(input, "--0----") then next_state <= st37; output <= "011011101000000--00";
elsif std_match(input, "--1----") then next_state <= st37; output <= "011011101001000--00";
end if;
when st37 =>
if std_match(input, "-------") then next_state <= st9; output <= "1010010010000000100";
end if;
when st38 =>
if std_match(input, "--0-01-") then next_state <= st39; output <= "--------0000100---0";
elsif std_match(input, "--0-10-") then next_state <= st39; output <= "--------0100100---1";
elsif std_match(input, "--0-11-") then next_state <= st42; output <= "011011011000100---0";
elsif std_match(input, "--0-00-") then next_state <= st39; output <= "0110----1000000---1";
elsif std_match(input, "--1----") then next_state <= st40; output <= "100011111001000--00";
end if;
when st39 =>
if std_match(input, "-------") then next_state <= st38; output <= "101001001000000---0";
end if;
when st40 =>
if std_match(input, "-------") then next_state <= st41; output <= "101001001000000--10";
end if;
when st41 =>
if std_match(input, "-------") then next_state <= st42; output <= "011011011000000---0";
end if;
when st42 =>
if std_match(input, "-------") then next_state <= st43; output <= "101001001000000--00";
end if;
when st43 =>
if std_match(input, "--0----") then next_state <= st44; output <= "011011101000000--00";
elsif std_match(input, "--1----") then next_state <= st44; output <= "011011101001000--00";
end if;
when st44 =>
if std_match(input, "-------") then next_state <= st45; output <= "101001001000000--00";
end if;
when st45 =>
if std_match(input, "--0----") then next_state <= st6; output <= "0111001110000000100";
elsif std_match(input, "--1----") then next_state <= st6; output <= "0111001110010000100";
end if;
when st46 =>
if std_match(input, "--0----") then next_state <= st47; output <= "1000----1000000---1";
elsif std_match(input, "--1----") then next_state <= st0; output <= "100011111011010---0";
end if;
when st47 =>
if std_match(input, "-------") then next_state <= st46; output <= "101001001000000---0";
end if;
when others => next_state <= "------"; output <= "-------------------";
end case;
end process;
end behaviour;
|
----------------------------------------------------------------------------------
-- Company: RAT Technologies
-- Engineer: Various RAT rats
--
-- Create Date: 1/31/2012
-- Design Name:
-- Module Name: RAT_wrapper - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: Wrapper for RAT CPU. This model provides a template to interfaces
-- the RAT CPU to the Nexys2 development board.
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity RAT_wrapper is
Port (
SWITCHES : in STD_LOGIC_VECTOR (7 downto 0);
BUTTONS : in STD_LOGIC_VECTOR (3 downto 0);
RST : in STD_LOGIC;
CLK : in STD_LOGIC;
LEDS : out STD_LOGIC_VECTOR (7 downto 0);
SEGMENTS : out STD_LOGIC_VECTOR (7 downto 0);
AN : out STD_LOGIC_VECTOR (3 downto 0);
VGA_RED : out STD_LOGIC_VECTOR (3 downto 0);
VGA_GRN : out STD_LOGIC_VECTOR (3 downto 0);
VGA_BLUE : out STD_LOGIC_VECTOR (3 downto 0);
VGA_HS : out STD_LOGIC;
VGA_VS : out STD_LOGIC
);
end RAT_wrapper;
architecture Behavioral of RAT_wrapper is
-- INPUT PORT IDS -------------------------------------------------------------
-- Right now, the only possible inputs are the switches
-- In future labs you can add more port IDs, and you'll have
-- to add constants here for the mux below
CONSTANT SWITCHES_ID : STD_LOGIC_VECTOR (7 downto 0) := X"20";
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- OUTPUT PORT IDS ------------------------------------------------------------
-- In future labs you can add more port IDs
CONSTANT LEDS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"40";
-------------------------------------------------------------------------------
CONSTANT SEGMENTS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"81";
CONSTANT AN_ID : STD_LOGIC_VECTOR (7 downto 0) := X"82";
CONSTANT BUTTONS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"50";
component Clk_Divider is
port (
CLK : in std_logic;
S_CLK : out std_logic
);
end component;
component RAT_CPU
Port ( IN_PORT : in STD_LOGIC_VECTOR (7 downto 0);
OUT_PORT : out STD_LOGIC_VECTOR (7 downto 0);
PORT_ID : out STD_LOGIC_VECTOR (7 downto 0);
IO_OE : out STD_LOGIC;
RST : in STD_LOGIC;
INT_IN : in STD_LOGIC;
CLK : in STD_LOGIC);
end component RAT_CPU;
component sseg_dec is
Port ( ALU_VAL : in std_logic_vector(7 downto 0);
SIGN : in std_logic;
VALID : in std_logic;
CLK : in std_logic;
DISP_EN : out std_logic_vector(3 downto 0);
SEGMENTS : out std_logic_vector(7 downto 0));
end component;
-- Signals for connecting RAT_CPU to RAT_wrapper -------------------------------
signal s_input_port : std_logic_vector (7 downto 0);
signal s_output_port : std_logic_vector (7 downto 0);
signal s_port_id : std_logic_vector (7 downto 0);
signal s_load : std_logic;
signal s_clk : std_logic := '0';
signal s_interrupt : std_logic := '0';
signal temp_LEDS : std_logic_vector (7 downto 0);
signal temp_SEGMENTS : std_logic_vector (7 downto 0) := x"00";
-- Register definitions for output devices ------------------------------------
signal r_LEDS : std_logic_vector (7 downto 0);
-------------------------------------------------------------------------------`
begin
CLK_DIV : Clk_Divider port map (CLK, s_clk);
-- Instantiate RAT_CPU --------------------------------------------------------
CPU: RAT_CPU
port map( IN_PORT => s_input_port,
OUT_PORT => s_output_port,
PORT_ID => s_port_id,
RST => RST,
IO_OE => s_load,
INT_IN => s_interrupt,
CLK => S_CLK);
-------------------------------------------------------------------------------
sseg_decoder: sseg_dec
port map( ALU_VAL => temp_SEGMENTS,
SIGN => '0',
VALID => '1',
CLK => s_clk,
DISP_EN => AN,
SEGMENTS => SEGMENTS );
interrupt_gen: process(CLK)
variable cnt : integer := 0;
begin
if(rising_edge(CLK)) then
if(cnt = 1600000) then -- 30 Hz
s_interrupt <= not s_interrupt;
cnt := 0;
else
cnt := cnt + 1;
end if;
end if;
end process;
-------------------------------------------------------------------------------
-- MUX for selecting what input to read ---------------------------------------
-------------------------------------------------------------------------------
inputs: process(s_port_id, SWITCHES)
begin
case(s_port_id) is
when BUTTONS_ID =>
s_input_port <= "0000" & BUTTONS;
when others =>
s_input_port <= x"00";
end case;
end process inputs;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- MUX for updating output registers ------------------------------------------
-- Register updates depend on rising clock edge and asserted load signal
-------------------------------------------------------------------------------
outputs: process(CLK)
begin
if (rising_edge(CLK)) then
if (s_load = '1') then
case(s_port_id) is
when LEDS_ID =>
temp_LEDS <= s_output_port;
when SEGMENTS_ID =>
temp_SEGMENTS <= s_output_port;
when others =>
end case;
end if;
end if;
end process outputs;
-------------------------------------------------------------------------------
-- Register Interface Assignments ---------------------------------------------
LEDS <= temp_LEDS;
end Behavioral;
|
-- -----------------------------------------------------------------------------
-- Description: this module contains
-- - an rx Fifo interface between the MAC and the input port
-- - an tx Fifo interface between the output port and the MAC
-- to decouple the clocks of the MAC and the switch
-- switch should have a equal or higher clock rate as the MAC
--------------------------------------------------------------------------------
library unisim;
use unisim.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity mac_fifo_interface is
generic (
GMII_DATA_WIDTH : integer;
RECEIVER_DATA_WIDTH : integer;
TRANSMITTER_DATA_WIDTH : integer;
FULL_DUPLEX_ONLY : boolean := true
); -- If fifo is to be used only in full duplex set to true for optimised implementation
port (
-- txpath interface
tx_fifo_in_clk : in std_logic;
tx_fifo_in_reset : in std_logic;
tx_fifo_in_data : in std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0);
tx_fifo_in_valid : in std_logic;
tx_fifo_in_last : in std_logic;
tx_fifo_in_ready : out std_logic;
-- support block interface
tx_fifo_out_clk : in std_logic;
tx_fifo_out_reset : in std_logic;
tx_fifo_out_data : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0);
tx_fifo_out_valid : out std_logic;
tx_fifo_out_last : out std_logic;
tx_fifo_out_ready : in std_logic;
tx_fifo_out_error : out std_logic;
-- rxpath interface
rx_fifo_out_clk : in std_logic;
rx_fifo_out_reset : in std_logic;
rx_fifo_out_data : out std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0);
rx_fifo_out_valid : out std_logic;
rx_fifo_out_last : out std_logic;
rx_fifo_out_error : out std_logic;
-- support block interface
rx_fifo_in_clk : in std_logic;
rx_fifo_in_reset : in std_logic;
rx_fifo_in_data : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0);
rx_fifo_in_valid : in std_logic;
rx_fifo_in_last : in std_logic;
rx_fifo_in_error : in std_logic
);
end mac_fifo_interface;
architecture RTL of mac_fifo_interface is
component switch_input_port_fifo
generic (
GMII_DATA_WIDTH : integer;
RECEIVER_DATA_WIDTH : integer
);
port (
-- User-side interface (read)
rx_fifo_out_clk : in std_logic;
rx_fifo_out_reset : in std_logic;
rx_fifo_out_data : out std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0);
rx_fifo_out_valid : out std_logic;
rx_fifo_out_last : out std_logic;
rx_fifo_out_error : out std_logic;
-- MAC-side interface (write)
rx_fifo_in_clk : in std_logic;
rx_fifo_in_reset : in std_logic;
rx_fifo_in_data : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0);
rx_fifo_in_valid : in std_logic;
rx_fifo_in_last : in std_logic;
rx_fifo_in_error : in std_logic
);
end component;
component switch_output_port_fifo
generic (
GMII_DATA_WIDTH : integer;
TRANSMITTER_DATA_WIDTH : integer
);
port (
-- User-side interface (write)
tx_fifo_in_clk : in std_logic;
tx_fifo_in_reset : in std_logic;
tx_fifo_in_data : in std_logic_vector(TRANSMITTER_DATA_WIDTH-1 downto 0);
tx_fifo_in_valid : in std_logic;
tx_fifo_in_last : in std_logic;
tx_fifo_in_ready : out std_logic;
-- MAC-side interface (read)
tx_fifo_out_clk : in std_logic;
tx_fifo_out_reset : in std_logic;
tx_fifo_out_data : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0);
tx_fifo_out_valid : out std_logic;
tx_fifo_out_last : out std_logic;
tx_fifo_out_ready : in std_logic;
tx_fifo_out_error : out std_logic
);
end component;
begin
rx_fifo_i : switch_input_port_fifo
generic map(
GMII_DATA_WIDTH => GMII_DATA_WIDTH,
RECEIVER_DATA_WIDTH => RECEIVER_DATA_WIDTH
)
port map(
rx_fifo_out_clk => rx_fifo_out_clk,
rx_fifo_out_reset => rx_fifo_out_reset,
rx_fifo_out_data => rx_fifo_out_data,
rx_fifo_out_valid => rx_fifo_out_valid,
rx_fifo_out_last => rx_fifo_out_last,
rx_fifo_out_error => rx_fifo_out_error,
rx_fifo_in_clk => rx_fifo_in_clk,
rx_fifo_in_reset => rx_fifo_in_reset,
rx_fifo_in_data => rx_fifo_in_data,
rx_fifo_in_valid => rx_fifo_in_valid,
rx_fifo_in_last => rx_fifo_in_last,
rx_fifo_in_error => rx_fifo_in_error
);
tx_fifo_i : switch_output_port_fifo
generic map(
GMII_DATA_WIDTH => GMII_DATA_WIDTH,
TRANSMITTER_DATA_WIDTH => TRANSMITTER_DATA_WIDTH
)
port map(
tx_fifo_in_clk => tx_fifo_in_clk,
tx_fifo_in_reset => tx_fifo_in_reset,
tx_fifo_in_data => tx_fifo_in_data,
tx_fifo_in_valid => tx_fifo_in_valid,
tx_fifo_in_last => tx_fifo_in_last,
tx_fifo_in_ready => tx_fifo_in_ready,
tx_fifo_out_clk => tx_fifo_out_clk,
tx_fifo_out_reset => tx_fifo_out_reset,
tx_fifo_out_data => tx_fifo_out_data,
tx_fifo_out_valid => tx_fifo_out_valid,
tx_fifo_out_last => tx_fifo_out_last,
tx_fifo_out_ready => tx_fifo_out_ready,
tx_fifo_out_error => tx_fifo_out_error
);
end RTL;
|
-- -----------------------------------------------------------------------------
-- Description: this module contains
-- - an rx Fifo interface between the MAC and the input port
-- - an tx Fifo interface between the output port and the MAC
-- to decouple the clocks of the MAC and the switch
-- switch should have a equal or higher clock rate as the MAC
--------------------------------------------------------------------------------
library unisim;
use unisim.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity mac_fifo_interface is
generic (
GMII_DATA_WIDTH : integer;
RECEIVER_DATA_WIDTH : integer;
TRANSMITTER_DATA_WIDTH : integer;
FULL_DUPLEX_ONLY : boolean := true
); -- If fifo is to be used only in full duplex set to true for optimised implementation
port (
-- txpath interface
tx_fifo_in_clk : in std_logic;
tx_fifo_in_reset : in std_logic;
tx_fifo_in_data : in std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0);
tx_fifo_in_valid : in std_logic;
tx_fifo_in_last : in std_logic;
tx_fifo_in_ready : out std_logic;
-- support block interface
tx_fifo_out_clk : in std_logic;
tx_fifo_out_reset : in std_logic;
tx_fifo_out_data : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0);
tx_fifo_out_valid : out std_logic;
tx_fifo_out_last : out std_logic;
tx_fifo_out_ready : in std_logic;
tx_fifo_out_error : out std_logic;
-- rxpath interface
rx_fifo_out_clk : in std_logic;
rx_fifo_out_reset : in std_logic;
rx_fifo_out_data : out std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0);
rx_fifo_out_valid : out std_logic;
rx_fifo_out_last : out std_logic;
rx_fifo_out_error : out std_logic;
-- support block interface
rx_fifo_in_clk : in std_logic;
rx_fifo_in_reset : in std_logic;
rx_fifo_in_data : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0);
rx_fifo_in_valid : in std_logic;
rx_fifo_in_last : in std_logic;
rx_fifo_in_error : in std_logic
);
end mac_fifo_interface;
architecture RTL of mac_fifo_interface is
component switch_input_port_fifo
generic (
GMII_DATA_WIDTH : integer;
RECEIVER_DATA_WIDTH : integer
);
port (
-- User-side interface (read)
rx_fifo_out_clk : in std_logic;
rx_fifo_out_reset : in std_logic;
rx_fifo_out_data : out std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0);
rx_fifo_out_valid : out std_logic;
rx_fifo_out_last : out std_logic;
rx_fifo_out_error : out std_logic;
-- MAC-side interface (write)
rx_fifo_in_clk : in std_logic;
rx_fifo_in_reset : in std_logic;
rx_fifo_in_data : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0);
rx_fifo_in_valid : in std_logic;
rx_fifo_in_last : in std_logic;
rx_fifo_in_error : in std_logic
);
end component;
component switch_output_port_fifo
generic (
GMII_DATA_WIDTH : integer;
TRANSMITTER_DATA_WIDTH : integer
);
port (
-- User-side interface (write)
tx_fifo_in_clk : in std_logic;
tx_fifo_in_reset : in std_logic;
tx_fifo_in_data : in std_logic_vector(TRANSMITTER_DATA_WIDTH-1 downto 0);
tx_fifo_in_valid : in std_logic;
tx_fifo_in_last : in std_logic;
tx_fifo_in_ready : out std_logic;
-- MAC-side interface (read)
tx_fifo_out_clk : in std_logic;
tx_fifo_out_reset : in std_logic;
tx_fifo_out_data : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0);
tx_fifo_out_valid : out std_logic;
tx_fifo_out_last : out std_logic;
tx_fifo_out_ready : in std_logic;
tx_fifo_out_error : out std_logic
);
end component;
begin
rx_fifo_i : switch_input_port_fifo
generic map(
GMII_DATA_WIDTH => GMII_DATA_WIDTH,
RECEIVER_DATA_WIDTH => RECEIVER_DATA_WIDTH
)
port map(
rx_fifo_out_clk => rx_fifo_out_clk,
rx_fifo_out_reset => rx_fifo_out_reset,
rx_fifo_out_data => rx_fifo_out_data,
rx_fifo_out_valid => rx_fifo_out_valid,
rx_fifo_out_last => rx_fifo_out_last,
rx_fifo_out_error => rx_fifo_out_error,
rx_fifo_in_clk => rx_fifo_in_clk,
rx_fifo_in_reset => rx_fifo_in_reset,
rx_fifo_in_data => rx_fifo_in_data,
rx_fifo_in_valid => rx_fifo_in_valid,
rx_fifo_in_last => rx_fifo_in_last,
rx_fifo_in_error => rx_fifo_in_error
);
tx_fifo_i : switch_output_port_fifo
generic map(
GMII_DATA_WIDTH => GMII_DATA_WIDTH,
TRANSMITTER_DATA_WIDTH => TRANSMITTER_DATA_WIDTH
)
port map(
tx_fifo_in_clk => tx_fifo_in_clk,
tx_fifo_in_reset => tx_fifo_in_reset,
tx_fifo_in_data => tx_fifo_in_data,
tx_fifo_in_valid => tx_fifo_in_valid,
tx_fifo_in_last => tx_fifo_in_last,
tx_fifo_in_ready => tx_fifo_in_ready,
tx_fifo_out_clk => tx_fifo_out_clk,
tx_fifo_out_reset => tx_fifo_out_reset,
tx_fifo_out_data => tx_fifo_out_data,
tx_fifo_out_valid => tx_fifo_out_valid,
tx_fifo_out_last => tx_fifo_out_last,
tx_fifo_out_ready => tx_fifo_out_ready,
tx_fifo_out_error => tx_fifo_out_error
);
end RTL;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: pci
-- File: pci.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Package with component and type declarations for PCI cores
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
package pci is
type pci_in_type is record
rst : std_ulogic;
gnt : std_ulogic;
idsel : std_ulogic;
ad : std_logic_vector(31 downto 0);
cbe : std_logic_vector(3 downto 0);
frame : std_ulogic;
irdy : std_ulogic;
trdy : std_ulogic;
devsel : std_ulogic;
stop : std_ulogic;
lock : std_ulogic;
perr : std_ulogic;
serr : std_ulogic;
par : std_ulogic;
host : std_ulogic;
pci66 : std_ulogic;
pme_status : std_ulogic;
int : std_logic_vector(3 downto 0); -- D downto A
end record;
type pci_out_type is record
aden : std_ulogic;
vaden : std_logic_vector(31 downto 0);
cbeen : std_logic_vector(3 downto 0);
frameen : std_ulogic;
irdyen : std_ulogic;
trdyen : std_ulogic;
devselen : std_ulogic;
stopen : std_ulogic;
ctrlen : std_ulogic;
perren : std_ulogic;
paren : std_ulogic;
reqen : std_ulogic;
locken : std_ulogic;
serren : std_ulogic;
inten : std_logic;
vinten : std_logic_vector(3 downto 0);
req : std_ulogic;
ad : std_logic_vector(31 downto 0);
cbe : std_logic_vector(3 downto 0);
frame : std_ulogic;
irdy : std_ulogic;
trdy : std_ulogic;
devsel : std_ulogic;
stop : std_ulogic;
perr : std_ulogic;
serr : std_ulogic;
par : std_ulogic;
lock : std_ulogic;
power_state : std_logic_vector(1 downto 0);
pme_enable : std_ulogic;
pme_clear : std_ulogic;
int : std_logic;
rst : std_ulogic;
end record;
constant pci_out_none : pci_out_type := (
aden => '1', vaden => (others => '1'), cbeen => (others => '1'),
frameen => '1', irdyen => '1', trdyen => '1', devselen => '1',
stopen => '1', ctrlen => '1', perren => '1', paren => '1', reqen => '1',
locken => '1', serren => '1', inten => '1', vinten => (others => '1'), req => '1', ad => (others => '0'),
cbe => (others => '1'), frame => '1', irdy => '1', trdy => '1', devsel => '1',
stop => '1', perr => '1', serr => '1', par => '1', lock => '1',
power_state => (others => '1'), pme_enable => '1',pme_clear => '1',
int => '1', rst => '1');
component pci_target
generic (
hindex : integer := 0;
abits : integer := 21;
device_id : integer := 0; -- PCI device ID
vendor_id : integer := 0; -- PCI vendor ID
nsync : integer range 1 to 2 := 1; -- 1 or 2 sync regs between clocks
oepol : integer := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
pciclk : in std_ulogic;
pcii : in pci_in_type;
pcio : out pci_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type
);
end component;
component pci_mt
generic (
hmstndx : integer := 0;
abits : integer := 21;
device_id : integer := 0; -- PCI device ID
vendor_id : integer := 0; -- PCI vendor ID
master : integer := 1; -- Enable PCI Master
hslvndx : integer := 0;
haddr : integer := 16#F00#;
hmask : integer := 16#F00#;
ioaddr : integer := 16#000#;
nsync : integer range 1 to 2 := 1; -- 1 or 2 sync regs between clocks
oepol : integer := 0
);
port(
rst : in std_logic;
clk : in std_logic;
pciclk : in std_logic;
pcii : in pci_in_type;
pcio : out pci_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end component;
component dmactrl
generic (
hindex : integer := 0;
slvindex : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0;
blength : integer := 4);
port (
rst : in std_logic;
clk : in std_logic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi0 : in ahb_slv_in_type;
ahbso0 : out ahb_slv_out_type;
ahbsi1 : out ahb_slv_in_type;
ahbso1 : in ahb_slv_out_type);
end component;
component pci_mtf
generic (
memtech : integer := DEFMEMTECH;
hmstndx : integer := 0;
dmamst : integer := NAHBMST;
readpref : integer := 0;
abits : integer := 21;
dmaabits : integer := 26;
fifodepth : integer := 3; -- FIFO depth
device_id : integer := 0; -- PCI device ID
vendor_id : integer := 0; -- PCI vendor ID
master : integer := 1; -- Enable PCI Master
hslvndx : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
haddr : integer := 16#F00#;
hmask : integer := 16#F00#;
ioaddr : integer := 16#000#;
irq : integer := 0;
irqmask : integer := 0;
nsync : integer range 1 to 2 := 2; -- 1 or 2 sync regs between clocks
oepol : integer := 0;
endian : integer := 0;
class_code: integer := 16#0B4000#;
rev : integer := 0;
scanen : integer := 0;
syncrst : integer := 0;
hostrst : integer := 0);
port(
rst : in std_logic;
clk : in std_logic;
pciclk : in std_logic;
pcii : in pci_in_type;
pcio : out pci_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end component;
component pcitrace
generic (
depth : integer range 6 to 12 := 8;
iregs : integer := 1;
memtech : integer := DEFMEMTECH;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#f00#
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
pciclk : in std_ulogic;
pcii : in pci_in_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type
);
end component;
component pcipads
generic (
padtech : integer := 0;
noreset : integer := 0;
oepol : integer := 0;
host : integer := 1;
int : integer := 0;
no66 : integer := 0;
onchipreqgnt : integer := 0;
drivereset : integer := 0;
constidsel : integer := 0;
level : integer := pci33;
voltage : integer := x33v
);
port (
pci_rst : inout std_logic;
pci_gnt : in std_ulogic;
pci_idsel : in std_ulogic;
pci_lock : inout std_ulogic;
pci_ad : inout std_logic_vector(31 downto 0);
pci_cbe : inout std_logic_vector(3 downto 0);
pci_frame : inout std_logic;
pci_irdy : inout std_logic;
pci_trdy : inout std_logic;
pci_devsel : inout std_logic;
pci_stop : inout std_logic;
pci_perr : inout std_logic;
pci_par : inout std_logic;
pci_req : inout std_logic; -- tristate pad but never read
pci_serr : inout std_logic; -- open drain output
pci_host : in std_ulogic;
pci_66 : in std_ulogic;
pcii : out pci_in_type;
pcio : in pci_out_type;
pci_int : inout std_logic_vector(3 downto 0)
);
end component;
component pcidma
generic (
memtech : integer := DEFMEMTECH;
dmstndx : integer := 0;
dapbndx : integer := 0;
dapbaddr : integer := 0;
dapbmask : integer := 16#fff#;
dapbirq : integer := 0;
blength : integer := 16;
mstndx : integer := 0;
abits : integer := 21;
dmaabits : integer := 26;
fifodepth : integer := 3; -- FIFO depth
device_id : integer := 0; -- PCI device ID
vendor_id : integer := 0; -- PCI vendor ID
slvndx : integer := 0;
apbndx : integer := 0;
apbaddr : integer := 0;
apbmask : integer := 16#fff#;
haddr : integer := 16#F00#;
hmask : integer := 16#F00#;
ioaddr : integer := 16#000#;
nsync : integer range 1 to 2 := 2; -- 1 or 2 sync regs between clocks
oepol : integer := 0;
endian : integer := 0; -- 0 little, 1 big
class_code: integer := 16#0B4000#;
rev : integer := 0;
irq : integer := 0;
irqmask : integer := 0;
scanen : integer := 0;
hostrst : integer := 0;
syncrst : integer := 0);
port(
rst : in std_logic;
clk : in std_logic;
pciclk : in std_logic;
pcii : in pci_in_type;
pcio : out pci_out_type;
dapbo : out apb_slv_out_type;
dahbmo : out ahb_mst_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end component;
type pci_ahb_dma_in_type is record
address : std_logic_vector(31 downto 0);
wdata : std_logic_vector(31 downto 0);
start : std_ulogic;
burst : std_ulogic;
write : std_ulogic;
busy : std_ulogic;
irq : std_ulogic;
size : std_logic_vector(1 downto 0);
end record;
type pci_ahb_dma_out_type is record
start : std_ulogic;
active : std_ulogic;
ready : std_ulogic;
retry : std_ulogic;
mexc : std_ulogic;
haddr : std_logic_vector(9 downto 0);
rdata : std_logic_vector(31 downto 0);
end record;
component pciahbmst
generic (
hindex : integer := 0;
hirq : integer := 0;
venid : integer := VENDOR_GAISLER;
devid : integer := 0;
version : integer := 0;
chprot : integer := 3;
incaddr : integer := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
dmai : in pci_ahb_dma_in_type;
dmao : out pci_ahb_dma_out_type;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type
);
end component;
component pcif
generic (
device_id : integer := 0; -- PCI device ID
vendor_id : integer := 0; -- PCI vendor ID
class : integer := 0;
revision_id : integer := 0;
aaddr_width : integer := 28;
maddr_width : integer := 28;
pcibars : integer := 1;
ahbmasters : integer := 8;
fifo_depth : integer := 3;
ft : integer := 0;
memtech : integer := 0;
hmstndx : integer := 0;
hslvndx : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
haddr : integer := 16#F00#;
hmask : integer := 16#F00#);
port(
rst : in std_logic;
pciclk : in std_logic;
pcii : in pci_in_type;
pcio : out pci_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type);
--debug : out std_logic_vector(233 downto 0));
end component;
component pcif_async
generic (
device_id : integer := 0; -- PCI device ID
vendor_id : integer := 0; -- PCI vendor ID
class : integer := 0;
revision_id : integer := 0;
bar1 : integer := 20;
bar2 : integer := 24;
bar3 : integer := 0;
bar4 : integer := 0;
ahbmasters : integer := 28;
fifo_depth : integer := 1;
ft : integer := 0;
nsync : integer := 2;
irqctrl : integer := 0;
host : integer := 0;
memtech : integer := 0;
hmstndx : integer := 0;
hslvndx : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
haddr : integer := 16#F00#;
hmask : integer := 16#F00#;
ioaddr : integer := 16#000#;
pirq : integer := 0;
netlist : integer := 0;
debugen : integer := 0;
hostrst : integer := 0
);
port(
rst : in std_logic;
clk : in std_logic;
pcirst : in std_logic;
pciclk : in std_logic;
pcii : in pci_in_type;
pcio : out pci_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type--;
--debug : out std_logic_vector(255 downto 0)
);
end component;
component grpci2
generic (
memtech : integer := DEFMEMTECH;
tbmemtech : integer := DEFMEMTECH;
oepol : integer := 0;
hmindex : integer := 0;
hdmindex : integer := 0;
hsindex : integer := 0;
haddr : integer := 0;
hmask : integer := 0;
ioaddr : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#FFF#;
irq : integer := 0;
irqmode : integer range 0 to 3 := 0;
master : integer range 0 to 1 := 1;
target : integer range 0 to 1 := 1;
dma : integer range 0 to 1 := 1;
tracebuffer : integer range 0 to 16384 := 0;
confspace : integer range 0 to 1 := 1;
vendorid : integer := 16#0000#;
deviceid : integer := 16#0000#;
classcode : integer := 16#000000#;
revisionid : integer := 16#00#;
cap_pointer : integer := 16#40#;
ext_cap_pointer : integer := 16#00#;
iobase : integer := 16#FFF#;
extcfg : integer := 16#0000000#;
bar0 : integer range 0 to 31 := 28;
bar1 : integer range 0 to 31 := 0;
bar2 : integer range 0 to 31 := 0;
bar3 : integer range 0 to 31 := 0;
bar4 : integer range 0 to 31 := 0;
bar5 : integer range 0 to 31 := 0;
bar0_map : integer := 16#000000#;
bar1_map : integer := 16#000000#;
bar2_map : integer := 16#000000#;
bar3_map : integer := 16#000000#;
bar4_map : integer := 16#000000#;
bar5_map : integer := 16#000000#;
bartype : integer range 0 to 65535 := 16#0000#;
barminsize : integer range 5 to 31 := 12;
fifo_depth : integer range 3 to 7 := 3;
fifo_count : integer range 2 to 4 := 2;
conv_endian : integer range 0 to 1 := 0; -- 1: little (PCI) <~> big (AHB), 0: big (PCI) <=> big (AHB)
deviceirq : integer range 0 to 1 := 1;
deviceirqmask : integer range 0 to 15 := 16#0#;
hostirq : integer range 0 to 1 := 1;
hostirqmask : integer range 0 to 15 := 16#0#;
nsync : integer range 0 to 2 := 2;
hostrst : integer range 0 to 2 := 0;-- 0: PCI reset is never driven, 1: PCI reset is driven from AHB reset if host, 2: PCI reset is always driven from AHB reset
bypass : integer range 0 to 1 := 1;
ft : integer range 0 to 1 := 0;
scantest : integer range 0 to 1 := 0;
debug : integer range 0 to 1 := 0;
tbapben : integer range 0 to 1 := 0;
tbpindex : integer := 0;
tbpaddr : integer := 0;
tbpmask : integer := 16#F00#;
netlist : integer range 0 to 1 := 0;
multifunc : integer range 0 to 1 := 0; -- Enables Multi-function support
multiint : integer range 0 to 1 := 0;
masters : integer := 16#FFFF#;
mf1_deviceid : integer := 16#0000#;
mf1_classcode : integer := 16#000000#;
mf1_revisionid : integer := 16#00#;
mf1_bar0 : integer range 0 to 31 := 0;
mf1_bar1 : integer range 0 to 31 := 0;
mf1_bar2 : integer range 0 to 31 := 0;
mf1_bar3 : integer range 0 to 31 := 0;
mf1_bar4 : integer range 0 to 31 := 0;
mf1_bar5 : integer range 0 to 31 := 0;
mf1_bartype : integer range 0 to 65535 := 16#0000#;
mf1_bar0_map : integer := 16#000000#;
mf1_bar1_map : integer := 16#000000#;
mf1_bar2_map : integer := 16#000000#;
mf1_bar3_map : integer := 16#000000#;
mf1_bar4_map : integer := 16#000000#;
mf1_bar5_map : integer := 16#000000#;
mf1_cap_pointer : integer := 16#40#;
mf1_ext_cap_pointer : integer := 16#00#;
mf1_extcfg : integer := 16#0000000#;
mf1_masters : integer := 16#0000#);
port(
rst : in std_logic;
clk : in std_logic;
pciclk : in std_logic;
dirq : in std_logic_vector(3 downto 0);
pcii : in pci_in_type;
pcio : out pci_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbdmo : out ahb_mst_out_type;
ptarst : out std_logic;
tbapbi : in apb_slv_in_type := apb_slv_in_none;
tbapbo : out apb_slv_out_type;
debugo : out std_logic_vector(debug*255 downto 0)
);
end component;
constant PCI_VENDOR_ESA : integer := 16#16E3#;
constant PCI_VENDOR_GAISLER : integer := 16#1AC8#;
constant PCI_VENDOR_AEROFLEX : integer := 16#1AD0#;
end;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Tue May 30 22:27:54 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/ZyboIP/examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_rgb565_to_rgb888_1_0/system_rgb565_to_rgb888_1_0_sim_netlist.vhdl
-- Design : system_rgb565_to_rgb888_1_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_rgb565_to_rgb888_1_0_rgb565_to_rgb888 is
port (
rgb_888 : out STD_LOGIC_VECTOR ( 15 downto 0 );
rgb_565 : in STD_LOGIC_VECTOR ( 15 downto 0 );
clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_rgb565_to_rgb888_1_0_rgb565_to_rgb888 : entity is "rgb565_to_rgb888";
end system_rgb565_to_rgb888_1_0_rgb565_to_rgb888;
architecture STRUCTURE of system_rgb565_to_rgb888_1_0_rgb565_to_rgb888 is
begin
\rgb_888_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(5),
Q => rgb_888(5),
R => '0'
);
\rgb_888_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(6),
Q => rgb_888(6),
R => '0'
);
\rgb_888_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(7),
Q => rgb_888(7),
R => '0'
);
\rgb_888_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(8),
Q => rgb_888(8),
R => '0'
);
\rgb_888_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(9),
Q => rgb_888(9),
R => '0'
);
\rgb_888_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(10),
Q => rgb_888(10),
R => '0'
);
\rgb_888_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(11),
Q => rgb_888(11),
R => '0'
);
\rgb_888_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(12),
Q => rgb_888(12),
R => '0'
);
\rgb_888_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(13),
Q => rgb_888(13),
R => '0'
);
\rgb_888_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(14),
Q => rgb_888(14),
R => '0'
);
\rgb_888_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(15),
Q => rgb_888(15),
R => '0'
);
\rgb_888_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(0),
Q => rgb_888(0),
R => '0'
);
\rgb_888_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(1),
Q => rgb_888(1),
R => '0'
);
\rgb_888_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(2),
Q => rgb_888(2),
R => '0'
);
\rgb_888_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(3),
Q => rgb_888(3),
R => '0'
);
\rgb_888_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => rgb_565(4),
Q => rgb_888(4),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_rgb565_to_rgb888_1_0 is
port (
clk : in STD_LOGIC;
rgb_565 : in STD_LOGIC_VECTOR ( 15 downto 0 );
rgb_888 : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_rgb565_to_rgb888_1_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_rgb565_to_rgb888_1_0 : entity is "system_rgb565_to_rgb888_1_0,rgb565_to_rgb888,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_rgb565_to_rgb888_1_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_rgb565_to_rgb888_1_0 : entity is "rgb565_to_rgb888,Vivado 2016.4";
end system_rgb565_to_rgb888_1_0;
architecture STRUCTURE of system_rgb565_to_rgb888_1_0 is
signal \<const0>\ : STD_LOGIC;
signal \^rgb_888\ : STD_LOGIC_VECTOR ( 20 downto 3 );
begin
rgb_888(23 downto 21) <= \^rgb_888\(18 downto 16);
rgb_888(20 downto 16) <= \^rgb_888\(20 downto 16);
rgb_888(15 downto 14) <= \^rgb_888\(9 downto 8);
rgb_888(13 downto 3) <= \^rgb_888\(13 downto 3);
rgb_888(2) <= \<const0>\;
rgb_888(1) <= \<const0>\;
rgb_888(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
U0: entity work.system_rgb565_to_rgb888_1_0_rgb565_to_rgb888
port map (
clk => clk,
rgb_565(15 downto 0) => rgb_565(15 downto 0),
rgb_888(15 downto 13) => \^rgb_888\(18 downto 16),
rgb_888(12 downto 11) => \^rgb_888\(20 downto 19),
rgb_888(10 downto 9) => \^rgb_888\(9 downto 8),
rgb_888(8 downto 5) => \^rgb_888\(13 downto 10),
rgb_888(4 downto 0) => \^rgb_888\(7 downto 3)
);
end STRUCTURE;
|
-- $Id: tb_tst_serloop1_n3.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2011-2016 by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: tb_tst_serloop1_n3 - sim
-- Description: Test bench for sys_tst_serloop1_n3
--
-- Dependencies: simlib/simclk
-- sys_tst_serloop1_n3 [UUT]
-- tb/tb_tst_serloop
--
-- To test: sys_tst_serloop1_n3
--
-- Target Devices: generic
--
-- Revision History:
-- Date Rev Version Comment
-- 2016-09-03 805 1.2 remove CLK_STOP logic (simstop via report)
-- 2011-12-23 444 1.1 use new simclk
-- 2011-12-11 438 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
use work.slvtypes.all;
use work.simlib.all;
entity tb_tst_serloop1_n3 is
end tb_tst_serloop1_n3;
architecture sim of tb_tst_serloop1_n3 is
signal CLK100 : slbit := '0';
signal I_RXD : slbit := '1';
signal O_TXD : slbit := '1';
signal I_SWI : slv8 := (others=>'0');
signal I_BTN : slv5 := (others=>'0');
signal O_FUSP_RTS_N : slbit := '0';
signal I_FUSP_CTS_N : slbit := '0';
signal I_FUSP_RXD : slbit := '1';
signal O_FUSP_TXD : slbit := '1';
signal RXD : slbit := '1';
signal TXD : slbit := '1';
signal SWI : slv8 := (others=>'0');
signal BTN : slv5 := (others=>'0');
signal FUSP_RTS_N : slbit := '0';
signal FUSP_CTS_N : slbit := '0';
signal FUSP_RXD : slbit := '1';
signal FUSP_TXD : slbit := '1';
constant clock_period : Delay_length := 10 ns;
constant clock_offset : Delay_length := 200 ns;
constant delay_time : Delay_length := 2 ns;
begin
SYSCLK : simclk
generic map (
PERIOD => clock_period,
OFFSET => clock_offset)
port map (
CLK => CLK100
);
UUT : entity work.sys_tst_serloop1_n3
port map (
I_CLK100 => CLK100,
I_RXD => I_RXD,
O_TXD => O_TXD,
I_SWI => I_SWI,
I_BTN => I_BTN,
O_LED => open,
O_ANO_N => open,
O_SEG_N => open,
O_MEM_CE_N => open,
O_MEM_BE_N => open,
O_MEM_WE_N => open,
O_MEM_OE_N => open,
O_MEM_ADV_N => open,
O_MEM_CLK => open,
O_MEM_CRE => open,
I_MEM_WAIT => '0',
O_MEM_ADDR => open,
IO_MEM_DATA => open,
O_PPCM_CE_N => open,
O_PPCM_RST_N => open,
O_FUSP_RTS_N => O_FUSP_RTS_N,
I_FUSP_CTS_N => I_FUSP_CTS_N,
I_FUSP_RXD => I_FUSP_RXD,
O_FUSP_TXD => O_FUSP_TXD
);
GENTB : entity work.tb_tst_serloop
port map (
CLKS => CLK100,
CLKH => CLK100,
P0_RXD => RXD,
P0_TXD => TXD,
P0_RTS_N => '0',
P0_CTS_N => open,
P1_RXD => FUSP_RXD,
P1_TXD => FUSP_TXD,
P1_RTS_N => FUSP_RTS_N,
P1_CTS_N => FUSP_CTS_N,
SWI => SWI,
BTN => BTN(3 downto 0)
);
I_RXD <= RXD after delay_time;
TXD <= O_TXD after delay_time;
FUSP_RTS_N <= O_FUSP_RTS_N after delay_time;
I_FUSP_CTS_N <= FUSP_CTS_N after delay_time;
I_FUSP_RXD <= FUSP_RXD after delay_time;
FUSP_TXD <= O_FUSP_TXD after delay_time;
I_SWI <= SWI after delay_time;
I_BTN <= BTN after delay_time;
end sim;
|
-----------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2013 Aeroflex Gaisler AB
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.config.all;
library techmap;
use techmap.gencomp.all;
entity pads is
generic (
padtech : integer := 0;
padlevel : integer := 0;
padvoltage : integer := 0;
padfilter : integer := 0;
padstrength : integer := 0;
padslew : integer := 0;
padclkarch : integer := 0;
padhf : integer := 0;
spw_input_type : integer := 0;
jtag_padfilter : integer := 0;
testen_padfilter : integer := 0;
resetn_padfilter : integer := 0;
clk_padfilter : integer := 0;
spw_padstrength : integer := 0;
jtag_padstrength : integer := 0;
uart_padstrength : integer := 0;
dsu_padstrength : integer := 0;
oepol : integer := 0
);
port (
----------------------------------------------------------------------------
--to chip boundary
----------------------------------------------------------------------------
resetn : in std_ulogic;
clksel : in std_logic_vector (1 downto 0);
clk : in std_ulogic;
lock : out std_ulogic;
errorn : inout std_ulogic;
address : out std_logic_vector(27 downto 0);
data : inout std_logic_vector(31 downto 0);
cb : inout std_logic_vector(7 downto 0);
sdclk : out std_ulogic;
sdcsn : out std_logic_vector (1 downto 0);
sdwen : out std_ulogic;
sdrasn : out std_ulogic;
sdcasn : out std_ulogic;
sddqm : out std_logic_vector (3 downto 0);
dsutx : out std_ulogic;
dsurx : in std_ulogic;
dsuen : in std_ulogic;
dsubre : in std_ulogic;
dsuact : out std_ulogic;
txd1 : out std_ulogic;
rxd1 : in std_ulogic;
txd2 : out std_ulogic;
rxd2 : in std_ulogic;
ramsn : out std_logic_vector (4 downto 0);
ramoen : out std_logic_vector (4 downto 0);
rwen : out std_logic_vector (3 downto 0);
oen : out std_ulogic;
writen : out std_ulogic;
read : out std_ulogic;
iosn : out std_ulogic;
romsn : out std_logic_vector (1 downto 0);
brdyn : in std_ulogic;
bexcn : in std_ulogic;
wdogn : inout std_ulogic;
gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
i2c_scl : inout std_ulogic;
i2c_sda : inout std_ulogic;
spi_miso : in std_ulogic;
spi_mosi : out std_ulogic;
spi_sck : out std_ulogic;
spi_slvsel : out std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0);
prom32 : in std_ulogic;
spw_clksel : in std_logic_vector (1 downto 0);
spw_clk : in std_ulogic;
spw_rxd : in std_logic_vector(0 to CFG_SPW_NUM-1);
spw_rxs : in std_logic_vector(0 to CFG_SPW_NUM-1);
spw_txd : out std_logic_vector(0 to CFG_SPW_NUM-1);
spw_txs : out std_logic_vector(0 to CFG_SPW_NUM-1);
gtx_clk : in std_ulogic;
erx_clk : in std_ulogic;
erxd : in std_logic_vector(7 downto 0);
erx_dv : in std_ulogic;
etx_clk : in std_ulogic;
etxd : out std_logic_vector(7 downto 0);
etx_en : out std_ulogic;
etx_er : out std_ulogic;
erx_er : in std_ulogic;
erx_col : in std_ulogic;
erx_crs : in std_ulogic;
emdint : in std_ulogic;
emdio : inout std_logic;
emdc : out std_ulogic;
testen : in std_ulogic;
trst : in std_ulogic;
tck : in std_ulogic;
tms : in std_ulogic;
tdi : in std_ulogic;
tdo : out std_ulogic;
---------------------------------------------------------------------------
--to core
---------------------------------------------------------------------------
lresetn : out std_ulogic;
lclksel : out std_logic_vector (1 downto 0);
lclk : out std_ulogic;
llock : in std_ulogic;
lerrorn : in std_ulogic;
laddress : in std_logic_vector(27 downto 0);
ldatain : out std_logic_vector(31 downto 0);
ldataout : in std_logic_vector(31 downto 0);
ldataen : in std_logic_vector(31 downto 0);
lcbin : out std_logic_vector(7 downto 0);
lcbout : in std_logic_vector(7 downto 0);
lcben : in std_logic_vector(7 downto 0);
lsdclk : in std_ulogic;
lsdcsn : in std_logic_vector (1 downto 0);
lsdwen : in std_ulogic;
lsdrasn : in std_ulogic;
lsdcasn : in std_ulogic;
lsddqm : in std_logic_vector (3 downto 0);
ldsutx : in std_ulogic;
ldsurx : out std_ulogic;
ldsuen : out std_ulogic;
ldsubre : out std_ulogic;
ldsuact : in std_ulogic;
ltxd1 : in std_ulogic;
lrxd1 : out std_ulogic;
ltxd2 : in std_ulogic;
lrxd2 : out std_ulogic;
lramsn : in std_logic_vector (4 downto 0);
lramoen : in std_logic_vector (4 downto 0);
lrwen : in std_logic_vector (3 downto 0);
loen : in std_ulogic;
lwriten : in std_ulogic;
lread : in std_ulogic;
liosn : in std_ulogic;
lromsn : in std_logic_vector (1 downto 0);
lbrdyn : out std_ulogic;
lbexcn : out std_ulogic;
lwdogn : in std_ulogic;
lgpioin : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
lgpioout : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
lgpioen : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
li2c_sclout : in std_ulogic;
li2c_sclen : in std_ulogic;
li2c_sclin : out std_ulogic;
li2c_sdaout : in std_ulogic;
li2c_sdaen : in std_ulogic;
li2c_sdain : out std_ulogic;
lspi_miso : out std_ulogic;
lspi_mosi : in std_ulogic;
lspi_sck : in std_ulogic;
lspi_slvsel : in std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0);
lprom32 : out std_ulogic;
lspw_clksel : out std_logic_vector (1 downto 0);
lspw_clk : out std_ulogic;
lspw_rxd : out std_logic_vector(0 to CFG_SPW_NUM-1);
lspw_rxs : out std_logic_vector(0 to CFG_SPW_NUM-1);
lspw_txd : in std_logic_vector(0 to CFG_SPW_NUM-1);
lspw_txs : in std_logic_vector(0 to CFG_SPW_NUM-1);
lgtx_clk : out std_ulogic;
lerx_clk : out std_ulogic;
lerxd : out std_logic_vector(7 downto 0);
lerx_dv : out std_ulogic;
letx_clk : out std_ulogic;
letxd : in std_logic_vector(7 downto 0);
letx_en : in std_ulogic;
letx_er : in std_ulogic;
lerx_er : out std_ulogic;
lerx_col : out std_ulogic;
lerx_crs : out std_ulogic;
lemdint : out std_ulogic;
lemdioin : out std_logic;
lemdioout : in std_logic;
lemdioen : in std_logic;
lemdc : in std_ulogic;
ltesten : out std_ulogic;
ltrst : out std_ulogic;
ltck : out std_ulogic;
ltms : out std_ulogic;
ltdi : out std_ulogic;
ltdo : in std_ulogic;
ltdoen : in std_ulogic
);
end;
architecture rtl of pads is
signal vcc,gnd : std_logic;
begin
vcc <= '1';
gnd <= '0';
------------------------------------------------------------------------------
-- Clocking and clock pads
------------------------------------------------------------------------------
reset_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => resetn_padfilter,
strength => padstrength)
port map (
pad => resetn,
o => lresetn);
clk_pad : clkpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
arch => padclkarch,
hf => padhf,
filter => clk_padfilter)
port map (
pad => clk,
o => lclk);
clksel_pad : inpadv
generic map(
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength,
width => 2)
port map(
pad => clksel,
o => lclksel);
spwclk_pad : clkpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
arch => padclkarch,
hf => padhf,
filter => clk_padfilter)
port map (
pad => spw_clk,
o => lspw_clk);
spwclksel_pad : inpadv
generic map(
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength,
width => 2)
port map(
pad => spw_clksel,
o => lspw_clksel);
------------------------------------------------------------------------------
-- Test / Misc pads
------------------------------------------------------------------------------
wdogn_pad : toutpad
generic map (
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => padstrength,
oepol => oepol)
port map(
pad => wdogn,
en => lwdogn,
i => gnd);
testen_pad : inpad
generic map(
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => testen_padfilter,
strength => padstrength)
port map(
pad => testen,
o => ltesten);
lockpad : outpad
generic map (
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => padstrength)
port map (
pad => lock,
i => llock);
errorn_pad : toutpad
generic map (
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => padstrength,
oepol => oepol)
port map(
pad => errorn,
en => lerrorn,
i => gnd);
------------------------------------------------------------------------------
-- JTAG pads
------------------------------------------------------------------------------
trst_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => jtag_padfilter)
port map (
pad => trst,
o => ltrst);
tck_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => jtag_padfilter)
port map (
pad => tck,
o => ltck);
tms_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => jtag_padfilter)
port map (
pad => tms,
o => ltms);
tdi_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => jtag_padfilter)
port map (
pad => tdi,
o => ltdi);
tdo_pad : outpad
generic map (
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => jtag_padstrength)
port map (
pad => tdo,
i => ltdo);
------------------------------------------------------------------------------
-- DSU pads
------------------------------------------------------------------------------
dsuen_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter)
port map (
pad => dsuen,
o => ldsuen);
dsubre_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter)
port map (
pad => dsubre,
o => ldsubre);
dsuact_pad : outpad
generic map (
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => dsu_padstrength)
port map (
pad => dsuact,
i => ldsuact);
dsurx_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter)
port map (
pad => dsurx,
o => ldsurx);
dsutx_pad : outpad
generic map (
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => dsu_padstrength)
port map (
pad => dsutx,
i => ldsutx);
------------------------------------------------------------------------------
-- UART pads
------------------------------------------------------------------------------
rxd1_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength)
port map (
pad => rxd1,
o => lrxd1);
txd1_pad : outpad
generic map (
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => uart_padstrength)
port map (
pad => txd1,
i => ltxd1);
rxd2_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength)
port map (
pad => rxd2,
o => lrxd2);
txd2_pad : outpad
generic map (
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => uart_padstrength)
port map (
pad => txd2,
i => ltxd2);
------------------------------------------------------------------------------
-- SPI pads
------------------------------------------------------------------------------
miso_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength)
port map(
pad => spi_miso,
o => lspi_miso);
mosi_pad : outpad
generic map (
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => padstrength)
port map(
pad => spi_mosi,
i => lspi_mosi);
sck_pad : outpad
generic map (
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => padstrength)
port map(
pad => spi_sck,
i => lspi_sck);
slvsel_pad : outpadv
generic map (
width => CFG_SPICTRL_SLVS,
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => padstrength)
port map (
pad => spi_slvsel,
i => lspi_slvsel);
------------------------------------------------------------------------------
-- I2C pads
------------------------------------------------------------------------------
scl_pad : iopad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
oepol => oepol,
strength => padstrength)
port map (
pad => i2c_scl,
i => li2c_sclout,
en => li2c_sclen,
o => li2c_sclin);
sda_pad : iopad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
oepol => oepol,
strength => padstrength)
port map (
pad => i2c_sda,
i => li2c_sdaout,
en => li2c_sdaen,
o => li2c_sdain);
------------------------------------------------------------------------------
-- Memory Interface pads
------------------------------------------------------------------------------
addr_pad : outpadv generic map (width => 28, tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (address, laddress);
data_pad : iopadvv generic map (width => 32, tech => padtech, level => padlevel, voltage => padvoltage, oepol => oepol, strength => padstrength) port map (pad => data, i => ldataout, en => ldataen, o => ldatain);
rams_pad : outpadv generic map (width => 5, tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (ramsn, lramsn);
roms_pad : outpadv generic map (width => 2, tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (romsn, lromsn);
ramoen_pad : outpadv generic map (width => 5, tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (ramoen, lramoen);
rwen_pad : outpadv generic map (width => 4, tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (rwen, lrwen);
oen_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (oen, loen);
wri_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (writen, lwriten);
read_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (read, lread);
iosn_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (iosn, liosn);
cb_pad : iopadvv generic map (width => 8, tech => padtech, level => padlevel, voltage => padvoltage, oepol => oepol, strength => padstrength) port map (pad => cb, i => lcbout, en => lcben, o => lcbin);
sdpads : if CFG_MCTRL_SDEN = 1 generate
sdclk_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (sdclk, lsdclk);
sdwen_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (sdwen, lsdwen);
sdras_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (sdrasn, lsdrasn);
sdcas_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (sdcasn, lsdcasn);
sddqm_pad : outpadv generic map (width => 4, tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (sddqm, lsddqm);
sdcsn_pad : outpadv generic map (width => 2, tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength) port map (sdcsn, lsdcsn);
end generate;
brdyn_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => pullup)
port map (
pad => brdyn,
o => lbrdyn);
bexcn_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => pullup)
port map (
pad => bexcn,
o => lbexcn);
prom32_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => pullup)
port map (
pad => prom32,
o => lprom32);
------------------------------------------------------------------------------
-- GPIO pads
------------------------------------------------------------------------------
gpio_pads : iopadvv
generic map (
width => CFG_GRGPIO_WIDTH,
tech => padtech,
level => padlevel,
voltage => padvoltage,
oepol => oepol,
strength => padstrength)
port map (
pad => gpio,
i => lgpioout,
en => lgpioen,
o => lgpioin);
------------------------------------------------------------------------------
-- SpW pads
------------------------------------------------------------------------------
spwpads0 : if CFG_SPW_EN > 0 generate
spwlvttl_pads : entity work.spw_lvttl_pads
generic map(
padtech => padtech,
strength => spw_padstrength,
input_type => spw_input_type,
voltage => padvoltage,
level => padlevel)
port map(
spw_rxd => spw_rxd,
spw_rxs => spw_rxs,
spw_txd => spw_txd,
spw_txs => spw_txs,
lspw_rxd => lspw_rxd,
lspw_rxs => lspw_rxs,
lspw_txd => lspw_txd,
lspw_txs => lspw_txs);
end generate;
nospwpads0 : if CFG_SPW_EN = 0 generate
spw_txd <= (others => '0');
spw_txs <= (others => '0');
lspw_rxd <= (others => '0');
lspw_rxs <= (others => '0');
end generate;
------------------------------------------------------------------------------
-- ETHERNET
------------------------------------------------------------------------------
greth1g: if CFG_GRETH1G = 1 generate
gtx_pad : clkpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
arch => padclkarch,
hf => padhf,
filter => clk_padfilter)
port map (
pad => gtx_clk,
o => lgtx_clk);
end generate;
nogreth1g: if CFG_GRETH1G = 0 generate
lgtx_clk <= '0';
end generate;
ethpads : if (CFG_GRETH = 1) generate
etxc_pad : clkpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
arch => padclkarch,
hf => padhf,
filter => clk_padfilter)
port map (etx_clk, letx_clk);
erxc_pad : clkpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
arch => padclkarch,
hf => padhf,
filter => clk_padfilter)
port map (erx_clk, lerx_clk);
erxd_pad : inpadv
generic map(
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength,
width => 8)
port map (erxd, lerxd);
erxdv_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength)
port map (erx_dv, lerx_dv);
erxer_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength)
port map (erx_er, lerx_er);
erxco_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength)
port map (erx_col, lerx_col);
erxcr_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength)
port map (erx_crs, lerx_crs);
etxd_pad : outpadv
generic map(
width => 8,
tech => padtech,
level => padlevel,
slew => padslew,
voltage => padvoltage,
strength => padstrength)
port map (etxd, letxd);
etxen_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength)
port map (etx_en, letx_en);
etxer_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength)
port map (etx_er, letx_er);
emdc_pad : outpad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength)
port map (emdc, lemdc);
emdio_pad : iopad generic map (tech => padtech, level => padlevel, slew => padslew, voltage => padvoltage, strength => padstrength)
port map (emdio, lemdioout, lemdioen, lemdioin);
emdint_pad : inpad
generic map (
tech => padtech,
level => padlevel,
voltage => padvoltage,
filter => padfilter,
strength => padstrength)
port map (emdint, lemdint);
end generate;
end;
|
-------------------------------------------------------------------------------
-- Address Decoder - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: address_decoder.vhd
-- Version: v1.01.a
-- Description: Address decoder utilizing unconstrained arrays for Base
-- Address specification and ce number.
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_lite_ipif.
--
-- --axi_lite_ipif.vhd
-- --slave_attachment.vhd
-- --address_decoder.vhd
-------------------------------------------------------------------------------
-- Author: BSB
--
-- History:
--
-- BSB 05/20/10 -- First version
-- ~~~~~~
-- - Created the first version v1.00.a
-- ^^^^^^
-- ~~~~~~
-- SK 08/09/2010 --
-- - updated the core with optimziation. Closed CR 574507
-- - combined the CE generation logic to further optimize the code.
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.system_xadc_wiz_0_0_proc_common_pkg.all;
use work.system_xadc_wiz_0_0_pselect_f;
use work.system_xadc_wiz_0_0_ipif_pkg.all;
use work.system_xadc_wiz_0_0_family_support.all;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_BUS_AWIDTH -- Address bus width
-- C_S_AXI_MIN_SIZE -- Minimum address range of the IP
-- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range
-- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range
-- C_FAMILY -- Target FPGA family
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- Bus_clk -- Clock
-- Bus_rst -- Reset
-- Address_In_Erly -- Adddress in
-- Address_Valid_Erly -- Address is valid
-- Bus_RNW -- Read or write registered
-- Bus_RNW_Erly -- Read or Write
-- CS_CE_ld_enable -- chip select and chip enable registered
-- Clear_CS_CE_Reg -- Clear_CS_CE_Reg clear
-- RW_CE_ld_enable -- Read or Write Chip Enable
-- CS_for_gaps -- CS generation for the gaps between address ranges
-- CS_Out -- Chip select
-- RdCE_Out -- Read Chip enable
-- WrCE_Out -- Write chip enable
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity system_xadc_wiz_0_0_address_decoder is
generic (
C_BUS_AWIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector(0 to 31) := X"000001FF";
C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE :=
(
X"0000_0000_1000_0000", -- IP user0 base address
X"0000_0000_1000_01FF", -- IP user0 high address
X"0000_0000_1000_0200", -- IP user1 base address
X"0000_0000_1000_02FF" -- IP user1 high address
);
C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
8, -- User0 CE Number
1 -- User1 CE Number
);
C_FAMILY : string := "virtex6"
);
port (
Bus_clk : in std_logic;
Bus_rst : in std_logic;
-- PLB Interface signals
Address_In_Erly : in std_logic_vector(0 to C_BUS_AWIDTH-1);
Address_Valid_Erly : in std_logic;
Bus_RNW : in std_logic;
Bus_RNW_Erly : in std_logic;
-- Registering control signals
CS_CE_ld_enable : in std_logic;
Clear_CS_CE_Reg : in std_logic;
RW_CE_ld_enable : in std_logic;
CS_for_gaps : out std_logic;
-- Decode output signals
CS_Out : out std_logic_vector
(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
RdCE_Out : out std_logic_vector
(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
WrCE_Out : out std_logic_vector
(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1)
);
end entity system_xadc_wiz_0_0_address_decoder;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of system_xadc_wiz_0_0_address_decoder is
-- local type declarations ----------------------------------------------------
type decode_bit_array_type is Array(natural range 0 to (
(C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1) of
integer;
type short_addr_array_type is Array(natural range 0 to
C_ARD_ADDR_RANGE_ARRAY'LENGTH-1) of
std_logic_vector(0 to C_BUS_AWIDTH-1);
-------------------------------------------------------------------------------
-- Function Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- This function converts a 64 bit address range array to a AWIDTH bit
-- address range array.
-------------------------------------------------------------------------------
function slv64_2_slv_awidth(slv64_addr_array : SLV64_ARRAY_TYPE;
awidth : integer)
return short_addr_array_type is
variable temp_addr : std_logic_vector(0 to 63);
variable slv_array : short_addr_array_type;
begin
for array_index in 0 to slv64_addr_array'length-1 loop
temp_addr := slv64_addr_array(array_index);
slv_array(array_index) := temp_addr((64-awidth) to 63);
end loop;
return(slv_array);
end function slv64_2_slv_awidth;
-------------------------------------------------------------------------------
--Function Addr_bits
--function to convert an address range (base address and an upper address)
--into the number of upper address bits needed for decoding a device
--select signal. will handle slices and big or little endian
-------------------------------------------------------------------------------
function Addr_Bits (x,y : std_logic_vector(0 to C_BUS_AWIDTH-1))
return integer is
variable addr_nor : std_logic_vector(0 to C_BUS_AWIDTH-1);
begin
addr_nor := x xor y;
for i in 0 to C_BUS_AWIDTH-1 loop
if addr_nor(i)='1' then
return i;
end if;
end loop;
--coverage off
return(C_BUS_AWIDTH);
--coverage on
end function Addr_Bits;
-------------------------------------------------------------------------------
--Function Get_Addr_Bits
--function calculates the array which has the decode bits for the each address
--range.
-------------------------------------------------------------------------------
function Get_Addr_Bits (baseaddrs : short_addr_array_type)
return decode_bit_array_type is
variable num_bits : decode_bit_array_type;
begin
for i in 0 to ((baseaddrs'length)/2)-1 loop
num_bits(i) := Addr_Bits (baseaddrs(i*2),
baseaddrs(i*2+1));
end loop;
return(num_bits);
end function Get_Addr_Bits;
-------------------------------------------------------------------------------
-- NEEDED_ADDR_BITS
--
-- Function Description:
-- This function calculates the number of address bits required
-- to support the CE generation logic. This is determined by
-- multiplying the number of CEs for an address space by the
-- data width of the address space (in bytes). Each address
-- space entry is processed and the biggest of the spaces is
-- used to set the number of address bits required to be latched
-- and used for CE decoding. A minimum value of 1 is returned by
-- this function.
--
-------------------------------------------------------------------------------
function needed_addr_bits (ce_array : INTEGER_ARRAY_TYPE)
return integer is
constant NUM_CE_ENTRIES : integer := CE_ARRAY'length;
variable biggest : integer := 2;
variable req_ce_addr_size : integer := 0;
variable num_addr_bits : integer := 0;
begin
for i in 0 to NUM_CE_ENTRIES-1 loop
req_ce_addr_size := ce_array(i) * 4;
if (req_ce_addr_size > biggest) Then
biggest := req_ce_addr_size;
end if;
end loop;
num_addr_bits := clog2(biggest);
return(num_addr_bits);
end function NEEDED_ADDR_BITS;
-----------------------------------------------------------------------------
-- Function calc_high_address
--
-- This function is used to calculate the high address of the each address
-- range
-----------------------------------------------------------------------------
function calc_high_address (high_address : short_addr_array_type;
index : integer) return std_logic_vector is
variable calc_high_addr : std_logic_vector(0 to C_BUS_AWIDTH-1);
begin
If (index = (C_ARD_ADDR_RANGE_ARRAY'length/2-1)) Then
calc_high_addr := C_S_AXI_MIN_SIZE(32-C_BUS_AWIDTH to 31);
else
calc_high_addr := high_address(index*2+2);
end if;
return(calc_high_addr);
end function calc_high_address;
----------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant ARD_ADDR_RANGE_ARRAY : short_addr_array_type :=
slv64_2_slv_awidth(C_ARD_ADDR_RANGE_ARRAY,
C_BUS_AWIDTH);
constant NUM_BASE_ADDRS : integer := (C_ARD_ADDR_RANGE_ARRAY'length)/2;
constant DECODE_BITS : decode_bit_array_type :=
Get_Addr_Bits(ARD_ADDR_RANGE_ARRAY);
constant NUM_CE_SIGNALS : integer :=
calc_num_ce(C_ARD_NUM_CE_ARRAY);
constant NUM_S_H_ADDR_BITS : integer :=
needed_addr_bits(C_ARD_NUM_CE_ARRAY);
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
signal pselect_hit_i : std_logic_vector
(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
signal cs_out_i : std_logic_vector
(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
signal ce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal rdce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal wrce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal ce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); --
signal cs_ce_clr : std_logic;
signal addr_out_s_h : std_logic_vector(0 to NUM_S_H_ADDR_BITS-1);
signal Bus_RNW_reg : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
-- Register clears
cs_ce_clr <= not Bus_rst or Clear_CS_CE_Reg;
addr_out_s_h <= Address_In_Erly(C_BUS_AWIDTH-NUM_S_H_ADDR_BITS
to C_BUS_AWIDTH-1);
-------------------------------------------------------------------------------
-- MEM_DECODE_GEN: Universal Address Decode Block
-------------------------------------------------------------------------------
MEM_DECODE_GEN: for bar_index in 0 to NUM_BASE_ADDRS-1 generate
---------------
constant CE_INDEX_START : integer
:= calc_start_ce_index(C_ARD_NUM_CE_ARRAY,bar_index);
constant CE_ADDR_SIZE : Integer range 0 to 15
:= clog2(C_ARD_NUM_CE_ARRAY(bar_index));
constant OFFSET : integer := 2;
constant BASE_ADDR_x : std_logic_vector(0 to C_BUS_AWIDTH-1)
:= ARD_ADDR_RANGE_ARRAY(bar_index*2+1);
constant HIGH_ADDR_X : std_logic_vector(0 to C_BUS_AWIDTH-1)
:= calc_high_address(ARD_ADDR_RANGE_ARRAY,bar_index);
--constant DECODE_BITS_0 : integer:= DECODE_BITS(0);
---------
begin
---------
-- GEN_FOR_MULTI_CS: Below logic generates the CS for decoded address
-- -----------------
GEN_FOR_MULTI_CS : if C_ARD_ADDR_RANGE_ARRAY'length > 2 generate
-- Instantiate the basic Base Address Decoders
MEM_SELECT_I: entity work.system_xadc_wiz_0_0_pselect_f
generic map
(
C_AB => DECODE_BITS(bar_index),
C_AW => C_BUS_AWIDTH,
C_BAR => ARD_ADDR_RANGE_ARRAY(bar_index*2),
C_FAMILY => C_FAMILY
)
port map
(
A => Address_In_Erly, -- [in]
AValid => Address_Valid_Erly, -- [in]
CS => pselect_hit_i(bar_index) -- [out]
);
end generate GEN_FOR_MULTI_CS;
-- GEN_FOR_ONE_CS: below logic decodes the CS for single address range
-- ---------------
GEN_FOR_ONE_CS : if C_ARD_ADDR_RANGE_ARRAY'length = 2 generate
pselect_hit_i(bar_index) <= Address_Valid_Erly;
end generate GEN_FOR_ONE_CS;
-- Instantate backend registers for the Chip Selects
BKEND_CS_REG : process(Bus_Clk)
begin
if(Bus_Clk'EVENT and Bus_Clk = '1')then
if(Bus_Rst='0' or Clear_CS_CE_Reg = '1')then
cs_out_i(bar_index) <= '0';
elsif(CS_CE_ld_enable='1')then
cs_out_i(bar_index) <= pselect_hit_i(bar_index);
end if;
end if;
end process BKEND_CS_REG;
-------------------------------------------------------------------------
-- PER_CE_GEN: Now expand the individual CEs for each base address.
-------------------------------------------------------------------------
PER_CE_GEN: for j in 0 to C_ARD_NUM_CE_ARRAY(bar_index) - 1 generate
-----------
begin
-----------
----------------------------------------------------------------------
-- CE decoders for multiple CE's
----------------------------------------------------------------------
MULTIPLE_CES_THIS_CS_GEN : if CE_ADDR_SIZE > 0 generate
constant BAR : std_logic_vector(0 to CE_ADDR_SIZE-1) :=
std_logic_vector(to_unsigned(j,CE_ADDR_SIZE));
begin
CE_I : entity work.system_xadc_wiz_0_0_pselect_f
generic map (
C_AB => CE_ADDR_SIZE ,
C_AW => CE_ADDR_SIZE ,
C_BAR => BAR ,
C_FAMILY => C_FAMILY
)
port map (
A => addr_out_s_h
(NUM_S_H_ADDR_BITS-OFFSET-CE_ADDR_SIZE
to NUM_S_H_ADDR_BITS - OFFSET - 1) ,
AValid => pselect_hit_i(bar_index) ,
CS => ce_expnd_i(CE_INDEX_START+j)
);
end generate MULTIPLE_CES_THIS_CS_GEN;
--------------------------------------
----------------------------------------------------------------------
-- SINGLE_CE_THIS_CS_GEN: CE decoders for single CE
----------------------------------------------------------------------
SINGLE_CE_THIS_CS_GEN : if CE_ADDR_SIZE = 0 generate
ce_expnd_i(CE_INDEX_START+j) <= pselect_hit_i(bar_index);
end generate;
-------------
end generate PER_CE_GEN;
------------------------
end generate MEM_DECODE_GEN;
-- RNW_REG_P: Register the incoming RNW signal at the time of registering the
-- address. This is need to generate the CE's separately.
RNW_REG_P:process(Bus_Clk)
begin
if(Bus_Clk'EVENT and Bus_Clk = '1')then
if(RW_CE_ld_enable='1')then
Bus_RNW_reg <= Bus_RNW_Erly;
end if;
end if;
end process RNW_REG_P;
---------------------------------------------------------------------------
-- GEN_BKEND_CE_REGISTERS
-- This ForGen implements the backend registering for
-- the CE, RdCE, and WrCE output buses.
---------------------------------------------------------------------------
GEN_BKEND_CE_REGISTERS : for ce_index in 0 to NUM_CE_SIGNALS-1 generate
signal rdce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal wrce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
------
begin
------
BKEND_RDCE_REG : process(Bus_Clk)
begin
if(Bus_Clk'EVENT and Bus_Clk = '1')then
if(cs_ce_clr='1')then
ce_out_i(ce_index) <= '0';
elsif(RW_CE_ld_enable='1')then
ce_out_i(ce_index) <= ce_expnd_i(ce_index);
end if;
end if;
end process BKEND_RDCE_REG;
rdce_out_i(ce_index) <= ce_out_i(ce_index) and Bus_RNW_reg;
wrce_out_i(ce_index) <= ce_out_i(ce_index) and not Bus_RNW_reg;
-------------------------------
end generate GEN_BKEND_CE_REGISTERS;
-------------------------------------------------------------------------------
CS_for_gaps <= '0'; -- Removed the GAP adecoder logic
---------------------------------
CS_Out <= cs_out_i ;
RdCE_Out <= rdce_out_i ;
WrCE_Out <= wrce_out_i ;
end architecture IMP;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12:21:19 10/20/2016
-- Design Name:
-- Module Name: RS232_TX - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity RS232_TX is
Port ( Reset : in STD_LOGIC;
Clk : in STD_LOGIC;
Start : in STD_LOGIC;
Data : in STD_LOGIC_VECTOR (7 downto 0);
EOT : out STD_LOGIC;
TX : out STD_LOGIC);
end RS232_TX;
architecture Behavioral of RS232_TX is
component Pulse_Width
port (
clk : in STD_LOGIC;
reset : in STD_LOGIC;
enable : in STD_LOGIC;
send : out STD_LOGIC);
end component;
component Data_Count
port (
clk : in STD_LOGIC;
reset : in STD_LOGIC;
enable : in STD_LOGIC;
count : out STD_LOGIC_VECTOR (3 downto 0));
end component;
type State is (Idle,
StartBit,
SendData,
StopBit);
signal PresentState, NextState : State;
signal send : STD_LOGIC;
signal count : STD_LOGIC_VECTOR(3 downto 0);
signal reset_data : STD_LOGIC;
signal reset_control : STD_LOGIC;
signal byte1 : STD_LOGIC_VECTOR(7 downto 0);
signal eleccion : STD_LOGIC;
begin
reset_control <= reset_data and Reset;
Pulse_Control: Pulse_Width
port map (
clk => Clk,
reset => Reset,
enable => '1',
send => send);
Data_Control: Data_Count
port map (
clk => Clk,
reset => reset_control,
enable => send,
count => count);
FFs : process(Clk, Reset)
begin
if Reset ='0' then
PresentState <= Idle;
eleccion <= '0';
byte1 <= (others => '0');
elsif Clk'event and Clk = '1' then
if PresentState = Idle then
byte1 <= data;
elsif PresentState = SendData and count = "1000" then
eleccion <= not eleccion;
end if;
PresentState <= NextState;
end if;
end process;
Siguiente : process(PresentState, Start, send, count, eleccion, data)
begin
case PresentState is
when Idle =>
if Start = '1' or eleccion = '1' then
NextState <= StartBit;
else
NextState <= Idle;
end if;
when StartBit =>
if send ='1' then
NextState <= SendData;
else
NextState <= StartBit;
end if;
when SendData =>
if count = "1000" then
NextState <= StopBit;
else
NextState <= SendData;
end if;
when StopBit =>
if send ='1' then
NextState <= Idle;
else
NextState <= StopBit;
end if;
when others =>
NextState <= Idle;
end case;
end process;
Salidas : process(PresentState, count, data, byte1, eleccion)
begin
case PresentState is
when Idle =>
EOT <= '1';
TX <= '1';
reset_data <= '0';
when StartBit =>
EOT <= '0';
TX <= '0';
reset_data <= '0';
when SendData =>
EOT <= '0';
reset_data <= '1';
if eleccion = '0' then
case count is
when "0000" => TX <= byte1(0);
when "0001" => TX <= byte1(1);
when "0010" => TX <= byte1(2);
when "0011" => TX <= byte1(3);
when "0100" => TX <= byte1(4);
when "0101" => TX <= byte1(5);
when "0110" => TX <= byte1(6);
when "0111" => TX <= byte1(7);
when others => TX <= '1';
end case;
elsif eleccion = '1' then
case count is
when "0000" => TX <= data(0);
when "0001" => TX <= data(1);
when "0010" => TX <= data(2);
when "0011" => TX <= data(3);
when "0100" => TX <= data(4);
when "0101" => TX <= data(5);
when "0110" => TX <= data(6);
when "0111" => TX <= data(7);
when others => TX <= '1';
end case;
end if;
when StopBit =>
reset_data <= '0';
EOT <= '0';
TX <= '1';
when others =>
reset_data <= '0';
EOT <= '1';
TX <= '1';
end case;
end process;
end Behavioral;
|
-----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief Firmware ROM image with the AXI4 interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
use techmap.types_mem.all;
library commonlib;
use commonlib.types_common.all;
--! AMBA system bus specific library.
library ambalib;
--! AXI4 configuration constants.
use ambalib.types_amba4.all;
entity nasti_romimage is
generic (
memtech : integer := inferred;
xindex : integer := 0;
xaddr : integer := 0;
xmask : integer := 16#fffff#;
sim_hexfile : string
);
port (
clk : in std_logic;
nrst : in std_logic;
cfg : out nasti_slave_config_type;
i : in nasti_slave_in_type;
o : out nasti_slave_out_type
);
end;
architecture arch_nasti_romimage of nasti_romimage is
constant xconfig : nasti_slave_config_type := (
xindex => xindex,
xaddr => conv_std_logic_vector(xaddr, CFG_NASTI_CFG_ADDR_BITS),
xmask => conv_std_logic_vector(xmask, CFG_NASTI_CFG_ADDR_BITS),
vid => VENDOR_GNSSSENSOR,
did => GNSSSENSOR_FWIMAGE,
descrtype => PNP_CFG_TYPE_SLAVE,
descrsize => PNP_CFG_SLAVE_DESCR_BYTES
);
type registers is record
bank_axi : nasti_slave_bank_type;
end record;
signal r, rin : registers;
signal raddr_mux : global_addr_array_type;
signal rdata_mux : std_logic_vector(CFG_NASTI_DATA_BITS-1 downto 0);
begin
comblogic : process(i, r, rdata_mux)
variable v : registers;
variable rdata : std_logic_vector(CFG_NASTI_DATA_BITS-1 downto 0);
begin
v := r;
procedureAxi4(i, xconfig, r.bank_axi, v.bank_axi);
raddr_mux <= functionAddressReorder(v.bank_axi.raddr(0)(3 downto 2),
v.bank_axi.raddr);
rdata := functionDataRestoreOrder(r.bank_axi.raddr(0)(3 downto 2),
rdata_mux);
o <= functionAxi4Output(r.bank_axi, rdata);
rin <= v;
end process;
cfg <= xconfig;
tech0 : RomImage_tech generic map (
memtech => memtech,
sim_hexfile => sim_hexfile
) port map (
clk => clk,
address => raddr_mux,
data => rdata_mux
);
-- registers:
regs : process(clk, nrst)
begin
if nrst = '0' then
r.bank_axi <= NASTI_SLAVE_BANK_RESET;
elsif rising_edge(clk) then
r <= rin;
end if;
end process;
end;
|
-----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief Firmware ROM image with the AXI4 interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
use techmap.types_mem.all;
library commonlib;
use commonlib.types_common.all;
--! AMBA system bus specific library.
library ambalib;
--! AXI4 configuration constants.
use ambalib.types_amba4.all;
entity nasti_romimage is
generic (
memtech : integer := inferred;
xindex : integer := 0;
xaddr : integer := 0;
xmask : integer := 16#fffff#;
sim_hexfile : string
);
port (
clk : in std_logic;
nrst : in std_logic;
cfg : out nasti_slave_config_type;
i : in nasti_slave_in_type;
o : out nasti_slave_out_type
);
end;
architecture arch_nasti_romimage of nasti_romimage is
constant xconfig : nasti_slave_config_type := (
xindex => xindex,
xaddr => conv_std_logic_vector(xaddr, CFG_NASTI_CFG_ADDR_BITS),
xmask => conv_std_logic_vector(xmask, CFG_NASTI_CFG_ADDR_BITS),
vid => VENDOR_GNSSSENSOR,
did => GNSSSENSOR_FWIMAGE,
descrtype => PNP_CFG_TYPE_SLAVE,
descrsize => PNP_CFG_SLAVE_DESCR_BYTES
);
type registers is record
bank_axi : nasti_slave_bank_type;
end record;
signal r, rin : registers;
signal raddr_mux : global_addr_array_type;
signal rdata_mux : std_logic_vector(CFG_NASTI_DATA_BITS-1 downto 0);
begin
comblogic : process(i, r, rdata_mux)
variable v : registers;
variable rdata : std_logic_vector(CFG_NASTI_DATA_BITS-1 downto 0);
begin
v := r;
procedureAxi4(i, xconfig, r.bank_axi, v.bank_axi);
raddr_mux <= functionAddressReorder(v.bank_axi.raddr(0)(3 downto 2),
v.bank_axi.raddr);
rdata := functionDataRestoreOrder(r.bank_axi.raddr(0)(3 downto 2),
rdata_mux);
o <= functionAxi4Output(r.bank_axi, rdata);
rin <= v;
end process;
cfg <= xconfig;
tech0 : RomImage_tech generic map (
memtech => memtech,
sim_hexfile => sim_hexfile
) port map (
clk => clk,
address => raddr_mux,
data => rdata_mux
);
-- registers:
regs : process(clk, nrst)
begin
if nrst = '0' then
r.bank_axi <= NASTI_SLAVE_BANK_RESET;
elsif rising_edge(clk) then
r <= rin;
end if;
end process;
end;
|
use work.pkg_c.all;
entity test is
end entity;
architecture tb of test is
constant block_len : natural := 3;
begin
main: process
variable val: integer;
begin
report "HELLO" severity note;
for x in 0 to block_len-1 loop
val := get(x);
set(block_len+x, val+1);
end loop;
wait;
end process;
end architecture;
|
----------------------------------------------------------------------------------
--! Company: EDAQ WIS.
--! Engineer: juna
--!
--! Create Date: 05/19/2014
--! Module Name: EPROC_OUT4_ENC8b10b
--! Project Name: FELIX
----------------------------------------------------------------------------------
--! Use standard library
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use work.centralRouter_package.all;
--! 8b10b encoder for EPROC_OUT4 module
entity EPROC_OUT4_ENC8b10b is
port(
bitCLK : in std_logic;
bitCLKx2 : in std_logic;
bitCLKx4 : in std_logic;
rst : in std_logic;
getDataTrig : out std_logic;
edataIN : in std_logic_vector (9 downto 0);
edataINrdy : in std_logic;
EdataOUT : out std_logic_vector(3 downto 0) -- ready on every bitCLK
);
end EPROC_OUT4_ENC8b10b;
architecture Behavioral of EPROC_OUT4_ENC8b10b is
----------------------------------
----------------------------------
component pulse_pdxx_pwxx
generic(
pd : integer := 0;
pw : integer := 1);
port(
clk : in std_logic;
trigger : in std_logic;
pulseout : out std_logic
);
end component pulse_pdxx_pwxx;
----------------------------------
----------------------------------
component enc8b10_wrap
port (
clk : in std_logic;
rst : in std_logic;
dataCode : in std_logic_vector (1 downto 0); -- 00"data, 01"eop, 10"sop, 11"comma
dataIN : in std_logic_vector (7 downto 0);
dataINrdy : in std_logic;
encDataOut : out std_logic_vector (9 downto 0);
encDataOutrdy : out std_logic
);
end component enc8b10_wrap;
----------------------------------
----------------------------------
component MUX8_Nbit
generic (N : integer := 16);
Port (
data0 : in std_logic_vector((N-1) downto 0);
data1 : in std_logic_vector((N-1) downto 0);
data2 : in std_logic_vector((N-1) downto 0);
data3 : in std_logic_vector((N-1) downto 0);
data4 : in std_logic_vector((N-1) downto 0);
data5 : in std_logic_vector((N-1) downto 0);
data6 : in std_logic_vector((N-1) downto 0);
data7 : in std_logic_vector((N-1) downto 0);
sel : in std_logic_vector(2 downto 0);
data_out : out std_logic_vector((N-1) downto 0)
);
end component MUX8_Nbit;
----------------------------------
----------------------------------
constant zeros4bit : std_logic_vector (3 downto 0) := "0000";
signal enc10bit, enc10bit0, enc10bit1 : std_logic_vector (9 downto 0);
signal enc10bit_x2_r : std_logic_vector (19 downto 0) := (others=>'0');
signal request_cycle_cnt, send_count : std_logic_vector (2 downto 0) := (others=>'0');
signal send_out_trig, word_cnt : std_logic := '0';
signal inp_request_trig, inp_request_trig_out, enc10bitRdy : std_logic;
begin
-------------------------------------------------------------------------------------------
-- input handshaking, request cycle 5 CLKs, request is 2 clks wide, 2 bytes at a time
-------------------------------------------------------------------------------------------
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if rst = '1' then
request_cycle_cnt <= (others=>'0');
else
if inp_request_trig = '1' then -- meaning request_cycle_cnt = "100"
request_cycle_cnt <= (others=>'0');
else
request_cycle_cnt <= request_cycle_cnt + 1;
end if;
end if;
end if;
end process;
--
inp_request_trig <= '1' when (request_cycle_cnt = "100") else '0';
--
inp_reques1clk: pulse_pdxx_pwxx generic map(pd=>0,pw=>2) port map(bitCLKx4, inp_request_trig, inp_request_trig_out);
getDataTrig <= inp_request_trig_out;
--
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
send_out_trig <= inp_request_trig; -- slow clock output trigger
end if;
end process;
--
-------------------------------------------------------------------------------------------
-- 8b10b encoding
-------------------------------------------------------------------------------------------
enc8b10bx: enc8b10_wrap
port map (
clk => bitCLKx4,
rst => rst,
dataCode => edataIN(9 downto 8), -- 00"data, 01"eop, 10"sop, 11"comma
dataIN => edataIN(7 downto 0),
dataINrdy => edataINrdy, -- one? CLKx4 after inp_request_trig_out
encDataOut => enc10bit,
encDataOutrdy => enc10bitRdy
);
-------------------------------------------------------------------------------------------
-- sending out 4 bits @ bitCLK
-------------------------------------------------------------------------------------------
process(bitCLKx4)
begin
if bitCLKx4'event and bitCLKx4 = '1' then
if enc10bitRdy = '1' then
word_cnt <= not word_cnt;
else
word_cnt <= '0';
end if;
end if;
end process;
--
process(bitCLKx4)
begin
if bitCLKx4'event and bitCLKx4 = '1' then
if enc10bitRdy = '1' then
if word_cnt = '0' then
enc10bit0 <= enc10bit;
else
enc10bit1 <= enc10bit;
end if;
end if;
end if;
end process;
--
-------------------------------------------------------------------------------------------
-- slow clock logic
-------------------------------------------------------------------------------------------
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if send_out_trig = '1' then
send_count <= (others=>'0');
else
send_count <= send_count + 1;
end if;
end if;
end process;
--
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if rst = '1' then
enc10bit_x2_r <= (others=>'0');
elsif send_out_trig = '1' then
enc10bit_x2_r <= enc10bit1 & enc10bit0;
end if;
end if;
end process;
--
outmux: MUX8_Nbit
generic map (N=>4)
port map (
data0 => enc10bit_x2_r(3 downto 0),
data1 => enc10bit_x2_r(7 downto 4),
data2 => enc10bit_x2_r(11 downto 8),
data3 => enc10bit_x2_r(15 downto 12),
data4 => enc10bit_x2_r(19 downto 16),
data5 => zeros4bit,
data6 => zeros4bit,
data7 => zeros4bit,
sel => send_count,
data_out => EdataOUT
);
--
end Behavioral;
|
----------------------------------------------------------------------------------
--! Company: EDAQ WIS.
--! Engineer: juna
--!
--! Create Date: 05/19/2014
--! Module Name: EPROC_OUT4_ENC8b10b
--! Project Name: FELIX
----------------------------------------------------------------------------------
--! Use standard library
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use work.centralRouter_package.all;
--! 8b10b encoder for EPROC_OUT4 module
entity EPROC_OUT4_ENC8b10b is
port(
bitCLK : in std_logic;
bitCLKx2 : in std_logic;
bitCLKx4 : in std_logic;
rst : in std_logic;
getDataTrig : out std_logic;
edataIN : in std_logic_vector (9 downto 0);
edataINrdy : in std_logic;
EdataOUT : out std_logic_vector(3 downto 0) -- ready on every bitCLK
);
end EPROC_OUT4_ENC8b10b;
architecture Behavioral of EPROC_OUT4_ENC8b10b is
----------------------------------
----------------------------------
component pulse_pdxx_pwxx
generic(
pd : integer := 0;
pw : integer := 1);
port(
clk : in std_logic;
trigger : in std_logic;
pulseout : out std_logic
);
end component pulse_pdxx_pwxx;
----------------------------------
----------------------------------
component enc8b10_wrap
port (
clk : in std_logic;
rst : in std_logic;
dataCode : in std_logic_vector (1 downto 0); -- 00"data, 01"eop, 10"sop, 11"comma
dataIN : in std_logic_vector (7 downto 0);
dataINrdy : in std_logic;
encDataOut : out std_logic_vector (9 downto 0);
encDataOutrdy : out std_logic
);
end component enc8b10_wrap;
----------------------------------
----------------------------------
component MUX8_Nbit
generic (N : integer := 16);
Port (
data0 : in std_logic_vector((N-1) downto 0);
data1 : in std_logic_vector((N-1) downto 0);
data2 : in std_logic_vector((N-1) downto 0);
data3 : in std_logic_vector((N-1) downto 0);
data4 : in std_logic_vector((N-1) downto 0);
data5 : in std_logic_vector((N-1) downto 0);
data6 : in std_logic_vector((N-1) downto 0);
data7 : in std_logic_vector((N-1) downto 0);
sel : in std_logic_vector(2 downto 0);
data_out : out std_logic_vector((N-1) downto 0)
);
end component MUX8_Nbit;
----------------------------------
----------------------------------
constant zeros4bit : std_logic_vector (3 downto 0) := "0000";
signal enc10bit, enc10bit0, enc10bit1 : std_logic_vector (9 downto 0);
signal enc10bit_x2_r : std_logic_vector (19 downto 0) := (others=>'0');
signal request_cycle_cnt, send_count : std_logic_vector (2 downto 0) := (others=>'0');
signal send_out_trig, word_cnt : std_logic := '0';
signal inp_request_trig, inp_request_trig_out, enc10bitRdy : std_logic;
begin
-------------------------------------------------------------------------------------------
-- input handshaking, request cycle 5 CLKs, request is 2 clks wide, 2 bytes at a time
-------------------------------------------------------------------------------------------
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if rst = '1' then
request_cycle_cnt <= (others=>'0');
else
if inp_request_trig = '1' then -- meaning request_cycle_cnt = "100"
request_cycle_cnt <= (others=>'0');
else
request_cycle_cnt <= request_cycle_cnt + 1;
end if;
end if;
end if;
end process;
--
inp_request_trig <= '1' when (request_cycle_cnt = "100") else '0';
--
inp_reques1clk: pulse_pdxx_pwxx generic map(pd=>0,pw=>2) port map(bitCLKx4, inp_request_trig, inp_request_trig_out);
getDataTrig <= inp_request_trig_out;
--
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
send_out_trig <= inp_request_trig; -- slow clock output trigger
end if;
end process;
--
-------------------------------------------------------------------------------------------
-- 8b10b encoding
-------------------------------------------------------------------------------------------
enc8b10bx: enc8b10_wrap
port map (
clk => bitCLKx4,
rst => rst,
dataCode => edataIN(9 downto 8), -- 00"data, 01"eop, 10"sop, 11"comma
dataIN => edataIN(7 downto 0),
dataINrdy => edataINrdy, -- one? CLKx4 after inp_request_trig_out
encDataOut => enc10bit,
encDataOutrdy => enc10bitRdy
);
-------------------------------------------------------------------------------------------
-- sending out 4 bits @ bitCLK
-------------------------------------------------------------------------------------------
process(bitCLKx4)
begin
if bitCLKx4'event and bitCLKx4 = '1' then
if enc10bitRdy = '1' then
word_cnt <= not word_cnt;
else
word_cnt <= '0';
end if;
end if;
end process;
--
process(bitCLKx4)
begin
if bitCLKx4'event and bitCLKx4 = '1' then
if enc10bitRdy = '1' then
if word_cnt = '0' then
enc10bit0 <= enc10bit;
else
enc10bit1 <= enc10bit;
end if;
end if;
end if;
end process;
--
-------------------------------------------------------------------------------------------
-- slow clock logic
-------------------------------------------------------------------------------------------
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if send_out_trig = '1' then
send_count <= (others=>'0');
else
send_count <= send_count + 1;
end if;
end if;
end process;
--
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if rst = '1' then
enc10bit_x2_r <= (others=>'0');
elsif send_out_trig = '1' then
enc10bit_x2_r <= enc10bit1 & enc10bit0;
end if;
end if;
end process;
--
outmux: MUX8_Nbit
generic map (N=>4)
port map (
data0 => enc10bit_x2_r(3 downto 0),
data1 => enc10bit_x2_r(7 downto 4),
data2 => enc10bit_x2_r(11 downto 8),
data3 => enc10bit_x2_r(15 downto 12),
data4 => enc10bit_x2_r(19 downto 16),
data5 => zeros4bit,
data6 => zeros4bit,
data7 => zeros4bit,
sel => send_count,
data_out => EdataOUT
);
--
end Behavioral;
|
----------------------------------------------------------------------------------
--! Company: EDAQ WIS.
--! Engineer: juna
--!
--! Create Date: 05/19/2014
--! Module Name: EPROC_OUT4_ENC8b10b
--! Project Name: FELIX
----------------------------------------------------------------------------------
--! Use standard library
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use work.centralRouter_package.all;
--! 8b10b encoder for EPROC_OUT4 module
entity EPROC_OUT4_ENC8b10b is
port(
bitCLK : in std_logic;
bitCLKx2 : in std_logic;
bitCLKx4 : in std_logic;
rst : in std_logic;
getDataTrig : out std_logic;
edataIN : in std_logic_vector (9 downto 0);
edataINrdy : in std_logic;
EdataOUT : out std_logic_vector(3 downto 0) -- ready on every bitCLK
);
end EPROC_OUT4_ENC8b10b;
architecture Behavioral of EPROC_OUT4_ENC8b10b is
----------------------------------
----------------------------------
component pulse_pdxx_pwxx
generic(
pd : integer := 0;
pw : integer := 1);
port(
clk : in std_logic;
trigger : in std_logic;
pulseout : out std_logic
);
end component pulse_pdxx_pwxx;
----------------------------------
----------------------------------
component enc8b10_wrap
port (
clk : in std_logic;
rst : in std_logic;
dataCode : in std_logic_vector (1 downto 0); -- 00"data, 01"eop, 10"sop, 11"comma
dataIN : in std_logic_vector (7 downto 0);
dataINrdy : in std_logic;
encDataOut : out std_logic_vector (9 downto 0);
encDataOutrdy : out std_logic
);
end component enc8b10_wrap;
----------------------------------
----------------------------------
component MUX8_Nbit
generic (N : integer := 16);
Port (
data0 : in std_logic_vector((N-1) downto 0);
data1 : in std_logic_vector((N-1) downto 0);
data2 : in std_logic_vector((N-1) downto 0);
data3 : in std_logic_vector((N-1) downto 0);
data4 : in std_logic_vector((N-1) downto 0);
data5 : in std_logic_vector((N-1) downto 0);
data6 : in std_logic_vector((N-1) downto 0);
data7 : in std_logic_vector((N-1) downto 0);
sel : in std_logic_vector(2 downto 0);
data_out : out std_logic_vector((N-1) downto 0)
);
end component MUX8_Nbit;
----------------------------------
----------------------------------
constant zeros4bit : std_logic_vector (3 downto 0) := "0000";
signal enc10bit, enc10bit0, enc10bit1 : std_logic_vector (9 downto 0);
signal enc10bit_x2_r : std_logic_vector (19 downto 0) := (others=>'0');
signal request_cycle_cnt, send_count : std_logic_vector (2 downto 0) := (others=>'0');
signal send_out_trig, word_cnt : std_logic := '0';
signal inp_request_trig, inp_request_trig_out, enc10bitRdy : std_logic;
begin
-------------------------------------------------------------------------------------------
-- input handshaking, request cycle 5 CLKs, request is 2 clks wide, 2 bytes at a time
-------------------------------------------------------------------------------------------
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if rst = '1' then
request_cycle_cnt <= (others=>'0');
else
if inp_request_trig = '1' then -- meaning request_cycle_cnt = "100"
request_cycle_cnt <= (others=>'0');
else
request_cycle_cnt <= request_cycle_cnt + 1;
end if;
end if;
end if;
end process;
--
inp_request_trig <= '1' when (request_cycle_cnt = "100") else '0';
--
inp_reques1clk: pulse_pdxx_pwxx generic map(pd=>0,pw=>2) port map(bitCLKx4, inp_request_trig, inp_request_trig_out);
getDataTrig <= inp_request_trig_out;
--
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
send_out_trig <= inp_request_trig; -- slow clock output trigger
end if;
end process;
--
-------------------------------------------------------------------------------------------
-- 8b10b encoding
-------------------------------------------------------------------------------------------
enc8b10bx: enc8b10_wrap
port map (
clk => bitCLKx4,
rst => rst,
dataCode => edataIN(9 downto 8), -- 00"data, 01"eop, 10"sop, 11"comma
dataIN => edataIN(7 downto 0),
dataINrdy => edataINrdy, -- one? CLKx4 after inp_request_trig_out
encDataOut => enc10bit,
encDataOutrdy => enc10bitRdy
);
-------------------------------------------------------------------------------------------
-- sending out 4 bits @ bitCLK
-------------------------------------------------------------------------------------------
process(bitCLKx4)
begin
if bitCLKx4'event and bitCLKx4 = '1' then
if enc10bitRdy = '1' then
word_cnt <= not word_cnt;
else
word_cnt <= '0';
end if;
end if;
end process;
--
process(bitCLKx4)
begin
if bitCLKx4'event and bitCLKx4 = '1' then
if enc10bitRdy = '1' then
if word_cnt = '0' then
enc10bit0 <= enc10bit;
else
enc10bit1 <= enc10bit;
end if;
end if;
end if;
end process;
--
-------------------------------------------------------------------------------------------
-- slow clock logic
-------------------------------------------------------------------------------------------
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if send_out_trig = '1' then
send_count <= (others=>'0');
else
send_count <= send_count + 1;
end if;
end if;
end process;
--
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if rst = '1' then
enc10bit_x2_r <= (others=>'0');
elsif send_out_trig = '1' then
enc10bit_x2_r <= enc10bit1 & enc10bit0;
end if;
end if;
end process;
--
outmux: MUX8_Nbit
generic map (N=>4)
port map (
data0 => enc10bit_x2_r(3 downto 0),
data1 => enc10bit_x2_r(7 downto 4),
data2 => enc10bit_x2_r(11 downto 8),
data3 => enc10bit_x2_r(15 downto 12),
data4 => enc10bit_x2_r(19 downto 16),
data5 => zeros4bit,
data6 => zeros4bit,
data7 => zeros4bit,
sel => send_count,
data_out => EdataOUT
);
--
end Behavioral;
|
----------------------------------------------------------------------------------
--! Company: EDAQ WIS.
--! Engineer: juna
--!
--! Create Date: 05/19/2014
--! Module Name: EPROC_OUT4_ENC8b10b
--! Project Name: FELIX
----------------------------------------------------------------------------------
--! Use standard library
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use work.centralRouter_package.all;
--! 8b10b encoder for EPROC_OUT4 module
entity EPROC_OUT4_ENC8b10b is
port(
bitCLK : in std_logic;
bitCLKx2 : in std_logic;
bitCLKx4 : in std_logic;
rst : in std_logic;
getDataTrig : out std_logic;
edataIN : in std_logic_vector (9 downto 0);
edataINrdy : in std_logic;
EdataOUT : out std_logic_vector(3 downto 0) -- ready on every bitCLK
);
end EPROC_OUT4_ENC8b10b;
architecture Behavioral of EPROC_OUT4_ENC8b10b is
----------------------------------
----------------------------------
component pulse_pdxx_pwxx
generic(
pd : integer := 0;
pw : integer := 1);
port(
clk : in std_logic;
trigger : in std_logic;
pulseout : out std_logic
);
end component pulse_pdxx_pwxx;
----------------------------------
----------------------------------
component enc8b10_wrap
port (
clk : in std_logic;
rst : in std_logic;
dataCode : in std_logic_vector (1 downto 0); -- 00"data, 01"eop, 10"sop, 11"comma
dataIN : in std_logic_vector (7 downto 0);
dataINrdy : in std_logic;
encDataOut : out std_logic_vector (9 downto 0);
encDataOutrdy : out std_logic
);
end component enc8b10_wrap;
----------------------------------
----------------------------------
component MUX8_Nbit
generic (N : integer := 16);
Port (
data0 : in std_logic_vector((N-1) downto 0);
data1 : in std_logic_vector((N-1) downto 0);
data2 : in std_logic_vector((N-1) downto 0);
data3 : in std_logic_vector((N-1) downto 0);
data4 : in std_logic_vector((N-1) downto 0);
data5 : in std_logic_vector((N-1) downto 0);
data6 : in std_logic_vector((N-1) downto 0);
data7 : in std_logic_vector((N-1) downto 0);
sel : in std_logic_vector(2 downto 0);
data_out : out std_logic_vector((N-1) downto 0)
);
end component MUX8_Nbit;
----------------------------------
----------------------------------
constant zeros4bit : std_logic_vector (3 downto 0) := "0000";
signal enc10bit, enc10bit0, enc10bit1 : std_logic_vector (9 downto 0);
signal enc10bit_x2_r : std_logic_vector (19 downto 0) := (others=>'0');
signal request_cycle_cnt, send_count : std_logic_vector (2 downto 0) := (others=>'0');
signal send_out_trig, word_cnt : std_logic := '0';
signal inp_request_trig, inp_request_trig_out, enc10bitRdy : std_logic;
begin
-------------------------------------------------------------------------------------------
-- input handshaking, request cycle 5 CLKs, request is 2 clks wide, 2 bytes at a time
-------------------------------------------------------------------------------------------
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if rst = '1' then
request_cycle_cnt <= (others=>'0');
else
if inp_request_trig = '1' then -- meaning request_cycle_cnt = "100"
request_cycle_cnt <= (others=>'0');
else
request_cycle_cnt <= request_cycle_cnt + 1;
end if;
end if;
end if;
end process;
--
inp_request_trig <= '1' when (request_cycle_cnt = "100") else '0';
--
inp_reques1clk: pulse_pdxx_pwxx generic map(pd=>0,pw=>2) port map(bitCLKx4, inp_request_trig, inp_request_trig_out);
getDataTrig <= inp_request_trig_out;
--
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
send_out_trig <= inp_request_trig; -- slow clock output trigger
end if;
end process;
--
-------------------------------------------------------------------------------------------
-- 8b10b encoding
-------------------------------------------------------------------------------------------
enc8b10bx: enc8b10_wrap
port map (
clk => bitCLKx4,
rst => rst,
dataCode => edataIN(9 downto 8), -- 00"data, 01"eop, 10"sop, 11"comma
dataIN => edataIN(7 downto 0),
dataINrdy => edataINrdy, -- one? CLKx4 after inp_request_trig_out
encDataOut => enc10bit,
encDataOutrdy => enc10bitRdy
);
-------------------------------------------------------------------------------------------
-- sending out 4 bits @ bitCLK
-------------------------------------------------------------------------------------------
process(bitCLKx4)
begin
if bitCLKx4'event and bitCLKx4 = '1' then
if enc10bitRdy = '1' then
word_cnt <= not word_cnt;
else
word_cnt <= '0';
end if;
end if;
end process;
--
process(bitCLKx4)
begin
if bitCLKx4'event and bitCLKx4 = '1' then
if enc10bitRdy = '1' then
if word_cnt = '0' then
enc10bit0 <= enc10bit;
else
enc10bit1 <= enc10bit;
end if;
end if;
end if;
end process;
--
-------------------------------------------------------------------------------------------
-- slow clock logic
-------------------------------------------------------------------------------------------
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if send_out_trig = '1' then
send_count <= (others=>'0');
else
send_count <= send_count + 1;
end if;
end if;
end process;
--
process(bitCLK)
begin
if bitCLK'event and bitCLK = '1' then
if rst = '1' then
enc10bit_x2_r <= (others=>'0');
elsif send_out_trig = '1' then
enc10bit_x2_r <= enc10bit1 & enc10bit0;
end if;
end if;
end process;
--
outmux: MUX8_Nbit
generic map (N=>4)
port map (
data0 => enc10bit_x2_r(3 downto 0),
data1 => enc10bit_x2_r(7 downto 4),
data2 => enc10bit_x2_r(11 downto 8),
data3 => enc10bit_x2_r(15 downto 12),
data4 => enc10bit_x2_r(19 downto 16),
data5 => zeros4bit,
data6 => zeros4bit,
data7 => zeros4bit,
sel => send_count,
data_out => EdataOUT
);
--
end Behavioral;
|
architecture RTL of FIFO is
signal sig1_s : std_logic;
signal sig2_s : std_logic;
-- Violations below
signal sig1 : std_logic;
signal sig2 : std_logic;
begin
end architecture RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
Library UNISIM;
use UNISIM.vcomponents.all;
entity memtest is
port(
FXCLK : in std_logic;
RESET_IN : in std_logic;
IFCLK : in std_logic;
PC0 : in std_logic;
-- FX2 FIFO
FD : out std_logic_vector(15 downto 0);
SLOE : out std_logic;
SLRD : out std_logic;
SLWR : out std_logic;
FIFOADR0 : out std_logic;
FIFOADR1 : out std_logic;
PKTEND : out std_logic;
FLAGB : in std_logic;
-- DDR-SDRAM
mcb3_dram_dq : inout std_logic_vector(15 downto 0);
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_a : out std_logic_vector(12 downto 0);
mcb3_dram_ba : out std_logic_vector(2 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
-- mcb3_dram_odt : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic
);
end memtest;
architecture RTL of memtest is
component mem0
generic (
C3_P0_MASK_SIZE : integer := 4;
C3_P0_DATA_PORT_SIZE : integer := 32;
C3_P1_MASK_SIZE : integer := 4;
C3_P1_DATA_PORT_SIZE : integer := 32;
C3_MEMCLK_PERIOD : integer := 2500;
C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED";
C3_RST_ACT_LOW : integer := 0;
C3_CALIB_SOFT_IP : string := "FALSE";
C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
C3_NUM_DQ_PINS : integer := 16;
C3_MEM_ADDR_WIDTH : integer := 13;
C3_MEM_BANKADDR_WIDTH : integer := 3
);
port (
mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
-- mcb3_dram_odt : out std_logic;
c3_sys_clk : in std_logic;
c3_sys_rst_n : in std_logic;
c3_calib_done : out std_logic;
c3_clk0 : out std_logic;
c3_rst0 : out std_logic;
c3_p0_cmd_clk : in std_logic;
c3_p0_cmd_en : in std_logic;
c3_p0_cmd_instr : in std_logic_vector(2 downto 0);
c3_p0_cmd_bl : in std_logic_vector(5 downto 0);
c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p0_cmd_empty : out std_logic;
c3_p0_cmd_full : out std_logic;
c3_p0_wr_clk : in std_logic;
c3_p0_wr_en : in std_logic;
c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0);
c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_wr_full : out std_logic;
c3_p0_wr_empty : out std_logic;
c3_p0_wr_count : out std_logic_vector(6 downto 0);
c3_p0_wr_underrun : out std_logic;
c3_p0_wr_error : out std_logic;
c3_p0_rd_clk : in std_logic;
c3_p0_rd_en : in std_logic;
c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_rd_full : out std_logic;
c3_p0_rd_empty : out std_logic;
c3_p0_rd_count : out std_logic_vector(6 downto 0);
c3_p0_rd_overflow : out std_logic;
c3_p0_rd_error : out std_logic;
c3_p1_cmd_clk : in std_logic;
c3_p1_cmd_en : in std_logic;
c3_p1_cmd_instr : in std_logic_vector(2 downto 0);
c3_p1_cmd_bl : in std_logic_vector(5 downto 0);
c3_p1_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p1_cmd_empty : out std_logic;
c3_p1_cmd_full : out std_logic;
c3_p1_wr_clk : in std_logic;
c3_p1_wr_en : in std_logic;
c3_p1_wr_mask : in std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0);
c3_p1_wr_data : in std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_wr_full : out std_logic;
c3_p1_wr_empty : out std_logic;
c3_p1_wr_count : out std_logic_vector(6 downto 0);
c3_p1_wr_underrun : out std_logic;
c3_p1_wr_error : out std_logic;
c3_p1_rd_clk : in std_logic;
c3_p1_rd_en : in std_logic;
c3_p1_rd_data : out std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_rd_full : out std_logic;
c3_p1_rd_empty : out std_logic;
c3_p1_rd_count : out std_logic_vector(6 downto 0);
c3_p1_rd_overflow : out std_logic;
c3_p1_rd_error : out std_logic;
c3_p2_cmd_clk : in std_logic;
c3_p2_cmd_en : in std_logic;
c3_p2_cmd_instr : in std_logic_vector(2 downto 0);
c3_p2_cmd_bl : in std_logic_vector(5 downto 0);
c3_p2_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p2_cmd_empty : out std_logic;
c3_p2_cmd_full : out std_logic;
c3_p2_wr_clk : in std_logic;
c3_p2_wr_en : in std_logic;
c3_p2_wr_mask : in std_logic_vector(3 downto 0);
c3_p2_wr_data : in std_logic_vector(31 downto 0);
c3_p2_wr_full : out std_logic;
c3_p2_wr_empty : out std_logic;
c3_p2_wr_count : out std_logic_vector(6 downto 0);
c3_p2_wr_underrun : out std_logic;
c3_p2_wr_error : out std_logic;
c3_p3_cmd_clk : in std_logic;
c3_p3_cmd_en : in std_logic;
c3_p3_cmd_instr : in std_logic_vector(2 downto 0);
c3_p3_cmd_bl : in std_logic_vector(5 downto 0);
c3_p3_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p3_cmd_empty : out std_logic;
c3_p3_cmd_full : out std_logic;
c3_p3_rd_clk : in std_logic;
c3_p3_rd_en : in std_logic;
c3_p3_rd_data : out std_logic_vector(31 downto 0);
c3_p3_rd_full : out std_logic;
c3_p3_rd_empty : out std_logic;
c3_p3_rd_count : out std_logic_vector(6 downto 0);
c3_p3_rd_overflow : out std_logic;
c3_p3_rd_error : out std_logic;
c3_p4_cmd_clk : in std_logic;
c3_p4_cmd_en : in std_logic;
c3_p4_cmd_instr : in std_logic_vector(2 downto 0);
c3_p4_cmd_bl : in std_logic_vector(5 downto 0);
c3_p4_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p4_cmd_empty : out std_logic;
c3_p4_cmd_full : out std_logic;
c3_p4_wr_clk : in std_logic;
c3_p4_wr_en : in std_logic;
c3_p4_wr_mask : in std_logic_vector(3 downto 0);
c3_p4_wr_data : in std_logic_vector(31 downto 0);
c3_p4_wr_full : out std_logic;
c3_p4_wr_empty : out std_logic;
c3_p4_wr_count : out std_logic_vector(6 downto 0);
c3_p4_wr_underrun : out std_logic;
c3_p4_wr_error : out std_logic;
c3_p5_cmd_clk : in std_logic;
c3_p5_cmd_en : in std_logic;
c3_p5_cmd_instr : in std_logic_vector(2 downto 0);
c3_p5_cmd_bl : in std_logic_vector(5 downto 0);
c3_p5_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p5_cmd_empty : out std_logic;
c3_p5_cmd_full : out std_logic;
c3_p5_rd_clk : in std_logic;
c3_p5_rd_en : in std_logic;
c3_p5_rd_data : out std_logic_vector(31 downto 0);
c3_p5_rd_full : out std_logic;
c3_p5_rd_empty : out std_logic;
c3_p5_rd_count : out std_logic_vector(6 downto 0);
c3_p5_rd_overflow : out std_logic;
c3_p5_rd_error : out std_logic
);
end component;
--attribute optimize : string;
--attribute optimize of counters:entity is "off";
signal fxclk_buf : std_logic;
signal CLK : std_logic;
signal RESET0 : std_logic; -- released after dcm0 is ready
signal RESET : std_logic; -- released after MCB is ready
signal DCM0_LOCKED : std_logic;
--signal DCM0_CLK_VALID : std_logic;
----------------------------
-- test pattern generator --
----------------------------
signal GEN_CNT : std_logic_vector(29 downto 0);
signal GEN_PATTERN : std_logic_vector(29 downto 0);
signal FIFO_WORD : std_logic;
-----------------------
-- memory controller --
-----------------------
signal MEM_CLK : std_logic;
signal C3_CALIB_DONE : std_logic;
signal C3_RST0 : std_logic;
---------------
-- DRAM FIFO --
---------------
signal WR_CLK : std_logic;
signal WR_CMD_EN : std_logic_vector(2 downto 0);
type WR_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal WR_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal WR_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
signal WR_EN : std_logic_vector(2 downto 0);
signal WR_EN_TMP : std_logic_vector(2 downto 0);
signal WR_DATA : std_logic_vector(31 downto 0);
signal WR_EMPTY : std_logic_vector(2 downto 0);
signal WR_UNDERRUN : std_logic_vector(2 downto 0);
signal WR_ERROR : std_logic_vector(2 downto 0);
type WR_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal WR_COUNT : WR_COUNT_ARRAY;
signal WR_PORT : std_logic_vector(1 downto 0);
signal RD_CLK : std_logic;
signal RD_CMD_EN : std_logic_vector(2 downto 0);
type RD_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal RD_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal RD_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
signal RD_EN : std_logic_vector(2 downto 0);
type RD_DATA_ARRAY is array(2 downto 0) of std_logic_vector(31 downto 0);
signal RD_DATA : RD_DATA_ARRAY;
signal RD_EMPTY : std_logic_vector(2 downto 0);
signal RD_OVERFLOW : std_logic_vector(2 downto 0);
signal RD_ERROR : std_logic_vector(2 downto 0);
signal RD_PORT : std_logic_vector(1 downto 0);
type RD_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal RD_COUNT : RD_COUNT_ARRAY;
signal FD_TMP : std_logic_vector(15 downto 0);
signal RD_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing read
signal RD_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization
signal RD_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization
signal WR_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing written
signal WR_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization
signal WR_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization
signal RD_STOP : std_logic;
begin
clkin_buf : IBUFG
port map (
O => FXCLK_BUF,
I => FXCLK
);
dcm0 : DCM_CLKGEN
generic map (
-- CLKFX_DIVIDE => 6,
CLKFX_DIVIDE => 3,
-- CLKFX_MULTIPLY => 33,
CLKFX_MULTIPLY => 25,
CLKFXDV_DIVIDE => 8,
SPREAD_SPECTRUM => "NONE",
STARTUP_WAIT => FALSE,
CLKIN_PERIOD => 20.83333,
CLKFX_MD_MAX => 0.000
)
port map (
CLKIN => FXCLK_BUF,
CLKFX => MEM_CLK,
CLKFX180 => open,
CLKFXDV => CLK,
LOCKED => DCM0_LOCKED,
PROGDONE => open,
STATUS => open,
FREEZEDCM => '0',
PROGCLK => '0',
PROGDATA => '0',
PROGEN => '0',
RST => '0'
);
inst_mem0 : mem0 port map (
mcb3_dram_dq => mcb3_dram_dq,
mcb3_dram_a => mcb3_dram_a,
mcb3_dram_ba => mcb3_dram_ba,
mcb3_dram_ras_n => mcb3_dram_ras_n,
mcb3_dram_cas_n => mcb3_dram_cas_n,
mcb3_dram_we_n => mcb3_dram_we_n,
-- mcb3_dram_odt => mcb3_dram_odt,
mcb3_dram_cke => mcb3_dram_cke,
mcb3_dram_ck => mcb3_dram_ck,
mcb3_dram_ck_n => mcb3_dram_ck_n,
mcb3_dram_dqs => mcb3_dram_dqs,
mcb3_dram_dqs_n => mcb3_dram_dqs_n,
mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts
mcb3_dram_udqs_n=> mcb3_dram_udqs_n, -- for X16 parts
mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts
mcb3_dram_dm => mcb3_dram_dm,
mcb3_rzq => mcb3_rzq,
mcb3_zio => mcb3_zio,
c3_sys_clk => MEM_CLK,
c3_sys_rst_n => RESET0,
c3_clk0 => open,
c3_rst0 => C3_RST0,
c3_calib_done => C3_CALIB_DONE,
c3_p0_cmd_clk => WR_CLK,
c3_p0_cmd_en => WR_CMD_EN(0),
c3_p0_cmd_instr => "000",
c3_p0_cmd_bl => ( others => '1' ),
c3_p0_cmd_byte_addr => WR_CMD_ADDR(0),
c3_p0_cmd_empty => open,
c3_p0_cmd_full => open,
c3_p0_wr_clk => WR_CLK,
c3_p0_wr_en => WR_EN(0),
c3_p0_wr_mask => ( others => '0' ),
c3_p0_wr_data => WR_DATA,
c3_p0_wr_full => open,
c3_p0_wr_empty => WR_EMPTY(0),
c3_p0_wr_count => open,
c3_p0_wr_underrun => WR_UNDERRUN(0),
c3_p0_wr_error => WR_ERROR(0),
c3_p0_rd_clk => WR_CLK,
c3_p0_rd_en => '0',
c3_p0_rd_data => open,
c3_p0_rd_full => open,
c3_p0_rd_empty => open,
c3_p0_rd_count => open,
c3_p0_rd_overflow => open,
c3_p0_rd_error => open,
c3_p2_cmd_clk => WR_CLK,
c3_p2_cmd_en => WR_CMD_EN(1),
c3_p2_cmd_instr => "000",
c3_p2_cmd_bl => ( others => '1' ),
c3_p2_cmd_byte_addr => WR_CMD_ADDR(1),
c3_p2_cmd_empty => open,
c3_p2_cmd_full => open,
c3_p2_wr_clk => WR_CLK,
c3_p2_wr_en => WR_EN(1),
c3_p2_wr_mask => ( others => '0' ),
c3_p2_wr_data => WR_DATA,
c3_p2_wr_full => open,
c3_p2_wr_empty => WR_EMPTY(1),
c3_p2_wr_count => open,
c3_p2_wr_underrun => WR_UNDERRUN(1),
c3_p2_wr_error => WR_ERROR(1),
c3_p4_cmd_clk => WR_CLK,
c3_p4_cmd_en => WR_CMD_EN(2),
c3_p4_cmd_instr => "000",
c3_p4_cmd_bl => ( others => '1' ),
c3_p4_cmd_byte_addr => WR_CMD_ADDR(2),
c3_p4_cmd_empty => open,
c3_p4_cmd_full => open,
c3_p4_wr_clk => WR_CLK,
c3_p4_wr_en => WR_EN(2),
c3_p4_wr_mask => ( others => '0' ),
c3_p4_wr_data => WR_DATA,
c3_p4_wr_full => open,
c3_p4_wr_empty => WR_EMPTY(2),
c3_p4_wr_count => open,
c3_p4_wr_underrun => WR_UNDERRUN(2),
c3_p4_wr_error => WR_ERROR(2),
c3_p1_cmd_clk => RD_CLK,
c3_p1_cmd_en => RD_CMD_EN(0),
c3_p1_cmd_instr => "001",
c3_p1_cmd_bl => ( others => '1' ),
c3_p1_cmd_byte_addr => RD_CMD_ADDR(0),
c3_p1_cmd_empty => open,
c3_p1_cmd_full => open,
c3_p1_wr_clk => RD_CLK,
c3_p1_wr_en => '0',
c3_p1_wr_mask => ( others => '0' ),
c3_p1_wr_data => ( others => '0' ),
c3_p1_wr_full => open,
c3_p1_wr_empty => open,
c3_p1_wr_count => open,
c3_p1_wr_underrun => open,
c3_p1_wr_error => open,
c3_p1_rd_clk => RD_CLK,
c3_p1_rd_en => RD_EN(0),
c3_p1_rd_data => RD_DATA(0),
c3_p1_rd_full => open,
c3_p1_rd_empty => RD_EMPTY(0),
c3_p1_rd_count => open,
c3_p1_rd_overflow => RD_OVERFLOW(0),
c3_p1_rd_error => RD_ERROR(0),
c3_p3_cmd_clk => RD_CLK,
c3_p3_cmd_en => RD_CMD_EN(1),
c3_p3_cmd_instr => "001",
c3_p3_cmd_bl => ( others => '1' ),
c3_p3_cmd_byte_addr => RD_CMD_ADDR(1),
c3_p3_cmd_empty => open,
c3_p3_cmd_full => open,
c3_p3_rd_clk => RD_CLK,
c3_p3_rd_en => RD_EN(1),
c3_p3_rd_data => RD_DATA(1),
c3_p3_rd_full => open,
c3_p3_rd_empty => RD_EMPTY(1),
c3_p3_rd_count => open,
c3_p3_rd_overflow => RD_OVERFLOW(1),
c3_p3_rd_error => RD_ERROR(1),
c3_p5_cmd_clk => RD_CLK,
c3_p5_cmd_en => RD_CMD_EN(2),
c3_p5_cmd_instr => "001",
c3_p5_cmd_bl => ( others => '1' ),
c3_p5_cmd_byte_addr => RD_CMD_ADDR(2),
c3_p5_cmd_empty => open,
c3_p5_cmd_full => open,
c3_p5_rd_clk => RD_CLK,
c3_p5_rd_en => RD_EN(2),
c3_p5_rd_data => RD_DATA(2),
c3_p5_rd_full => open,
c3_p5_rd_empty => RD_EMPTY(2),
c3_p5_rd_count => open,
c3_p5_rd_overflow => RD_OVERFLOW(2),
c3_p5_rd_error => RD_ERROR(2)
);
SLOE <= '1';
SLRD <= '1';
FIFOADR0 <= '0';
FIFOADR1 <= '0';
PKTEND <= '1';
WR_CLK <= CLK;
RD_CLK <= IFCLK;
-- DCM0_CLK_VALID <= ( DCM0_LOCKED and ( not status_internal(2) ) );
-- RESET0 <= RESET_IN or (not DCM0_LOCKED) or (not DCM0_CLK_VALID);
RESET0 <= RESET_IN or (not DCM0_LOCKED);
RESET <= RESET0 or (not C3_CALIB_DONE) or C3_RST0;
dpCLK: process (CLK, RESET)
begin
-- reset
if RESET = '1'
then
GEN_CNT <= ( others => '0' );
GEN_PATTERN <= "100101010101010101010101010101";
WR_CMD_EN <= ( others => '0' );
WR_CMD_ADDR(0) <= ( others => '0' );
WR_CMD_ADDR(1) <= ( others => '0' );
WR_CMD_ADDR(2) <= ( others => '0' );
WR_ADDR <= conv_std_logic_vector(3,19);
WR_EN <= ( others => '0' );
WR_COUNT(0) <= ( others => '0' );
WR_COUNT(1) <= ( others => '0' );
WR_COUNT(2) <= ( others => '0' );
WR_PORT <= ( others => '0' );
WR_ADDR2 <= ( others => '0' );
RD_ADDR2_BAK1 <= ( others => '0' );
RD_ADDR2_BAK2 <= ( others => '0' );
-- CLK
elsif CLK'event and CLK = '1'
then
WR_CMD_EN <= ( others => '0' );
WR_EN <= ( others => '0' );
WR_CMD_ADDR(conv_integer(WR_PORT))(26 downto 8) <= WR_ADDR;
if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(64,7) )
then
-- FF flag = 1
if ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
then
WR_CMD_EN(conv_integer(WR_PORT)) <= '1';
WR_COUNT(conv_integer(WR_PORT)) <= ( others => '0' );
if WR_PORT = "10"
then
WR_PORT <= "00";
else
WR_PORT <= WR_PORT + 1;
end if;
WR_ADDR <= WR_ADDR + 1;
WR_ADDR2 <= WR_ADDR2 + 1;
end if;
elsif ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(0,7)) and (WR_EMPTY(conv_integer(WR_PORT)) = '0' ) -- write port fifo not empty
then
-- FF flag = 1
else
WR_EN(conv_integer(WR_PORT)) <= '1';
WR_DATA(31) <= '1';
WR_DATA(15) <= '0';
if PC0 = '1'
then
WR_DATA(30 downto 16) <= GEN_PATTERN(29 downto 15);
WR_DATA(14 downto 0) <= GEN_PATTERN(14 downto 0);
else
WR_DATA(30 downto 16) <= GEN_CNT(29 downto 15);
WR_DATA(14 downto 0) <= GEN_CNT(14 downto 0);
end if;
GEN_CNT <= GEN_CNT + 1;
GEN_PATTERN(29) <= GEN_PATTERN(0);
GEN_PATTERN(28 downto 0) <= GEN_PATTERN(29 downto 1);
-- if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(63,7) ) and ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
-- Add code from above here. This saves one clock cylcle and is required for uninterrupred input.
-- then
-- else
WR_COUNT(conv_integer(WR_PORT)) <= WR_COUNT(conv_integer(WR_PORT)) + 1;
-- end if;
end if;
RD_ADDR2_BAK1 <= RD_ADDR2;
RD_ADDR2_BAK2 <= RD_ADDR2_BAK1;
end if;
end process dpCLK;
dpIFCLK: process (IFCLK, RESET)
begin
-- reset
if RESET = '1'
then
FIFO_WORD <= '0';
SLWR <= '1';
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(0) <= ( others => '0' );
RD_CMD_ADDR(1) <= ( others => '0' );
RD_CMD_ADDR(2) <= ( others => '0' );
RD_ADDR <= conv_std_logic_vector(3,19);
RD_EN <= ( others => '0' );
RD_COUNT(0) <= conv_std_logic_vector(64,7);
RD_COUNT(1) <= conv_std_logic_vector(64,7);
RD_COUNT(2) <= conv_std_logic_vector(64,7);
RD_PORT <= ( others => '0' );
RD_ADDR2 <= ( others => '0' );
WR_ADDR2_BAK1 <= ( others => '0' );
WR_ADDR2_BAK2 <= ( others => '0' );
RD_STOP <= '1';
-- IFCLK
elsif IFCLK'event and IFCLK = '1'
then
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(conv_integer(RD_PORT))(26 downto 8) <= RD_ADDR;
RD_EN(conv_integer(RD_PORT)) <= '0';
if FLAGB = '1'
then
if ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) or ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) )
then
SLWR <= '1';
if ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) ) and ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) and ( WR_ADDR2_BAK2 = WR_ADDR2_BAK1 ) and ( WR_ADDR2_BAK2 /= RD_ADDR ) and ( RD_STOP = '0' )
then
RD_CMD_EN(conv_integer(RD_PORT)) <= '1';
RD_COUNT(conv_integer(RD_PORT)) <= ( others => '0' );
if RD_PORT = "10"
then
RD_PORT <= "00";
else
RD_PORT <= RD_PORT + 1;
end if;
RD_ADDR <= RD_ADDR + 1;
RD_ADDR2 <= RD_ADDR2 + 1;
end if;
else
SLWR <= '0';
if FIFO_WORD = '0'
then
FD(15 downto 0) <= RD_DATA(conv_integer(RD_PORT))(15 downto 0);
FD_TMP <= RD_DATA(conv_integer(RD_PORT))(31 downto 16);
RD_EN(conv_integer(RD_PORT)) <= '1';
else
FD(15 downto 0) <= FD_TMP;
RD_COUNT(conv_integer(RD_PORT)) <= RD_COUNT(conv_integer(RD_PORT)) + 1;
end if;
FIFO_WORD <= not FIFO_WORD;
end if;
end if;
WR_ADDR2_BAK1 <= WR_ADDR2;
WR_ADDR2_BAK2 <= WR_ADDR2_BAK1;
if ( WR_ADDR2_BAK1 = WR_ADDR2_BAK2 ) and ( WR_ADDR2_BAK2(3) = '1')
then
RD_STOP <= '0';
end if;
end if;
end process dpIFCLK;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
Library UNISIM;
use UNISIM.vcomponents.all;
entity memtest is
port(
FXCLK : in std_logic;
RESET_IN : in std_logic;
IFCLK : in std_logic;
PC0 : in std_logic;
-- FX2 FIFO
FD : out std_logic_vector(15 downto 0);
SLOE : out std_logic;
SLRD : out std_logic;
SLWR : out std_logic;
FIFOADR0 : out std_logic;
FIFOADR1 : out std_logic;
PKTEND : out std_logic;
FLAGB : in std_logic;
-- DDR-SDRAM
mcb3_dram_dq : inout std_logic_vector(15 downto 0);
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_a : out std_logic_vector(12 downto 0);
mcb3_dram_ba : out std_logic_vector(2 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
-- mcb3_dram_odt : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic
);
end memtest;
architecture RTL of memtest is
component mem0
generic (
C3_P0_MASK_SIZE : integer := 4;
C3_P0_DATA_PORT_SIZE : integer := 32;
C3_P1_MASK_SIZE : integer := 4;
C3_P1_DATA_PORT_SIZE : integer := 32;
C3_MEMCLK_PERIOD : integer := 2500;
C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED";
C3_RST_ACT_LOW : integer := 0;
C3_CALIB_SOFT_IP : string := "FALSE";
C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
C3_NUM_DQ_PINS : integer := 16;
C3_MEM_ADDR_WIDTH : integer := 13;
C3_MEM_BANKADDR_WIDTH : integer := 3
);
port (
mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
-- mcb3_dram_odt : out std_logic;
c3_sys_clk : in std_logic;
c3_sys_rst_n : in std_logic;
c3_calib_done : out std_logic;
c3_clk0 : out std_logic;
c3_rst0 : out std_logic;
c3_p0_cmd_clk : in std_logic;
c3_p0_cmd_en : in std_logic;
c3_p0_cmd_instr : in std_logic_vector(2 downto 0);
c3_p0_cmd_bl : in std_logic_vector(5 downto 0);
c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p0_cmd_empty : out std_logic;
c3_p0_cmd_full : out std_logic;
c3_p0_wr_clk : in std_logic;
c3_p0_wr_en : in std_logic;
c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0);
c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_wr_full : out std_logic;
c3_p0_wr_empty : out std_logic;
c3_p0_wr_count : out std_logic_vector(6 downto 0);
c3_p0_wr_underrun : out std_logic;
c3_p0_wr_error : out std_logic;
c3_p0_rd_clk : in std_logic;
c3_p0_rd_en : in std_logic;
c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_rd_full : out std_logic;
c3_p0_rd_empty : out std_logic;
c3_p0_rd_count : out std_logic_vector(6 downto 0);
c3_p0_rd_overflow : out std_logic;
c3_p0_rd_error : out std_logic;
c3_p1_cmd_clk : in std_logic;
c3_p1_cmd_en : in std_logic;
c3_p1_cmd_instr : in std_logic_vector(2 downto 0);
c3_p1_cmd_bl : in std_logic_vector(5 downto 0);
c3_p1_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p1_cmd_empty : out std_logic;
c3_p1_cmd_full : out std_logic;
c3_p1_wr_clk : in std_logic;
c3_p1_wr_en : in std_logic;
c3_p1_wr_mask : in std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0);
c3_p1_wr_data : in std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_wr_full : out std_logic;
c3_p1_wr_empty : out std_logic;
c3_p1_wr_count : out std_logic_vector(6 downto 0);
c3_p1_wr_underrun : out std_logic;
c3_p1_wr_error : out std_logic;
c3_p1_rd_clk : in std_logic;
c3_p1_rd_en : in std_logic;
c3_p1_rd_data : out std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_rd_full : out std_logic;
c3_p1_rd_empty : out std_logic;
c3_p1_rd_count : out std_logic_vector(6 downto 0);
c3_p1_rd_overflow : out std_logic;
c3_p1_rd_error : out std_logic;
c3_p2_cmd_clk : in std_logic;
c3_p2_cmd_en : in std_logic;
c3_p2_cmd_instr : in std_logic_vector(2 downto 0);
c3_p2_cmd_bl : in std_logic_vector(5 downto 0);
c3_p2_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p2_cmd_empty : out std_logic;
c3_p2_cmd_full : out std_logic;
c3_p2_wr_clk : in std_logic;
c3_p2_wr_en : in std_logic;
c3_p2_wr_mask : in std_logic_vector(3 downto 0);
c3_p2_wr_data : in std_logic_vector(31 downto 0);
c3_p2_wr_full : out std_logic;
c3_p2_wr_empty : out std_logic;
c3_p2_wr_count : out std_logic_vector(6 downto 0);
c3_p2_wr_underrun : out std_logic;
c3_p2_wr_error : out std_logic;
c3_p3_cmd_clk : in std_logic;
c3_p3_cmd_en : in std_logic;
c3_p3_cmd_instr : in std_logic_vector(2 downto 0);
c3_p3_cmd_bl : in std_logic_vector(5 downto 0);
c3_p3_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p3_cmd_empty : out std_logic;
c3_p3_cmd_full : out std_logic;
c3_p3_rd_clk : in std_logic;
c3_p3_rd_en : in std_logic;
c3_p3_rd_data : out std_logic_vector(31 downto 0);
c3_p3_rd_full : out std_logic;
c3_p3_rd_empty : out std_logic;
c3_p3_rd_count : out std_logic_vector(6 downto 0);
c3_p3_rd_overflow : out std_logic;
c3_p3_rd_error : out std_logic;
c3_p4_cmd_clk : in std_logic;
c3_p4_cmd_en : in std_logic;
c3_p4_cmd_instr : in std_logic_vector(2 downto 0);
c3_p4_cmd_bl : in std_logic_vector(5 downto 0);
c3_p4_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p4_cmd_empty : out std_logic;
c3_p4_cmd_full : out std_logic;
c3_p4_wr_clk : in std_logic;
c3_p4_wr_en : in std_logic;
c3_p4_wr_mask : in std_logic_vector(3 downto 0);
c3_p4_wr_data : in std_logic_vector(31 downto 0);
c3_p4_wr_full : out std_logic;
c3_p4_wr_empty : out std_logic;
c3_p4_wr_count : out std_logic_vector(6 downto 0);
c3_p4_wr_underrun : out std_logic;
c3_p4_wr_error : out std_logic;
c3_p5_cmd_clk : in std_logic;
c3_p5_cmd_en : in std_logic;
c3_p5_cmd_instr : in std_logic_vector(2 downto 0);
c3_p5_cmd_bl : in std_logic_vector(5 downto 0);
c3_p5_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p5_cmd_empty : out std_logic;
c3_p5_cmd_full : out std_logic;
c3_p5_rd_clk : in std_logic;
c3_p5_rd_en : in std_logic;
c3_p5_rd_data : out std_logic_vector(31 downto 0);
c3_p5_rd_full : out std_logic;
c3_p5_rd_empty : out std_logic;
c3_p5_rd_count : out std_logic_vector(6 downto 0);
c3_p5_rd_overflow : out std_logic;
c3_p5_rd_error : out std_logic
);
end component;
--attribute optimize : string;
--attribute optimize of counters:entity is "off";
signal fxclk_buf : std_logic;
signal CLK : std_logic;
signal RESET0 : std_logic; -- released after dcm0 is ready
signal RESET : std_logic; -- released after MCB is ready
signal DCM0_LOCKED : std_logic;
--signal DCM0_CLK_VALID : std_logic;
----------------------------
-- test pattern generator --
----------------------------
signal GEN_CNT : std_logic_vector(29 downto 0);
signal GEN_PATTERN : std_logic_vector(29 downto 0);
signal FIFO_WORD : std_logic;
-----------------------
-- memory controller --
-----------------------
signal MEM_CLK : std_logic;
signal C3_CALIB_DONE : std_logic;
signal C3_RST0 : std_logic;
---------------
-- DRAM FIFO --
---------------
signal WR_CLK : std_logic;
signal WR_CMD_EN : std_logic_vector(2 downto 0);
type WR_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal WR_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal WR_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
signal WR_EN : std_logic_vector(2 downto 0);
signal WR_EN_TMP : std_logic_vector(2 downto 0);
signal WR_DATA : std_logic_vector(31 downto 0);
signal WR_EMPTY : std_logic_vector(2 downto 0);
signal WR_UNDERRUN : std_logic_vector(2 downto 0);
signal WR_ERROR : std_logic_vector(2 downto 0);
type WR_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal WR_COUNT : WR_COUNT_ARRAY;
signal WR_PORT : std_logic_vector(1 downto 0);
signal RD_CLK : std_logic;
signal RD_CMD_EN : std_logic_vector(2 downto 0);
type RD_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal RD_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal RD_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
signal RD_EN : std_logic_vector(2 downto 0);
type RD_DATA_ARRAY is array(2 downto 0) of std_logic_vector(31 downto 0);
signal RD_DATA : RD_DATA_ARRAY;
signal RD_EMPTY : std_logic_vector(2 downto 0);
signal RD_OVERFLOW : std_logic_vector(2 downto 0);
signal RD_ERROR : std_logic_vector(2 downto 0);
signal RD_PORT : std_logic_vector(1 downto 0);
type RD_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal RD_COUNT : RD_COUNT_ARRAY;
signal FD_TMP : std_logic_vector(15 downto 0);
signal RD_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing read
signal RD_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization
signal RD_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization
signal WR_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing written
signal WR_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization
signal WR_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization
signal RD_STOP : std_logic;
begin
clkin_buf : IBUFG
port map (
O => FXCLK_BUF,
I => FXCLK
);
dcm0 : DCM_CLKGEN
generic map (
-- CLKFX_DIVIDE => 6,
CLKFX_DIVIDE => 3,
-- CLKFX_MULTIPLY => 33,
CLKFX_MULTIPLY => 25,
CLKFXDV_DIVIDE => 8,
SPREAD_SPECTRUM => "NONE",
STARTUP_WAIT => FALSE,
CLKIN_PERIOD => 20.83333,
CLKFX_MD_MAX => 0.000
)
port map (
CLKIN => FXCLK_BUF,
CLKFX => MEM_CLK,
CLKFX180 => open,
CLKFXDV => CLK,
LOCKED => DCM0_LOCKED,
PROGDONE => open,
STATUS => open,
FREEZEDCM => '0',
PROGCLK => '0',
PROGDATA => '0',
PROGEN => '0',
RST => '0'
);
inst_mem0 : mem0 port map (
mcb3_dram_dq => mcb3_dram_dq,
mcb3_dram_a => mcb3_dram_a,
mcb3_dram_ba => mcb3_dram_ba,
mcb3_dram_ras_n => mcb3_dram_ras_n,
mcb3_dram_cas_n => mcb3_dram_cas_n,
mcb3_dram_we_n => mcb3_dram_we_n,
-- mcb3_dram_odt => mcb3_dram_odt,
mcb3_dram_cke => mcb3_dram_cke,
mcb3_dram_ck => mcb3_dram_ck,
mcb3_dram_ck_n => mcb3_dram_ck_n,
mcb3_dram_dqs => mcb3_dram_dqs,
mcb3_dram_dqs_n => mcb3_dram_dqs_n,
mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts
mcb3_dram_udqs_n=> mcb3_dram_udqs_n, -- for X16 parts
mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts
mcb3_dram_dm => mcb3_dram_dm,
mcb3_rzq => mcb3_rzq,
mcb3_zio => mcb3_zio,
c3_sys_clk => MEM_CLK,
c3_sys_rst_n => RESET0,
c3_clk0 => open,
c3_rst0 => C3_RST0,
c3_calib_done => C3_CALIB_DONE,
c3_p0_cmd_clk => WR_CLK,
c3_p0_cmd_en => WR_CMD_EN(0),
c3_p0_cmd_instr => "000",
c3_p0_cmd_bl => ( others => '1' ),
c3_p0_cmd_byte_addr => WR_CMD_ADDR(0),
c3_p0_cmd_empty => open,
c3_p0_cmd_full => open,
c3_p0_wr_clk => WR_CLK,
c3_p0_wr_en => WR_EN(0),
c3_p0_wr_mask => ( others => '0' ),
c3_p0_wr_data => WR_DATA,
c3_p0_wr_full => open,
c3_p0_wr_empty => WR_EMPTY(0),
c3_p0_wr_count => open,
c3_p0_wr_underrun => WR_UNDERRUN(0),
c3_p0_wr_error => WR_ERROR(0),
c3_p0_rd_clk => WR_CLK,
c3_p0_rd_en => '0',
c3_p0_rd_data => open,
c3_p0_rd_full => open,
c3_p0_rd_empty => open,
c3_p0_rd_count => open,
c3_p0_rd_overflow => open,
c3_p0_rd_error => open,
c3_p2_cmd_clk => WR_CLK,
c3_p2_cmd_en => WR_CMD_EN(1),
c3_p2_cmd_instr => "000",
c3_p2_cmd_bl => ( others => '1' ),
c3_p2_cmd_byte_addr => WR_CMD_ADDR(1),
c3_p2_cmd_empty => open,
c3_p2_cmd_full => open,
c3_p2_wr_clk => WR_CLK,
c3_p2_wr_en => WR_EN(1),
c3_p2_wr_mask => ( others => '0' ),
c3_p2_wr_data => WR_DATA,
c3_p2_wr_full => open,
c3_p2_wr_empty => WR_EMPTY(1),
c3_p2_wr_count => open,
c3_p2_wr_underrun => WR_UNDERRUN(1),
c3_p2_wr_error => WR_ERROR(1),
c3_p4_cmd_clk => WR_CLK,
c3_p4_cmd_en => WR_CMD_EN(2),
c3_p4_cmd_instr => "000",
c3_p4_cmd_bl => ( others => '1' ),
c3_p4_cmd_byte_addr => WR_CMD_ADDR(2),
c3_p4_cmd_empty => open,
c3_p4_cmd_full => open,
c3_p4_wr_clk => WR_CLK,
c3_p4_wr_en => WR_EN(2),
c3_p4_wr_mask => ( others => '0' ),
c3_p4_wr_data => WR_DATA,
c3_p4_wr_full => open,
c3_p4_wr_empty => WR_EMPTY(2),
c3_p4_wr_count => open,
c3_p4_wr_underrun => WR_UNDERRUN(2),
c3_p4_wr_error => WR_ERROR(2),
c3_p1_cmd_clk => RD_CLK,
c3_p1_cmd_en => RD_CMD_EN(0),
c3_p1_cmd_instr => "001",
c3_p1_cmd_bl => ( others => '1' ),
c3_p1_cmd_byte_addr => RD_CMD_ADDR(0),
c3_p1_cmd_empty => open,
c3_p1_cmd_full => open,
c3_p1_wr_clk => RD_CLK,
c3_p1_wr_en => '0',
c3_p1_wr_mask => ( others => '0' ),
c3_p1_wr_data => ( others => '0' ),
c3_p1_wr_full => open,
c3_p1_wr_empty => open,
c3_p1_wr_count => open,
c3_p1_wr_underrun => open,
c3_p1_wr_error => open,
c3_p1_rd_clk => RD_CLK,
c3_p1_rd_en => RD_EN(0),
c3_p1_rd_data => RD_DATA(0),
c3_p1_rd_full => open,
c3_p1_rd_empty => RD_EMPTY(0),
c3_p1_rd_count => open,
c3_p1_rd_overflow => RD_OVERFLOW(0),
c3_p1_rd_error => RD_ERROR(0),
c3_p3_cmd_clk => RD_CLK,
c3_p3_cmd_en => RD_CMD_EN(1),
c3_p3_cmd_instr => "001",
c3_p3_cmd_bl => ( others => '1' ),
c3_p3_cmd_byte_addr => RD_CMD_ADDR(1),
c3_p3_cmd_empty => open,
c3_p3_cmd_full => open,
c3_p3_rd_clk => RD_CLK,
c3_p3_rd_en => RD_EN(1),
c3_p3_rd_data => RD_DATA(1),
c3_p3_rd_full => open,
c3_p3_rd_empty => RD_EMPTY(1),
c3_p3_rd_count => open,
c3_p3_rd_overflow => RD_OVERFLOW(1),
c3_p3_rd_error => RD_ERROR(1),
c3_p5_cmd_clk => RD_CLK,
c3_p5_cmd_en => RD_CMD_EN(2),
c3_p5_cmd_instr => "001",
c3_p5_cmd_bl => ( others => '1' ),
c3_p5_cmd_byte_addr => RD_CMD_ADDR(2),
c3_p5_cmd_empty => open,
c3_p5_cmd_full => open,
c3_p5_rd_clk => RD_CLK,
c3_p5_rd_en => RD_EN(2),
c3_p5_rd_data => RD_DATA(2),
c3_p5_rd_full => open,
c3_p5_rd_empty => RD_EMPTY(2),
c3_p5_rd_count => open,
c3_p5_rd_overflow => RD_OVERFLOW(2),
c3_p5_rd_error => RD_ERROR(2)
);
SLOE <= '1';
SLRD <= '1';
FIFOADR0 <= '0';
FIFOADR1 <= '0';
PKTEND <= '1';
WR_CLK <= CLK;
RD_CLK <= IFCLK;
-- DCM0_CLK_VALID <= ( DCM0_LOCKED and ( not status_internal(2) ) );
-- RESET0 <= RESET_IN or (not DCM0_LOCKED) or (not DCM0_CLK_VALID);
RESET0 <= RESET_IN or (not DCM0_LOCKED);
RESET <= RESET0 or (not C3_CALIB_DONE) or C3_RST0;
dpCLK: process (CLK, RESET)
begin
-- reset
if RESET = '1'
then
GEN_CNT <= ( others => '0' );
GEN_PATTERN <= "100101010101010101010101010101";
WR_CMD_EN <= ( others => '0' );
WR_CMD_ADDR(0) <= ( others => '0' );
WR_CMD_ADDR(1) <= ( others => '0' );
WR_CMD_ADDR(2) <= ( others => '0' );
WR_ADDR <= conv_std_logic_vector(3,19);
WR_EN <= ( others => '0' );
WR_COUNT(0) <= ( others => '0' );
WR_COUNT(1) <= ( others => '0' );
WR_COUNT(2) <= ( others => '0' );
WR_PORT <= ( others => '0' );
WR_ADDR2 <= ( others => '0' );
RD_ADDR2_BAK1 <= ( others => '0' );
RD_ADDR2_BAK2 <= ( others => '0' );
-- CLK
elsif CLK'event and CLK = '1'
then
WR_CMD_EN <= ( others => '0' );
WR_EN <= ( others => '0' );
WR_CMD_ADDR(conv_integer(WR_PORT))(26 downto 8) <= WR_ADDR;
if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(64,7) )
then
-- FF flag = 1
if ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
then
WR_CMD_EN(conv_integer(WR_PORT)) <= '1';
WR_COUNT(conv_integer(WR_PORT)) <= ( others => '0' );
if WR_PORT = "10"
then
WR_PORT <= "00";
else
WR_PORT <= WR_PORT + 1;
end if;
WR_ADDR <= WR_ADDR + 1;
WR_ADDR2 <= WR_ADDR2 + 1;
end if;
elsif ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(0,7)) and (WR_EMPTY(conv_integer(WR_PORT)) = '0' ) -- write port fifo not empty
then
-- FF flag = 1
else
WR_EN(conv_integer(WR_PORT)) <= '1';
WR_DATA(31) <= '1';
WR_DATA(15) <= '0';
if PC0 = '1'
then
WR_DATA(30 downto 16) <= GEN_PATTERN(29 downto 15);
WR_DATA(14 downto 0) <= GEN_PATTERN(14 downto 0);
else
WR_DATA(30 downto 16) <= GEN_CNT(29 downto 15);
WR_DATA(14 downto 0) <= GEN_CNT(14 downto 0);
end if;
GEN_CNT <= GEN_CNT + 1;
GEN_PATTERN(29) <= GEN_PATTERN(0);
GEN_PATTERN(28 downto 0) <= GEN_PATTERN(29 downto 1);
-- if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(63,7) ) and ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
-- Add code from above here. This saves one clock cylcle and is required for uninterrupred input.
-- then
-- else
WR_COUNT(conv_integer(WR_PORT)) <= WR_COUNT(conv_integer(WR_PORT)) + 1;
-- end if;
end if;
RD_ADDR2_BAK1 <= RD_ADDR2;
RD_ADDR2_BAK2 <= RD_ADDR2_BAK1;
end if;
end process dpCLK;
dpIFCLK: process (IFCLK, RESET)
begin
-- reset
if RESET = '1'
then
FIFO_WORD <= '0';
SLWR <= '1';
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(0) <= ( others => '0' );
RD_CMD_ADDR(1) <= ( others => '0' );
RD_CMD_ADDR(2) <= ( others => '0' );
RD_ADDR <= conv_std_logic_vector(3,19);
RD_EN <= ( others => '0' );
RD_COUNT(0) <= conv_std_logic_vector(64,7);
RD_COUNT(1) <= conv_std_logic_vector(64,7);
RD_COUNT(2) <= conv_std_logic_vector(64,7);
RD_PORT <= ( others => '0' );
RD_ADDR2 <= ( others => '0' );
WR_ADDR2_BAK1 <= ( others => '0' );
WR_ADDR2_BAK2 <= ( others => '0' );
RD_STOP <= '1';
-- IFCLK
elsif IFCLK'event and IFCLK = '1'
then
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(conv_integer(RD_PORT))(26 downto 8) <= RD_ADDR;
RD_EN(conv_integer(RD_PORT)) <= '0';
if FLAGB = '1'
then
if ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) or ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) )
then
SLWR <= '1';
if ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) ) and ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) and ( WR_ADDR2_BAK2 = WR_ADDR2_BAK1 ) and ( WR_ADDR2_BAK2 /= RD_ADDR ) and ( RD_STOP = '0' )
then
RD_CMD_EN(conv_integer(RD_PORT)) <= '1';
RD_COUNT(conv_integer(RD_PORT)) <= ( others => '0' );
if RD_PORT = "10"
then
RD_PORT <= "00";
else
RD_PORT <= RD_PORT + 1;
end if;
RD_ADDR <= RD_ADDR + 1;
RD_ADDR2 <= RD_ADDR2 + 1;
end if;
else
SLWR <= '0';
if FIFO_WORD = '0'
then
FD(15 downto 0) <= RD_DATA(conv_integer(RD_PORT))(15 downto 0);
FD_TMP <= RD_DATA(conv_integer(RD_PORT))(31 downto 16);
RD_EN(conv_integer(RD_PORT)) <= '1';
else
FD(15 downto 0) <= FD_TMP;
RD_COUNT(conv_integer(RD_PORT)) <= RD_COUNT(conv_integer(RD_PORT)) + 1;
end if;
FIFO_WORD <= not FIFO_WORD;
end if;
end if;
WR_ADDR2_BAK1 <= WR_ADDR2;
WR_ADDR2_BAK2 <= WR_ADDR2_BAK1;
if ( WR_ADDR2_BAK1 = WR_ADDR2_BAK2 ) and ( WR_ADDR2_BAK2(3) = '1')
then
RD_STOP <= '0';
end if;
end if;
end process dpIFCLK;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
Library UNISIM;
use UNISIM.vcomponents.all;
entity memtest is
port(
FXCLK : in std_logic;
RESET_IN : in std_logic;
IFCLK : in std_logic;
PC0 : in std_logic;
-- FX2 FIFO
FD : out std_logic_vector(15 downto 0);
SLOE : out std_logic;
SLRD : out std_logic;
SLWR : out std_logic;
FIFOADR0 : out std_logic;
FIFOADR1 : out std_logic;
PKTEND : out std_logic;
FLAGB : in std_logic;
-- DDR-SDRAM
mcb3_dram_dq : inout std_logic_vector(15 downto 0);
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_a : out std_logic_vector(12 downto 0);
mcb3_dram_ba : out std_logic_vector(2 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
-- mcb3_dram_odt : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic
);
end memtest;
architecture RTL of memtest is
component mem0
generic (
C3_P0_MASK_SIZE : integer := 4;
C3_P0_DATA_PORT_SIZE : integer := 32;
C3_P1_MASK_SIZE : integer := 4;
C3_P1_DATA_PORT_SIZE : integer := 32;
C3_MEMCLK_PERIOD : integer := 2500;
C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED";
C3_RST_ACT_LOW : integer := 0;
C3_CALIB_SOFT_IP : string := "FALSE";
C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
C3_NUM_DQ_PINS : integer := 16;
C3_MEM_ADDR_WIDTH : integer := 13;
C3_MEM_BANKADDR_WIDTH : integer := 3
);
port (
mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
-- mcb3_dram_odt : out std_logic;
c3_sys_clk : in std_logic;
c3_sys_rst_n : in std_logic;
c3_calib_done : out std_logic;
c3_clk0 : out std_logic;
c3_rst0 : out std_logic;
c3_p0_cmd_clk : in std_logic;
c3_p0_cmd_en : in std_logic;
c3_p0_cmd_instr : in std_logic_vector(2 downto 0);
c3_p0_cmd_bl : in std_logic_vector(5 downto 0);
c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p0_cmd_empty : out std_logic;
c3_p0_cmd_full : out std_logic;
c3_p0_wr_clk : in std_logic;
c3_p0_wr_en : in std_logic;
c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0);
c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_wr_full : out std_logic;
c3_p0_wr_empty : out std_logic;
c3_p0_wr_count : out std_logic_vector(6 downto 0);
c3_p0_wr_underrun : out std_logic;
c3_p0_wr_error : out std_logic;
c3_p0_rd_clk : in std_logic;
c3_p0_rd_en : in std_logic;
c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_rd_full : out std_logic;
c3_p0_rd_empty : out std_logic;
c3_p0_rd_count : out std_logic_vector(6 downto 0);
c3_p0_rd_overflow : out std_logic;
c3_p0_rd_error : out std_logic;
c3_p1_cmd_clk : in std_logic;
c3_p1_cmd_en : in std_logic;
c3_p1_cmd_instr : in std_logic_vector(2 downto 0);
c3_p1_cmd_bl : in std_logic_vector(5 downto 0);
c3_p1_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p1_cmd_empty : out std_logic;
c3_p1_cmd_full : out std_logic;
c3_p1_wr_clk : in std_logic;
c3_p1_wr_en : in std_logic;
c3_p1_wr_mask : in std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0);
c3_p1_wr_data : in std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_wr_full : out std_logic;
c3_p1_wr_empty : out std_logic;
c3_p1_wr_count : out std_logic_vector(6 downto 0);
c3_p1_wr_underrun : out std_logic;
c3_p1_wr_error : out std_logic;
c3_p1_rd_clk : in std_logic;
c3_p1_rd_en : in std_logic;
c3_p1_rd_data : out std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_rd_full : out std_logic;
c3_p1_rd_empty : out std_logic;
c3_p1_rd_count : out std_logic_vector(6 downto 0);
c3_p1_rd_overflow : out std_logic;
c3_p1_rd_error : out std_logic;
c3_p2_cmd_clk : in std_logic;
c3_p2_cmd_en : in std_logic;
c3_p2_cmd_instr : in std_logic_vector(2 downto 0);
c3_p2_cmd_bl : in std_logic_vector(5 downto 0);
c3_p2_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p2_cmd_empty : out std_logic;
c3_p2_cmd_full : out std_logic;
c3_p2_wr_clk : in std_logic;
c3_p2_wr_en : in std_logic;
c3_p2_wr_mask : in std_logic_vector(3 downto 0);
c3_p2_wr_data : in std_logic_vector(31 downto 0);
c3_p2_wr_full : out std_logic;
c3_p2_wr_empty : out std_logic;
c3_p2_wr_count : out std_logic_vector(6 downto 0);
c3_p2_wr_underrun : out std_logic;
c3_p2_wr_error : out std_logic;
c3_p3_cmd_clk : in std_logic;
c3_p3_cmd_en : in std_logic;
c3_p3_cmd_instr : in std_logic_vector(2 downto 0);
c3_p3_cmd_bl : in std_logic_vector(5 downto 0);
c3_p3_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p3_cmd_empty : out std_logic;
c3_p3_cmd_full : out std_logic;
c3_p3_rd_clk : in std_logic;
c3_p3_rd_en : in std_logic;
c3_p3_rd_data : out std_logic_vector(31 downto 0);
c3_p3_rd_full : out std_logic;
c3_p3_rd_empty : out std_logic;
c3_p3_rd_count : out std_logic_vector(6 downto 0);
c3_p3_rd_overflow : out std_logic;
c3_p3_rd_error : out std_logic;
c3_p4_cmd_clk : in std_logic;
c3_p4_cmd_en : in std_logic;
c3_p4_cmd_instr : in std_logic_vector(2 downto 0);
c3_p4_cmd_bl : in std_logic_vector(5 downto 0);
c3_p4_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p4_cmd_empty : out std_logic;
c3_p4_cmd_full : out std_logic;
c3_p4_wr_clk : in std_logic;
c3_p4_wr_en : in std_logic;
c3_p4_wr_mask : in std_logic_vector(3 downto 0);
c3_p4_wr_data : in std_logic_vector(31 downto 0);
c3_p4_wr_full : out std_logic;
c3_p4_wr_empty : out std_logic;
c3_p4_wr_count : out std_logic_vector(6 downto 0);
c3_p4_wr_underrun : out std_logic;
c3_p4_wr_error : out std_logic;
c3_p5_cmd_clk : in std_logic;
c3_p5_cmd_en : in std_logic;
c3_p5_cmd_instr : in std_logic_vector(2 downto 0);
c3_p5_cmd_bl : in std_logic_vector(5 downto 0);
c3_p5_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p5_cmd_empty : out std_logic;
c3_p5_cmd_full : out std_logic;
c3_p5_rd_clk : in std_logic;
c3_p5_rd_en : in std_logic;
c3_p5_rd_data : out std_logic_vector(31 downto 0);
c3_p5_rd_full : out std_logic;
c3_p5_rd_empty : out std_logic;
c3_p5_rd_count : out std_logic_vector(6 downto 0);
c3_p5_rd_overflow : out std_logic;
c3_p5_rd_error : out std_logic
);
end component;
--attribute optimize : string;
--attribute optimize of counters:entity is "off";
signal fxclk_buf : std_logic;
signal CLK : std_logic;
signal RESET0 : std_logic; -- released after dcm0 is ready
signal RESET : std_logic; -- released after MCB is ready
signal DCM0_LOCKED : std_logic;
--signal DCM0_CLK_VALID : std_logic;
----------------------------
-- test pattern generator --
----------------------------
signal GEN_CNT : std_logic_vector(29 downto 0);
signal GEN_PATTERN : std_logic_vector(29 downto 0);
signal FIFO_WORD : std_logic;
-----------------------
-- memory controller --
-----------------------
signal MEM_CLK : std_logic;
signal C3_CALIB_DONE : std_logic;
signal C3_RST0 : std_logic;
---------------
-- DRAM FIFO --
---------------
signal WR_CLK : std_logic;
signal WR_CMD_EN : std_logic_vector(2 downto 0);
type WR_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal WR_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal WR_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
signal WR_EN : std_logic_vector(2 downto 0);
signal WR_EN_TMP : std_logic_vector(2 downto 0);
signal WR_DATA : std_logic_vector(31 downto 0);
signal WR_EMPTY : std_logic_vector(2 downto 0);
signal WR_UNDERRUN : std_logic_vector(2 downto 0);
signal WR_ERROR : std_logic_vector(2 downto 0);
type WR_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal WR_COUNT : WR_COUNT_ARRAY;
signal WR_PORT : std_logic_vector(1 downto 0);
signal RD_CLK : std_logic;
signal RD_CMD_EN : std_logic_vector(2 downto 0);
type RD_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal RD_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal RD_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
signal RD_EN : std_logic_vector(2 downto 0);
type RD_DATA_ARRAY is array(2 downto 0) of std_logic_vector(31 downto 0);
signal RD_DATA : RD_DATA_ARRAY;
signal RD_EMPTY : std_logic_vector(2 downto 0);
signal RD_OVERFLOW : std_logic_vector(2 downto 0);
signal RD_ERROR : std_logic_vector(2 downto 0);
signal RD_PORT : std_logic_vector(1 downto 0);
type RD_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal RD_COUNT : RD_COUNT_ARRAY;
signal FD_TMP : std_logic_vector(15 downto 0);
signal RD_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing read
signal RD_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization
signal RD_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization
signal WR_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing written
signal WR_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization
signal WR_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization
signal RD_STOP : std_logic;
begin
clkin_buf : IBUFG
port map (
O => FXCLK_BUF,
I => FXCLK
);
dcm0 : DCM_CLKGEN
generic map (
-- CLKFX_DIVIDE => 6,
CLKFX_DIVIDE => 3,
-- CLKFX_MULTIPLY => 33,
CLKFX_MULTIPLY => 25,
CLKFXDV_DIVIDE => 8,
SPREAD_SPECTRUM => "NONE",
STARTUP_WAIT => FALSE,
CLKIN_PERIOD => 20.83333,
CLKFX_MD_MAX => 0.000
)
port map (
CLKIN => FXCLK_BUF,
CLKFX => MEM_CLK,
CLKFX180 => open,
CLKFXDV => CLK,
LOCKED => DCM0_LOCKED,
PROGDONE => open,
STATUS => open,
FREEZEDCM => '0',
PROGCLK => '0',
PROGDATA => '0',
PROGEN => '0',
RST => '0'
);
inst_mem0 : mem0 port map (
mcb3_dram_dq => mcb3_dram_dq,
mcb3_dram_a => mcb3_dram_a,
mcb3_dram_ba => mcb3_dram_ba,
mcb3_dram_ras_n => mcb3_dram_ras_n,
mcb3_dram_cas_n => mcb3_dram_cas_n,
mcb3_dram_we_n => mcb3_dram_we_n,
-- mcb3_dram_odt => mcb3_dram_odt,
mcb3_dram_cke => mcb3_dram_cke,
mcb3_dram_ck => mcb3_dram_ck,
mcb3_dram_ck_n => mcb3_dram_ck_n,
mcb3_dram_dqs => mcb3_dram_dqs,
mcb3_dram_dqs_n => mcb3_dram_dqs_n,
mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts
mcb3_dram_udqs_n=> mcb3_dram_udqs_n, -- for X16 parts
mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts
mcb3_dram_dm => mcb3_dram_dm,
mcb3_rzq => mcb3_rzq,
mcb3_zio => mcb3_zio,
c3_sys_clk => MEM_CLK,
c3_sys_rst_n => RESET0,
c3_clk0 => open,
c3_rst0 => C3_RST0,
c3_calib_done => C3_CALIB_DONE,
c3_p0_cmd_clk => WR_CLK,
c3_p0_cmd_en => WR_CMD_EN(0),
c3_p0_cmd_instr => "000",
c3_p0_cmd_bl => ( others => '1' ),
c3_p0_cmd_byte_addr => WR_CMD_ADDR(0),
c3_p0_cmd_empty => open,
c3_p0_cmd_full => open,
c3_p0_wr_clk => WR_CLK,
c3_p0_wr_en => WR_EN(0),
c3_p0_wr_mask => ( others => '0' ),
c3_p0_wr_data => WR_DATA,
c3_p0_wr_full => open,
c3_p0_wr_empty => WR_EMPTY(0),
c3_p0_wr_count => open,
c3_p0_wr_underrun => WR_UNDERRUN(0),
c3_p0_wr_error => WR_ERROR(0),
c3_p0_rd_clk => WR_CLK,
c3_p0_rd_en => '0',
c3_p0_rd_data => open,
c3_p0_rd_full => open,
c3_p0_rd_empty => open,
c3_p0_rd_count => open,
c3_p0_rd_overflow => open,
c3_p0_rd_error => open,
c3_p2_cmd_clk => WR_CLK,
c3_p2_cmd_en => WR_CMD_EN(1),
c3_p2_cmd_instr => "000",
c3_p2_cmd_bl => ( others => '1' ),
c3_p2_cmd_byte_addr => WR_CMD_ADDR(1),
c3_p2_cmd_empty => open,
c3_p2_cmd_full => open,
c3_p2_wr_clk => WR_CLK,
c3_p2_wr_en => WR_EN(1),
c3_p2_wr_mask => ( others => '0' ),
c3_p2_wr_data => WR_DATA,
c3_p2_wr_full => open,
c3_p2_wr_empty => WR_EMPTY(1),
c3_p2_wr_count => open,
c3_p2_wr_underrun => WR_UNDERRUN(1),
c3_p2_wr_error => WR_ERROR(1),
c3_p4_cmd_clk => WR_CLK,
c3_p4_cmd_en => WR_CMD_EN(2),
c3_p4_cmd_instr => "000",
c3_p4_cmd_bl => ( others => '1' ),
c3_p4_cmd_byte_addr => WR_CMD_ADDR(2),
c3_p4_cmd_empty => open,
c3_p4_cmd_full => open,
c3_p4_wr_clk => WR_CLK,
c3_p4_wr_en => WR_EN(2),
c3_p4_wr_mask => ( others => '0' ),
c3_p4_wr_data => WR_DATA,
c3_p4_wr_full => open,
c3_p4_wr_empty => WR_EMPTY(2),
c3_p4_wr_count => open,
c3_p4_wr_underrun => WR_UNDERRUN(2),
c3_p4_wr_error => WR_ERROR(2),
c3_p1_cmd_clk => RD_CLK,
c3_p1_cmd_en => RD_CMD_EN(0),
c3_p1_cmd_instr => "001",
c3_p1_cmd_bl => ( others => '1' ),
c3_p1_cmd_byte_addr => RD_CMD_ADDR(0),
c3_p1_cmd_empty => open,
c3_p1_cmd_full => open,
c3_p1_wr_clk => RD_CLK,
c3_p1_wr_en => '0',
c3_p1_wr_mask => ( others => '0' ),
c3_p1_wr_data => ( others => '0' ),
c3_p1_wr_full => open,
c3_p1_wr_empty => open,
c3_p1_wr_count => open,
c3_p1_wr_underrun => open,
c3_p1_wr_error => open,
c3_p1_rd_clk => RD_CLK,
c3_p1_rd_en => RD_EN(0),
c3_p1_rd_data => RD_DATA(0),
c3_p1_rd_full => open,
c3_p1_rd_empty => RD_EMPTY(0),
c3_p1_rd_count => open,
c3_p1_rd_overflow => RD_OVERFLOW(0),
c3_p1_rd_error => RD_ERROR(0),
c3_p3_cmd_clk => RD_CLK,
c3_p3_cmd_en => RD_CMD_EN(1),
c3_p3_cmd_instr => "001",
c3_p3_cmd_bl => ( others => '1' ),
c3_p3_cmd_byte_addr => RD_CMD_ADDR(1),
c3_p3_cmd_empty => open,
c3_p3_cmd_full => open,
c3_p3_rd_clk => RD_CLK,
c3_p3_rd_en => RD_EN(1),
c3_p3_rd_data => RD_DATA(1),
c3_p3_rd_full => open,
c3_p3_rd_empty => RD_EMPTY(1),
c3_p3_rd_count => open,
c3_p3_rd_overflow => RD_OVERFLOW(1),
c3_p3_rd_error => RD_ERROR(1),
c3_p5_cmd_clk => RD_CLK,
c3_p5_cmd_en => RD_CMD_EN(2),
c3_p5_cmd_instr => "001",
c3_p5_cmd_bl => ( others => '1' ),
c3_p5_cmd_byte_addr => RD_CMD_ADDR(2),
c3_p5_cmd_empty => open,
c3_p5_cmd_full => open,
c3_p5_rd_clk => RD_CLK,
c3_p5_rd_en => RD_EN(2),
c3_p5_rd_data => RD_DATA(2),
c3_p5_rd_full => open,
c3_p5_rd_empty => RD_EMPTY(2),
c3_p5_rd_count => open,
c3_p5_rd_overflow => RD_OVERFLOW(2),
c3_p5_rd_error => RD_ERROR(2)
);
SLOE <= '1';
SLRD <= '1';
FIFOADR0 <= '0';
FIFOADR1 <= '0';
PKTEND <= '1';
WR_CLK <= CLK;
RD_CLK <= IFCLK;
-- DCM0_CLK_VALID <= ( DCM0_LOCKED and ( not status_internal(2) ) );
-- RESET0 <= RESET_IN or (not DCM0_LOCKED) or (not DCM0_CLK_VALID);
RESET0 <= RESET_IN or (not DCM0_LOCKED);
RESET <= RESET0 or (not C3_CALIB_DONE) or C3_RST0;
dpCLK: process (CLK, RESET)
begin
-- reset
if RESET = '1'
then
GEN_CNT <= ( others => '0' );
GEN_PATTERN <= "100101010101010101010101010101";
WR_CMD_EN <= ( others => '0' );
WR_CMD_ADDR(0) <= ( others => '0' );
WR_CMD_ADDR(1) <= ( others => '0' );
WR_CMD_ADDR(2) <= ( others => '0' );
WR_ADDR <= conv_std_logic_vector(3,19);
WR_EN <= ( others => '0' );
WR_COUNT(0) <= ( others => '0' );
WR_COUNT(1) <= ( others => '0' );
WR_COUNT(2) <= ( others => '0' );
WR_PORT <= ( others => '0' );
WR_ADDR2 <= ( others => '0' );
RD_ADDR2_BAK1 <= ( others => '0' );
RD_ADDR2_BAK2 <= ( others => '0' );
-- CLK
elsif CLK'event and CLK = '1'
then
WR_CMD_EN <= ( others => '0' );
WR_EN <= ( others => '0' );
WR_CMD_ADDR(conv_integer(WR_PORT))(26 downto 8) <= WR_ADDR;
if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(64,7) )
then
-- FF flag = 1
if ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
then
WR_CMD_EN(conv_integer(WR_PORT)) <= '1';
WR_COUNT(conv_integer(WR_PORT)) <= ( others => '0' );
if WR_PORT = "10"
then
WR_PORT <= "00";
else
WR_PORT <= WR_PORT + 1;
end if;
WR_ADDR <= WR_ADDR + 1;
WR_ADDR2 <= WR_ADDR2 + 1;
end if;
elsif ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(0,7)) and (WR_EMPTY(conv_integer(WR_PORT)) = '0' ) -- write port fifo not empty
then
-- FF flag = 1
else
WR_EN(conv_integer(WR_PORT)) <= '1';
WR_DATA(31) <= '1';
WR_DATA(15) <= '0';
if PC0 = '1'
then
WR_DATA(30 downto 16) <= GEN_PATTERN(29 downto 15);
WR_DATA(14 downto 0) <= GEN_PATTERN(14 downto 0);
else
WR_DATA(30 downto 16) <= GEN_CNT(29 downto 15);
WR_DATA(14 downto 0) <= GEN_CNT(14 downto 0);
end if;
GEN_CNT <= GEN_CNT + 1;
GEN_PATTERN(29) <= GEN_PATTERN(0);
GEN_PATTERN(28 downto 0) <= GEN_PATTERN(29 downto 1);
-- if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(63,7) ) and ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
-- Add code from above here. This saves one clock cylcle and is required for uninterrupred input.
-- then
-- else
WR_COUNT(conv_integer(WR_PORT)) <= WR_COUNT(conv_integer(WR_PORT)) + 1;
-- end if;
end if;
RD_ADDR2_BAK1 <= RD_ADDR2;
RD_ADDR2_BAK2 <= RD_ADDR2_BAK1;
end if;
end process dpCLK;
dpIFCLK: process (IFCLK, RESET)
begin
-- reset
if RESET = '1'
then
FIFO_WORD <= '0';
SLWR <= '1';
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(0) <= ( others => '0' );
RD_CMD_ADDR(1) <= ( others => '0' );
RD_CMD_ADDR(2) <= ( others => '0' );
RD_ADDR <= conv_std_logic_vector(3,19);
RD_EN <= ( others => '0' );
RD_COUNT(0) <= conv_std_logic_vector(64,7);
RD_COUNT(1) <= conv_std_logic_vector(64,7);
RD_COUNT(2) <= conv_std_logic_vector(64,7);
RD_PORT <= ( others => '0' );
RD_ADDR2 <= ( others => '0' );
WR_ADDR2_BAK1 <= ( others => '0' );
WR_ADDR2_BAK2 <= ( others => '0' );
RD_STOP <= '1';
-- IFCLK
elsif IFCLK'event and IFCLK = '1'
then
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(conv_integer(RD_PORT))(26 downto 8) <= RD_ADDR;
RD_EN(conv_integer(RD_PORT)) <= '0';
if FLAGB = '1'
then
if ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) or ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) )
then
SLWR <= '1';
if ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) ) and ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) and ( WR_ADDR2_BAK2 = WR_ADDR2_BAK1 ) and ( WR_ADDR2_BAK2 /= RD_ADDR ) and ( RD_STOP = '0' )
then
RD_CMD_EN(conv_integer(RD_PORT)) <= '1';
RD_COUNT(conv_integer(RD_PORT)) <= ( others => '0' );
if RD_PORT = "10"
then
RD_PORT <= "00";
else
RD_PORT <= RD_PORT + 1;
end if;
RD_ADDR <= RD_ADDR + 1;
RD_ADDR2 <= RD_ADDR2 + 1;
end if;
else
SLWR <= '0';
if FIFO_WORD = '0'
then
FD(15 downto 0) <= RD_DATA(conv_integer(RD_PORT))(15 downto 0);
FD_TMP <= RD_DATA(conv_integer(RD_PORT))(31 downto 16);
RD_EN(conv_integer(RD_PORT)) <= '1';
else
FD(15 downto 0) <= FD_TMP;
RD_COUNT(conv_integer(RD_PORT)) <= RD_COUNT(conv_integer(RD_PORT)) + 1;
end if;
FIFO_WORD <= not FIFO_WORD;
end if;
end if;
WR_ADDR2_BAK1 <= WR_ADDR2;
WR_ADDR2_BAK2 <= WR_ADDR2_BAK1;
if ( WR_ADDR2_BAK1 = WR_ADDR2_BAK2 ) and ( WR_ADDR2_BAK2(3) = '1')
then
RD_STOP <= '0';
end if;
end if;
end process dpIFCLK;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
Library UNISIM;
use UNISIM.vcomponents.all;
entity memtest is
port(
FXCLK : in std_logic;
RESET_IN : in std_logic;
IFCLK : in std_logic;
PC0 : in std_logic;
-- FX2 FIFO
FD : out std_logic_vector(15 downto 0);
SLOE : out std_logic;
SLRD : out std_logic;
SLWR : out std_logic;
FIFOADR0 : out std_logic;
FIFOADR1 : out std_logic;
PKTEND : out std_logic;
FLAGB : in std_logic;
-- DDR-SDRAM
mcb3_dram_dq : inout std_logic_vector(15 downto 0);
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_a : out std_logic_vector(12 downto 0);
mcb3_dram_ba : out std_logic_vector(2 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
-- mcb3_dram_odt : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic
);
end memtest;
architecture RTL of memtest is
component mem0
generic (
C3_P0_MASK_SIZE : integer := 4;
C3_P0_DATA_PORT_SIZE : integer := 32;
C3_P1_MASK_SIZE : integer := 4;
C3_P1_DATA_PORT_SIZE : integer := 32;
C3_MEMCLK_PERIOD : integer := 2500;
C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED";
C3_RST_ACT_LOW : integer := 0;
C3_CALIB_SOFT_IP : string := "FALSE";
C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
C3_NUM_DQ_PINS : integer := 16;
C3_MEM_ADDR_WIDTH : integer := 13;
C3_MEM_BANKADDR_WIDTH : integer := 3
);
port (
mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
-- mcb3_dram_odt : out std_logic;
c3_sys_clk : in std_logic;
c3_sys_rst_n : in std_logic;
c3_calib_done : out std_logic;
c3_clk0 : out std_logic;
c3_rst0 : out std_logic;
c3_p0_cmd_clk : in std_logic;
c3_p0_cmd_en : in std_logic;
c3_p0_cmd_instr : in std_logic_vector(2 downto 0);
c3_p0_cmd_bl : in std_logic_vector(5 downto 0);
c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p0_cmd_empty : out std_logic;
c3_p0_cmd_full : out std_logic;
c3_p0_wr_clk : in std_logic;
c3_p0_wr_en : in std_logic;
c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0);
c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_wr_full : out std_logic;
c3_p0_wr_empty : out std_logic;
c3_p0_wr_count : out std_logic_vector(6 downto 0);
c3_p0_wr_underrun : out std_logic;
c3_p0_wr_error : out std_logic;
c3_p0_rd_clk : in std_logic;
c3_p0_rd_en : in std_logic;
c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_rd_full : out std_logic;
c3_p0_rd_empty : out std_logic;
c3_p0_rd_count : out std_logic_vector(6 downto 0);
c3_p0_rd_overflow : out std_logic;
c3_p0_rd_error : out std_logic;
c3_p1_cmd_clk : in std_logic;
c3_p1_cmd_en : in std_logic;
c3_p1_cmd_instr : in std_logic_vector(2 downto 0);
c3_p1_cmd_bl : in std_logic_vector(5 downto 0);
c3_p1_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p1_cmd_empty : out std_logic;
c3_p1_cmd_full : out std_logic;
c3_p1_wr_clk : in std_logic;
c3_p1_wr_en : in std_logic;
c3_p1_wr_mask : in std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0);
c3_p1_wr_data : in std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_wr_full : out std_logic;
c3_p1_wr_empty : out std_logic;
c3_p1_wr_count : out std_logic_vector(6 downto 0);
c3_p1_wr_underrun : out std_logic;
c3_p1_wr_error : out std_logic;
c3_p1_rd_clk : in std_logic;
c3_p1_rd_en : in std_logic;
c3_p1_rd_data : out std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_rd_full : out std_logic;
c3_p1_rd_empty : out std_logic;
c3_p1_rd_count : out std_logic_vector(6 downto 0);
c3_p1_rd_overflow : out std_logic;
c3_p1_rd_error : out std_logic;
c3_p2_cmd_clk : in std_logic;
c3_p2_cmd_en : in std_logic;
c3_p2_cmd_instr : in std_logic_vector(2 downto 0);
c3_p2_cmd_bl : in std_logic_vector(5 downto 0);
c3_p2_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p2_cmd_empty : out std_logic;
c3_p2_cmd_full : out std_logic;
c3_p2_wr_clk : in std_logic;
c3_p2_wr_en : in std_logic;
c3_p2_wr_mask : in std_logic_vector(3 downto 0);
c3_p2_wr_data : in std_logic_vector(31 downto 0);
c3_p2_wr_full : out std_logic;
c3_p2_wr_empty : out std_logic;
c3_p2_wr_count : out std_logic_vector(6 downto 0);
c3_p2_wr_underrun : out std_logic;
c3_p2_wr_error : out std_logic;
c3_p3_cmd_clk : in std_logic;
c3_p3_cmd_en : in std_logic;
c3_p3_cmd_instr : in std_logic_vector(2 downto 0);
c3_p3_cmd_bl : in std_logic_vector(5 downto 0);
c3_p3_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p3_cmd_empty : out std_logic;
c3_p3_cmd_full : out std_logic;
c3_p3_rd_clk : in std_logic;
c3_p3_rd_en : in std_logic;
c3_p3_rd_data : out std_logic_vector(31 downto 0);
c3_p3_rd_full : out std_logic;
c3_p3_rd_empty : out std_logic;
c3_p3_rd_count : out std_logic_vector(6 downto 0);
c3_p3_rd_overflow : out std_logic;
c3_p3_rd_error : out std_logic;
c3_p4_cmd_clk : in std_logic;
c3_p4_cmd_en : in std_logic;
c3_p4_cmd_instr : in std_logic_vector(2 downto 0);
c3_p4_cmd_bl : in std_logic_vector(5 downto 0);
c3_p4_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p4_cmd_empty : out std_logic;
c3_p4_cmd_full : out std_logic;
c3_p4_wr_clk : in std_logic;
c3_p4_wr_en : in std_logic;
c3_p4_wr_mask : in std_logic_vector(3 downto 0);
c3_p4_wr_data : in std_logic_vector(31 downto 0);
c3_p4_wr_full : out std_logic;
c3_p4_wr_empty : out std_logic;
c3_p4_wr_count : out std_logic_vector(6 downto 0);
c3_p4_wr_underrun : out std_logic;
c3_p4_wr_error : out std_logic;
c3_p5_cmd_clk : in std_logic;
c3_p5_cmd_en : in std_logic;
c3_p5_cmd_instr : in std_logic_vector(2 downto 0);
c3_p5_cmd_bl : in std_logic_vector(5 downto 0);
c3_p5_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p5_cmd_empty : out std_logic;
c3_p5_cmd_full : out std_logic;
c3_p5_rd_clk : in std_logic;
c3_p5_rd_en : in std_logic;
c3_p5_rd_data : out std_logic_vector(31 downto 0);
c3_p5_rd_full : out std_logic;
c3_p5_rd_empty : out std_logic;
c3_p5_rd_count : out std_logic_vector(6 downto 0);
c3_p5_rd_overflow : out std_logic;
c3_p5_rd_error : out std_logic
);
end component;
--attribute optimize : string;
--attribute optimize of counters:entity is "off";
signal fxclk_buf : std_logic;
signal CLK : std_logic;
signal RESET0 : std_logic; -- released after dcm0 is ready
signal RESET : std_logic; -- released after MCB is ready
signal DCM0_LOCKED : std_logic;
--signal DCM0_CLK_VALID : std_logic;
----------------------------
-- test pattern generator --
----------------------------
signal GEN_CNT : std_logic_vector(29 downto 0);
signal GEN_PATTERN : std_logic_vector(29 downto 0);
signal FIFO_WORD : std_logic;
-----------------------
-- memory controller --
-----------------------
signal MEM_CLK : std_logic;
signal C3_CALIB_DONE : std_logic;
signal C3_RST0 : std_logic;
---------------
-- DRAM FIFO --
---------------
signal WR_CLK : std_logic;
signal WR_CMD_EN : std_logic_vector(2 downto 0);
type WR_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal WR_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal WR_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
signal WR_EN : std_logic_vector(2 downto 0);
signal WR_EN_TMP : std_logic_vector(2 downto 0);
signal WR_DATA : std_logic_vector(31 downto 0);
signal WR_EMPTY : std_logic_vector(2 downto 0);
signal WR_UNDERRUN : std_logic_vector(2 downto 0);
signal WR_ERROR : std_logic_vector(2 downto 0);
type WR_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal WR_COUNT : WR_COUNT_ARRAY;
signal WR_PORT : std_logic_vector(1 downto 0);
signal RD_CLK : std_logic;
signal RD_CMD_EN : std_logic_vector(2 downto 0);
type RD_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal RD_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal RD_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
signal RD_EN : std_logic_vector(2 downto 0);
type RD_DATA_ARRAY is array(2 downto 0) of std_logic_vector(31 downto 0);
signal RD_DATA : RD_DATA_ARRAY;
signal RD_EMPTY : std_logic_vector(2 downto 0);
signal RD_OVERFLOW : std_logic_vector(2 downto 0);
signal RD_ERROR : std_logic_vector(2 downto 0);
signal RD_PORT : std_logic_vector(1 downto 0);
type RD_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal RD_COUNT : RD_COUNT_ARRAY;
signal FD_TMP : std_logic_vector(15 downto 0);
signal RD_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing read
signal RD_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization
signal RD_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization
signal WR_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing written
signal WR_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization
signal WR_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization
signal RD_STOP : std_logic;
begin
clkin_buf : IBUFG
port map (
O => FXCLK_BUF,
I => FXCLK
);
dcm0 : DCM_CLKGEN
generic map (
-- CLKFX_DIVIDE => 6,
CLKFX_DIVIDE => 3,
-- CLKFX_MULTIPLY => 33,
CLKFX_MULTIPLY => 25,
CLKFXDV_DIVIDE => 8,
SPREAD_SPECTRUM => "NONE",
STARTUP_WAIT => FALSE,
CLKIN_PERIOD => 20.83333,
CLKFX_MD_MAX => 0.000
)
port map (
CLKIN => FXCLK_BUF,
CLKFX => MEM_CLK,
CLKFX180 => open,
CLKFXDV => CLK,
LOCKED => DCM0_LOCKED,
PROGDONE => open,
STATUS => open,
FREEZEDCM => '0',
PROGCLK => '0',
PROGDATA => '0',
PROGEN => '0',
RST => '0'
);
inst_mem0 : mem0 port map (
mcb3_dram_dq => mcb3_dram_dq,
mcb3_dram_a => mcb3_dram_a,
mcb3_dram_ba => mcb3_dram_ba,
mcb3_dram_ras_n => mcb3_dram_ras_n,
mcb3_dram_cas_n => mcb3_dram_cas_n,
mcb3_dram_we_n => mcb3_dram_we_n,
-- mcb3_dram_odt => mcb3_dram_odt,
mcb3_dram_cke => mcb3_dram_cke,
mcb3_dram_ck => mcb3_dram_ck,
mcb3_dram_ck_n => mcb3_dram_ck_n,
mcb3_dram_dqs => mcb3_dram_dqs,
mcb3_dram_dqs_n => mcb3_dram_dqs_n,
mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts
mcb3_dram_udqs_n=> mcb3_dram_udqs_n, -- for X16 parts
mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts
mcb3_dram_dm => mcb3_dram_dm,
mcb3_rzq => mcb3_rzq,
mcb3_zio => mcb3_zio,
c3_sys_clk => MEM_CLK,
c3_sys_rst_n => RESET0,
c3_clk0 => open,
c3_rst0 => C3_RST0,
c3_calib_done => C3_CALIB_DONE,
c3_p0_cmd_clk => WR_CLK,
c3_p0_cmd_en => WR_CMD_EN(0),
c3_p0_cmd_instr => "000",
c3_p0_cmd_bl => ( others => '1' ),
c3_p0_cmd_byte_addr => WR_CMD_ADDR(0),
c3_p0_cmd_empty => open,
c3_p0_cmd_full => open,
c3_p0_wr_clk => WR_CLK,
c3_p0_wr_en => WR_EN(0),
c3_p0_wr_mask => ( others => '0' ),
c3_p0_wr_data => WR_DATA,
c3_p0_wr_full => open,
c3_p0_wr_empty => WR_EMPTY(0),
c3_p0_wr_count => open,
c3_p0_wr_underrun => WR_UNDERRUN(0),
c3_p0_wr_error => WR_ERROR(0),
c3_p0_rd_clk => WR_CLK,
c3_p0_rd_en => '0',
c3_p0_rd_data => open,
c3_p0_rd_full => open,
c3_p0_rd_empty => open,
c3_p0_rd_count => open,
c3_p0_rd_overflow => open,
c3_p0_rd_error => open,
c3_p2_cmd_clk => WR_CLK,
c3_p2_cmd_en => WR_CMD_EN(1),
c3_p2_cmd_instr => "000",
c3_p2_cmd_bl => ( others => '1' ),
c3_p2_cmd_byte_addr => WR_CMD_ADDR(1),
c3_p2_cmd_empty => open,
c3_p2_cmd_full => open,
c3_p2_wr_clk => WR_CLK,
c3_p2_wr_en => WR_EN(1),
c3_p2_wr_mask => ( others => '0' ),
c3_p2_wr_data => WR_DATA,
c3_p2_wr_full => open,
c3_p2_wr_empty => WR_EMPTY(1),
c3_p2_wr_count => open,
c3_p2_wr_underrun => WR_UNDERRUN(1),
c3_p2_wr_error => WR_ERROR(1),
c3_p4_cmd_clk => WR_CLK,
c3_p4_cmd_en => WR_CMD_EN(2),
c3_p4_cmd_instr => "000",
c3_p4_cmd_bl => ( others => '1' ),
c3_p4_cmd_byte_addr => WR_CMD_ADDR(2),
c3_p4_cmd_empty => open,
c3_p4_cmd_full => open,
c3_p4_wr_clk => WR_CLK,
c3_p4_wr_en => WR_EN(2),
c3_p4_wr_mask => ( others => '0' ),
c3_p4_wr_data => WR_DATA,
c3_p4_wr_full => open,
c3_p4_wr_empty => WR_EMPTY(2),
c3_p4_wr_count => open,
c3_p4_wr_underrun => WR_UNDERRUN(2),
c3_p4_wr_error => WR_ERROR(2),
c3_p1_cmd_clk => RD_CLK,
c3_p1_cmd_en => RD_CMD_EN(0),
c3_p1_cmd_instr => "001",
c3_p1_cmd_bl => ( others => '1' ),
c3_p1_cmd_byte_addr => RD_CMD_ADDR(0),
c3_p1_cmd_empty => open,
c3_p1_cmd_full => open,
c3_p1_wr_clk => RD_CLK,
c3_p1_wr_en => '0',
c3_p1_wr_mask => ( others => '0' ),
c3_p1_wr_data => ( others => '0' ),
c3_p1_wr_full => open,
c3_p1_wr_empty => open,
c3_p1_wr_count => open,
c3_p1_wr_underrun => open,
c3_p1_wr_error => open,
c3_p1_rd_clk => RD_CLK,
c3_p1_rd_en => RD_EN(0),
c3_p1_rd_data => RD_DATA(0),
c3_p1_rd_full => open,
c3_p1_rd_empty => RD_EMPTY(0),
c3_p1_rd_count => open,
c3_p1_rd_overflow => RD_OVERFLOW(0),
c3_p1_rd_error => RD_ERROR(0),
c3_p3_cmd_clk => RD_CLK,
c3_p3_cmd_en => RD_CMD_EN(1),
c3_p3_cmd_instr => "001",
c3_p3_cmd_bl => ( others => '1' ),
c3_p3_cmd_byte_addr => RD_CMD_ADDR(1),
c3_p3_cmd_empty => open,
c3_p3_cmd_full => open,
c3_p3_rd_clk => RD_CLK,
c3_p3_rd_en => RD_EN(1),
c3_p3_rd_data => RD_DATA(1),
c3_p3_rd_full => open,
c3_p3_rd_empty => RD_EMPTY(1),
c3_p3_rd_count => open,
c3_p3_rd_overflow => RD_OVERFLOW(1),
c3_p3_rd_error => RD_ERROR(1),
c3_p5_cmd_clk => RD_CLK,
c3_p5_cmd_en => RD_CMD_EN(2),
c3_p5_cmd_instr => "001",
c3_p5_cmd_bl => ( others => '1' ),
c3_p5_cmd_byte_addr => RD_CMD_ADDR(2),
c3_p5_cmd_empty => open,
c3_p5_cmd_full => open,
c3_p5_rd_clk => RD_CLK,
c3_p5_rd_en => RD_EN(2),
c3_p5_rd_data => RD_DATA(2),
c3_p5_rd_full => open,
c3_p5_rd_empty => RD_EMPTY(2),
c3_p5_rd_count => open,
c3_p5_rd_overflow => RD_OVERFLOW(2),
c3_p5_rd_error => RD_ERROR(2)
);
SLOE <= '1';
SLRD <= '1';
FIFOADR0 <= '0';
FIFOADR1 <= '0';
PKTEND <= '1';
WR_CLK <= CLK;
RD_CLK <= IFCLK;
-- DCM0_CLK_VALID <= ( DCM0_LOCKED and ( not status_internal(2) ) );
-- RESET0 <= RESET_IN or (not DCM0_LOCKED) or (not DCM0_CLK_VALID);
RESET0 <= RESET_IN or (not DCM0_LOCKED);
RESET <= RESET0 or (not C3_CALIB_DONE) or C3_RST0;
dpCLK: process (CLK, RESET)
begin
-- reset
if RESET = '1'
then
GEN_CNT <= ( others => '0' );
GEN_PATTERN <= "100101010101010101010101010101";
WR_CMD_EN <= ( others => '0' );
WR_CMD_ADDR(0) <= ( others => '0' );
WR_CMD_ADDR(1) <= ( others => '0' );
WR_CMD_ADDR(2) <= ( others => '0' );
WR_ADDR <= conv_std_logic_vector(3,19);
WR_EN <= ( others => '0' );
WR_COUNT(0) <= ( others => '0' );
WR_COUNT(1) <= ( others => '0' );
WR_COUNT(2) <= ( others => '0' );
WR_PORT <= ( others => '0' );
WR_ADDR2 <= ( others => '0' );
RD_ADDR2_BAK1 <= ( others => '0' );
RD_ADDR2_BAK2 <= ( others => '0' );
-- CLK
elsif CLK'event and CLK = '1'
then
WR_CMD_EN <= ( others => '0' );
WR_EN <= ( others => '0' );
WR_CMD_ADDR(conv_integer(WR_PORT))(26 downto 8) <= WR_ADDR;
if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(64,7) )
then
-- FF flag = 1
if ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
then
WR_CMD_EN(conv_integer(WR_PORT)) <= '1';
WR_COUNT(conv_integer(WR_PORT)) <= ( others => '0' );
if WR_PORT = "10"
then
WR_PORT <= "00";
else
WR_PORT <= WR_PORT + 1;
end if;
WR_ADDR <= WR_ADDR + 1;
WR_ADDR2 <= WR_ADDR2 + 1;
end if;
elsif ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(0,7)) and (WR_EMPTY(conv_integer(WR_PORT)) = '0' ) -- write port fifo not empty
then
-- FF flag = 1
else
WR_EN(conv_integer(WR_PORT)) <= '1';
WR_DATA(31) <= '1';
WR_DATA(15) <= '0';
if PC0 = '1'
then
WR_DATA(30 downto 16) <= GEN_PATTERN(29 downto 15);
WR_DATA(14 downto 0) <= GEN_PATTERN(14 downto 0);
else
WR_DATA(30 downto 16) <= GEN_CNT(29 downto 15);
WR_DATA(14 downto 0) <= GEN_CNT(14 downto 0);
end if;
GEN_CNT <= GEN_CNT + 1;
GEN_PATTERN(29) <= GEN_PATTERN(0);
GEN_PATTERN(28 downto 0) <= GEN_PATTERN(29 downto 1);
-- if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(63,7) ) and ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
-- Add code from above here. This saves one clock cylcle and is required for uninterrupred input.
-- then
-- else
WR_COUNT(conv_integer(WR_PORT)) <= WR_COUNT(conv_integer(WR_PORT)) + 1;
-- end if;
end if;
RD_ADDR2_BAK1 <= RD_ADDR2;
RD_ADDR2_BAK2 <= RD_ADDR2_BAK1;
end if;
end process dpCLK;
dpIFCLK: process (IFCLK, RESET)
begin
-- reset
if RESET = '1'
then
FIFO_WORD <= '0';
SLWR <= '1';
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(0) <= ( others => '0' );
RD_CMD_ADDR(1) <= ( others => '0' );
RD_CMD_ADDR(2) <= ( others => '0' );
RD_ADDR <= conv_std_logic_vector(3,19);
RD_EN <= ( others => '0' );
RD_COUNT(0) <= conv_std_logic_vector(64,7);
RD_COUNT(1) <= conv_std_logic_vector(64,7);
RD_COUNT(2) <= conv_std_logic_vector(64,7);
RD_PORT <= ( others => '0' );
RD_ADDR2 <= ( others => '0' );
WR_ADDR2_BAK1 <= ( others => '0' );
WR_ADDR2_BAK2 <= ( others => '0' );
RD_STOP <= '1';
-- IFCLK
elsif IFCLK'event and IFCLK = '1'
then
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(conv_integer(RD_PORT))(26 downto 8) <= RD_ADDR;
RD_EN(conv_integer(RD_PORT)) <= '0';
if FLAGB = '1'
then
if ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) or ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) )
then
SLWR <= '1';
if ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) ) and ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) and ( WR_ADDR2_BAK2 = WR_ADDR2_BAK1 ) and ( WR_ADDR2_BAK2 /= RD_ADDR ) and ( RD_STOP = '0' )
then
RD_CMD_EN(conv_integer(RD_PORT)) <= '1';
RD_COUNT(conv_integer(RD_PORT)) <= ( others => '0' );
if RD_PORT = "10"
then
RD_PORT <= "00";
else
RD_PORT <= RD_PORT + 1;
end if;
RD_ADDR <= RD_ADDR + 1;
RD_ADDR2 <= RD_ADDR2 + 1;
end if;
else
SLWR <= '0';
if FIFO_WORD = '0'
then
FD(15 downto 0) <= RD_DATA(conv_integer(RD_PORT))(15 downto 0);
FD_TMP <= RD_DATA(conv_integer(RD_PORT))(31 downto 16);
RD_EN(conv_integer(RD_PORT)) <= '1';
else
FD(15 downto 0) <= FD_TMP;
RD_COUNT(conv_integer(RD_PORT)) <= RD_COUNT(conv_integer(RD_PORT)) + 1;
end if;
FIFO_WORD <= not FIFO_WORD;
end if;
end if;
WR_ADDR2_BAK1 <= WR_ADDR2;
WR_ADDR2_BAK2 <= WR_ADDR2_BAK1;
if ( WR_ADDR2_BAK1 = WR_ADDR2_BAK2 ) and ( WR_ADDR2_BAK2(3) = '1')
then
RD_STOP <= '0';
end if;
end if;
end process dpIFCLK;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
Library UNISIM;
use UNISIM.vcomponents.all;
entity memtest is
port(
FXCLK : in std_logic;
RESET_IN : in std_logic;
IFCLK : in std_logic;
PC0 : in std_logic;
-- FX2 FIFO
FD : out std_logic_vector(15 downto 0);
SLOE : out std_logic;
SLRD : out std_logic;
SLWR : out std_logic;
FIFOADR0 : out std_logic;
FIFOADR1 : out std_logic;
PKTEND : out std_logic;
FLAGB : in std_logic;
-- DDR-SDRAM
mcb3_dram_dq : inout std_logic_vector(15 downto 0);
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_a : out std_logic_vector(12 downto 0);
mcb3_dram_ba : out std_logic_vector(2 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
-- mcb3_dram_odt : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic
);
end memtest;
architecture RTL of memtest is
component mem0
generic (
C3_P0_MASK_SIZE : integer := 4;
C3_P0_DATA_PORT_SIZE : integer := 32;
C3_P1_MASK_SIZE : integer := 4;
C3_P1_DATA_PORT_SIZE : integer := 32;
C3_MEMCLK_PERIOD : integer := 2500;
C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED";
C3_RST_ACT_LOW : integer := 0;
C3_CALIB_SOFT_IP : string := "FALSE";
C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
C3_NUM_DQ_PINS : integer := 16;
C3_MEM_ADDR_WIDTH : integer := 13;
C3_MEM_BANKADDR_WIDTH : integer := 3
);
port (
mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
-- mcb3_dram_odt : out std_logic;
c3_sys_clk : in std_logic;
c3_sys_rst_n : in std_logic;
c3_calib_done : out std_logic;
c3_clk0 : out std_logic;
c3_rst0 : out std_logic;
c3_p0_cmd_clk : in std_logic;
c3_p0_cmd_en : in std_logic;
c3_p0_cmd_instr : in std_logic_vector(2 downto 0);
c3_p0_cmd_bl : in std_logic_vector(5 downto 0);
c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p0_cmd_empty : out std_logic;
c3_p0_cmd_full : out std_logic;
c3_p0_wr_clk : in std_logic;
c3_p0_wr_en : in std_logic;
c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0);
c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_wr_full : out std_logic;
c3_p0_wr_empty : out std_logic;
c3_p0_wr_count : out std_logic_vector(6 downto 0);
c3_p0_wr_underrun : out std_logic;
c3_p0_wr_error : out std_logic;
c3_p0_rd_clk : in std_logic;
c3_p0_rd_en : in std_logic;
c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_rd_full : out std_logic;
c3_p0_rd_empty : out std_logic;
c3_p0_rd_count : out std_logic_vector(6 downto 0);
c3_p0_rd_overflow : out std_logic;
c3_p0_rd_error : out std_logic;
c3_p1_cmd_clk : in std_logic;
c3_p1_cmd_en : in std_logic;
c3_p1_cmd_instr : in std_logic_vector(2 downto 0);
c3_p1_cmd_bl : in std_logic_vector(5 downto 0);
c3_p1_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p1_cmd_empty : out std_logic;
c3_p1_cmd_full : out std_logic;
c3_p1_wr_clk : in std_logic;
c3_p1_wr_en : in std_logic;
c3_p1_wr_mask : in std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0);
c3_p1_wr_data : in std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_wr_full : out std_logic;
c3_p1_wr_empty : out std_logic;
c3_p1_wr_count : out std_logic_vector(6 downto 0);
c3_p1_wr_underrun : out std_logic;
c3_p1_wr_error : out std_logic;
c3_p1_rd_clk : in std_logic;
c3_p1_rd_en : in std_logic;
c3_p1_rd_data : out std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_rd_full : out std_logic;
c3_p1_rd_empty : out std_logic;
c3_p1_rd_count : out std_logic_vector(6 downto 0);
c3_p1_rd_overflow : out std_logic;
c3_p1_rd_error : out std_logic;
c3_p2_cmd_clk : in std_logic;
c3_p2_cmd_en : in std_logic;
c3_p2_cmd_instr : in std_logic_vector(2 downto 0);
c3_p2_cmd_bl : in std_logic_vector(5 downto 0);
c3_p2_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p2_cmd_empty : out std_logic;
c3_p2_cmd_full : out std_logic;
c3_p2_wr_clk : in std_logic;
c3_p2_wr_en : in std_logic;
c3_p2_wr_mask : in std_logic_vector(3 downto 0);
c3_p2_wr_data : in std_logic_vector(31 downto 0);
c3_p2_wr_full : out std_logic;
c3_p2_wr_empty : out std_logic;
c3_p2_wr_count : out std_logic_vector(6 downto 0);
c3_p2_wr_underrun : out std_logic;
c3_p2_wr_error : out std_logic;
c3_p3_cmd_clk : in std_logic;
c3_p3_cmd_en : in std_logic;
c3_p3_cmd_instr : in std_logic_vector(2 downto 0);
c3_p3_cmd_bl : in std_logic_vector(5 downto 0);
c3_p3_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p3_cmd_empty : out std_logic;
c3_p3_cmd_full : out std_logic;
c3_p3_rd_clk : in std_logic;
c3_p3_rd_en : in std_logic;
c3_p3_rd_data : out std_logic_vector(31 downto 0);
c3_p3_rd_full : out std_logic;
c3_p3_rd_empty : out std_logic;
c3_p3_rd_count : out std_logic_vector(6 downto 0);
c3_p3_rd_overflow : out std_logic;
c3_p3_rd_error : out std_logic;
c3_p4_cmd_clk : in std_logic;
c3_p4_cmd_en : in std_logic;
c3_p4_cmd_instr : in std_logic_vector(2 downto 0);
c3_p4_cmd_bl : in std_logic_vector(5 downto 0);
c3_p4_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p4_cmd_empty : out std_logic;
c3_p4_cmd_full : out std_logic;
c3_p4_wr_clk : in std_logic;
c3_p4_wr_en : in std_logic;
c3_p4_wr_mask : in std_logic_vector(3 downto 0);
c3_p4_wr_data : in std_logic_vector(31 downto 0);
c3_p4_wr_full : out std_logic;
c3_p4_wr_empty : out std_logic;
c3_p4_wr_count : out std_logic_vector(6 downto 0);
c3_p4_wr_underrun : out std_logic;
c3_p4_wr_error : out std_logic;
c3_p5_cmd_clk : in std_logic;
c3_p5_cmd_en : in std_logic;
c3_p5_cmd_instr : in std_logic_vector(2 downto 0);
c3_p5_cmd_bl : in std_logic_vector(5 downto 0);
c3_p5_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p5_cmd_empty : out std_logic;
c3_p5_cmd_full : out std_logic;
c3_p5_rd_clk : in std_logic;
c3_p5_rd_en : in std_logic;
c3_p5_rd_data : out std_logic_vector(31 downto 0);
c3_p5_rd_full : out std_logic;
c3_p5_rd_empty : out std_logic;
c3_p5_rd_count : out std_logic_vector(6 downto 0);
c3_p5_rd_overflow : out std_logic;
c3_p5_rd_error : out std_logic
);
end component;
--attribute optimize : string;
--attribute optimize of counters:entity is "off";
signal fxclk_buf : std_logic;
signal CLK : std_logic;
signal RESET0 : std_logic; -- released after dcm0 is ready
signal RESET : std_logic; -- released after MCB is ready
signal DCM0_LOCKED : std_logic;
--signal DCM0_CLK_VALID : std_logic;
----------------------------
-- test pattern generator --
----------------------------
signal GEN_CNT : std_logic_vector(29 downto 0);
signal GEN_PATTERN : std_logic_vector(29 downto 0);
signal FIFO_WORD : std_logic;
-----------------------
-- memory controller --
-----------------------
signal MEM_CLK : std_logic;
signal C3_CALIB_DONE : std_logic;
signal C3_RST0 : std_logic;
---------------
-- DRAM FIFO --
---------------
signal WR_CLK : std_logic;
signal WR_CMD_EN : std_logic_vector(2 downto 0);
type WR_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal WR_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal WR_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
signal WR_EN : std_logic_vector(2 downto 0);
signal WR_EN_TMP : std_logic_vector(2 downto 0);
signal WR_DATA : std_logic_vector(31 downto 0);
signal WR_EMPTY : std_logic_vector(2 downto 0);
signal WR_UNDERRUN : std_logic_vector(2 downto 0);
signal WR_ERROR : std_logic_vector(2 downto 0);
type WR_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal WR_COUNT : WR_COUNT_ARRAY;
signal WR_PORT : std_logic_vector(1 downto 0);
signal RD_CLK : std_logic;
signal RD_CMD_EN : std_logic_vector(2 downto 0);
type RD_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal RD_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal RD_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
signal RD_EN : std_logic_vector(2 downto 0);
type RD_DATA_ARRAY is array(2 downto 0) of std_logic_vector(31 downto 0);
signal RD_DATA : RD_DATA_ARRAY;
signal RD_EMPTY : std_logic_vector(2 downto 0);
signal RD_OVERFLOW : std_logic_vector(2 downto 0);
signal RD_ERROR : std_logic_vector(2 downto 0);
signal RD_PORT : std_logic_vector(1 downto 0);
type RD_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal RD_COUNT : RD_COUNT_ARRAY;
signal FD_TMP : std_logic_vector(15 downto 0);
signal RD_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing read
signal RD_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization
signal RD_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization
signal WR_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing written
signal WR_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization
signal WR_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization
signal RD_STOP : std_logic;
begin
clkin_buf : IBUFG
port map (
O => FXCLK_BUF,
I => FXCLK
);
dcm0 : DCM_CLKGEN
generic map (
-- CLKFX_DIVIDE => 6,
CLKFX_DIVIDE => 3,
-- CLKFX_MULTIPLY => 33,
CLKFX_MULTIPLY => 25,
CLKFXDV_DIVIDE => 8,
SPREAD_SPECTRUM => "NONE",
STARTUP_WAIT => FALSE,
CLKIN_PERIOD => 20.83333,
CLKFX_MD_MAX => 0.000
)
port map (
CLKIN => FXCLK_BUF,
CLKFX => MEM_CLK,
CLKFX180 => open,
CLKFXDV => CLK,
LOCKED => DCM0_LOCKED,
PROGDONE => open,
STATUS => open,
FREEZEDCM => '0',
PROGCLK => '0',
PROGDATA => '0',
PROGEN => '0',
RST => '0'
);
inst_mem0 : mem0 port map (
mcb3_dram_dq => mcb3_dram_dq,
mcb3_dram_a => mcb3_dram_a,
mcb3_dram_ba => mcb3_dram_ba,
mcb3_dram_ras_n => mcb3_dram_ras_n,
mcb3_dram_cas_n => mcb3_dram_cas_n,
mcb3_dram_we_n => mcb3_dram_we_n,
-- mcb3_dram_odt => mcb3_dram_odt,
mcb3_dram_cke => mcb3_dram_cke,
mcb3_dram_ck => mcb3_dram_ck,
mcb3_dram_ck_n => mcb3_dram_ck_n,
mcb3_dram_dqs => mcb3_dram_dqs,
mcb3_dram_dqs_n => mcb3_dram_dqs_n,
mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts
mcb3_dram_udqs_n=> mcb3_dram_udqs_n, -- for X16 parts
mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts
mcb3_dram_dm => mcb3_dram_dm,
mcb3_rzq => mcb3_rzq,
mcb3_zio => mcb3_zio,
c3_sys_clk => MEM_CLK,
c3_sys_rst_n => RESET0,
c3_clk0 => open,
c3_rst0 => C3_RST0,
c3_calib_done => C3_CALIB_DONE,
c3_p0_cmd_clk => WR_CLK,
c3_p0_cmd_en => WR_CMD_EN(0),
c3_p0_cmd_instr => "000",
c3_p0_cmd_bl => ( others => '1' ),
c3_p0_cmd_byte_addr => WR_CMD_ADDR(0),
c3_p0_cmd_empty => open,
c3_p0_cmd_full => open,
c3_p0_wr_clk => WR_CLK,
c3_p0_wr_en => WR_EN(0),
c3_p0_wr_mask => ( others => '0' ),
c3_p0_wr_data => WR_DATA,
c3_p0_wr_full => open,
c3_p0_wr_empty => WR_EMPTY(0),
c3_p0_wr_count => open,
c3_p0_wr_underrun => WR_UNDERRUN(0),
c3_p0_wr_error => WR_ERROR(0),
c3_p0_rd_clk => WR_CLK,
c3_p0_rd_en => '0',
c3_p0_rd_data => open,
c3_p0_rd_full => open,
c3_p0_rd_empty => open,
c3_p0_rd_count => open,
c3_p0_rd_overflow => open,
c3_p0_rd_error => open,
c3_p2_cmd_clk => WR_CLK,
c3_p2_cmd_en => WR_CMD_EN(1),
c3_p2_cmd_instr => "000",
c3_p2_cmd_bl => ( others => '1' ),
c3_p2_cmd_byte_addr => WR_CMD_ADDR(1),
c3_p2_cmd_empty => open,
c3_p2_cmd_full => open,
c3_p2_wr_clk => WR_CLK,
c3_p2_wr_en => WR_EN(1),
c3_p2_wr_mask => ( others => '0' ),
c3_p2_wr_data => WR_DATA,
c3_p2_wr_full => open,
c3_p2_wr_empty => WR_EMPTY(1),
c3_p2_wr_count => open,
c3_p2_wr_underrun => WR_UNDERRUN(1),
c3_p2_wr_error => WR_ERROR(1),
c3_p4_cmd_clk => WR_CLK,
c3_p4_cmd_en => WR_CMD_EN(2),
c3_p4_cmd_instr => "000",
c3_p4_cmd_bl => ( others => '1' ),
c3_p4_cmd_byte_addr => WR_CMD_ADDR(2),
c3_p4_cmd_empty => open,
c3_p4_cmd_full => open,
c3_p4_wr_clk => WR_CLK,
c3_p4_wr_en => WR_EN(2),
c3_p4_wr_mask => ( others => '0' ),
c3_p4_wr_data => WR_DATA,
c3_p4_wr_full => open,
c3_p4_wr_empty => WR_EMPTY(2),
c3_p4_wr_count => open,
c3_p4_wr_underrun => WR_UNDERRUN(2),
c3_p4_wr_error => WR_ERROR(2),
c3_p1_cmd_clk => RD_CLK,
c3_p1_cmd_en => RD_CMD_EN(0),
c3_p1_cmd_instr => "001",
c3_p1_cmd_bl => ( others => '1' ),
c3_p1_cmd_byte_addr => RD_CMD_ADDR(0),
c3_p1_cmd_empty => open,
c3_p1_cmd_full => open,
c3_p1_wr_clk => RD_CLK,
c3_p1_wr_en => '0',
c3_p1_wr_mask => ( others => '0' ),
c3_p1_wr_data => ( others => '0' ),
c3_p1_wr_full => open,
c3_p1_wr_empty => open,
c3_p1_wr_count => open,
c3_p1_wr_underrun => open,
c3_p1_wr_error => open,
c3_p1_rd_clk => RD_CLK,
c3_p1_rd_en => RD_EN(0),
c3_p1_rd_data => RD_DATA(0),
c3_p1_rd_full => open,
c3_p1_rd_empty => RD_EMPTY(0),
c3_p1_rd_count => open,
c3_p1_rd_overflow => RD_OVERFLOW(0),
c3_p1_rd_error => RD_ERROR(0),
c3_p3_cmd_clk => RD_CLK,
c3_p3_cmd_en => RD_CMD_EN(1),
c3_p3_cmd_instr => "001",
c3_p3_cmd_bl => ( others => '1' ),
c3_p3_cmd_byte_addr => RD_CMD_ADDR(1),
c3_p3_cmd_empty => open,
c3_p3_cmd_full => open,
c3_p3_rd_clk => RD_CLK,
c3_p3_rd_en => RD_EN(1),
c3_p3_rd_data => RD_DATA(1),
c3_p3_rd_full => open,
c3_p3_rd_empty => RD_EMPTY(1),
c3_p3_rd_count => open,
c3_p3_rd_overflow => RD_OVERFLOW(1),
c3_p3_rd_error => RD_ERROR(1),
c3_p5_cmd_clk => RD_CLK,
c3_p5_cmd_en => RD_CMD_EN(2),
c3_p5_cmd_instr => "001",
c3_p5_cmd_bl => ( others => '1' ),
c3_p5_cmd_byte_addr => RD_CMD_ADDR(2),
c3_p5_cmd_empty => open,
c3_p5_cmd_full => open,
c3_p5_rd_clk => RD_CLK,
c3_p5_rd_en => RD_EN(2),
c3_p5_rd_data => RD_DATA(2),
c3_p5_rd_full => open,
c3_p5_rd_empty => RD_EMPTY(2),
c3_p5_rd_count => open,
c3_p5_rd_overflow => RD_OVERFLOW(2),
c3_p5_rd_error => RD_ERROR(2)
);
SLOE <= '1';
SLRD <= '1';
FIFOADR0 <= '0';
FIFOADR1 <= '0';
PKTEND <= '1';
WR_CLK <= CLK;
RD_CLK <= IFCLK;
-- DCM0_CLK_VALID <= ( DCM0_LOCKED and ( not status_internal(2) ) );
-- RESET0 <= RESET_IN or (not DCM0_LOCKED) or (not DCM0_CLK_VALID);
RESET0 <= RESET_IN or (not DCM0_LOCKED);
RESET <= RESET0 or (not C3_CALIB_DONE) or C3_RST0;
dpCLK: process (CLK, RESET)
begin
-- reset
if RESET = '1'
then
GEN_CNT <= ( others => '0' );
GEN_PATTERN <= "100101010101010101010101010101";
WR_CMD_EN <= ( others => '0' );
WR_CMD_ADDR(0) <= ( others => '0' );
WR_CMD_ADDR(1) <= ( others => '0' );
WR_CMD_ADDR(2) <= ( others => '0' );
WR_ADDR <= conv_std_logic_vector(3,19);
WR_EN <= ( others => '0' );
WR_COUNT(0) <= ( others => '0' );
WR_COUNT(1) <= ( others => '0' );
WR_COUNT(2) <= ( others => '0' );
WR_PORT <= ( others => '0' );
WR_ADDR2 <= ( others => '0' );
RD_ADDR2_BAK1 <= ( others => '0' );
RD_ADDR2_BAK2 <= ( others => '0' );
-- CLK
elsif CLK'event and CLK = '1'
then
WR_CMD_EN <= ( others => '0' );
WR_EN <= ( others => '0' );
WR_CMD_ADDR(conv_integer(WR_PORT))(26 downto 8) <= WR_ADDR;
if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(64,7) )
then
-- FF flag = 1
if ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
then
WR_CMD_EN(conv_integer(WR_PORT)) <= '1';
WR_COUNT(conv_integer(WR_PORT)) <= ( others => '0' );
if WR_PORT = "10"
then
WR_PORT <= "00";
else
WR_PORT <= WR_PORT + 1;
end if;
WR_ADDR <= WR_ADDR + 1;
WR_ADDR2 <= WR_ADDR2 + 1;
end if;
elsif ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(0,7)) and (WR_EMPTY(conv_integer(WR_PORT)) = '0' ) -- write port fifo not empty
then
-- FF flag = 1
else
WR_EN(conv_integer(WR_PORT)) <= '1';
WR_DATA(31) <= '1';
WR_DATA(15) <= '0';
if PC0 = '1'
then
WR_DATA(30 downto 16) <= GEN_PATTERN(29 downto 15);
WR_DATA(14 downto 0) <= GEN_PATTERN(14 downto 0);
else
WR_DATA(30 downto 16) <= GEN_CNT(29 downto 15);
WR_DATA(14 downto 0) <= GEN_CNT(14 downto 0);
end if;
GEN_CNT <= GEN_CNT + 1;
GEN_PATTERN(29) <= GEN_PATTERN(0);
GEN_PATTERN(28 downto 0) <= GEN_PATTERN(29 downto 1);
-- if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(63,7) ) and ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
-- Add code from above here. This saves one clock cylcle and is required for uninterrupred input.
-- then
-- else
WR_COUNT(conv_integer(WR_PORT)) <= WR_COUNT(conv_integer(WR_PORT)) + 1;
-- end if;
end if;
RD_ADDR2_BAK1 <= RD_ADDR2;
RD_ADDR2_BAK2 <= RD_ADDR2_BAK1;
end if;
end process dpCLK;
dpIFCLK: process (IFCLK, RESET)
begin
-- reset
if RESET = '1'
then
FIFO_WORD <= '0';
SLWR <= '1';
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(0) <= ( others => '0' );
RD_CMD_ADDR(1) <= ( others => '0' );
RD_CMD_ADDR(2) <= ( others => '0' );
RD_ADDR <= conv_std_logic_vector(3,19);
RD_EN <= ( others => '0' );
RD_COUNT(0) <= conv_std_logic_vector(64,7);
RD_COUNT(1) <= conv_std_logic_vector(64,7);
RD_COUNT(2) <= conv_std_logic_vector(64,7);
RD_PORT <= ( others => '0' );
RD_ADDR2 <= ( others => '0' );
WR_ADDR2_BAK1 <= ( others => '0' );
WR_ADDR2_BAK2 <= ( others => '0' );
RD_STOP <= '1';
-- IFCLK
elsif IFCLK'event and IFCLK = '1'
then
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(conv_integer(RD_PORT))(26 downto 8) <= RD_ADDR;
RD_EN(conv_integer(RD_PORT)) <= '0';
if FLAGB = '1'
then
if ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) or ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) )
then
SLWR <= '1';
if ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) ) and ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) and ( WR_ADDR2_BAK2 = WR_ADDR2_BAK1 ) and ( WR_ADDR2_BAK2 /= RD_ADDR ) and ( RD_STOP = '0' )
then
RD_CMD_EN(conv_integer(RD_PORT)) <= '1';
RD_COUNT(conv_integer(RD_PORT)) <= ( others => '0' );
if RD_PORT = "10"
then
RD_PORT <= "00";
else
RD_PORT <= RD_PORT + 1;
end if;
RD_ADDR <= RD_ADDR + 1;
RD_ADDR2 <= RD_ADDR2 + 1;
end if;
else
SLWR <= '0';
if FIFO_WORD = '0'
then
FD(15 downto 0) <= RD_DATA(conv_integer(RD_PORT))(15 downto 0);
FD_TMP <= RD_DATA(conv_integer(RD_PORT))(31 downto 16);
RD_EN(conv_integer(RD_PORT)) <= '1';
else
FD(15 downto 0) <= FD_TMP;
RD_COUNT(conv_integer(RD_PORT)) <= RD_COUNT(conv_integer(RD_PORT)) + 1;
end if;
FIFO_WORD <= not FIFO_WORD;
end if;
end if;
WR_ADDR2_BAK1 <= WR_ADDR2;
WR_ADDR2_BAK2 <= WR_ADDR2_BAK1;
if ( WR_ADDR2_BAK1 = WR_ADDR2_BAK2 ) and ( WR_ADDR2_BAK2(3) = '1')
then
RD_STOP <= '0';
end if;
end if;
end process dpIFCLK;
end RTL;
|
package pkg is
constant const : natural := 0;
end package;
package pkg2 is
constant const : natural := 2;
end package;
entity tb_ent is
end entity;
architecture a of tb_ent is
use work.pkg.const;
begin
main : process
use work.pkg2.const;
begin
report integer'image(const); -- Should be an error as const is ambiguous
end process;
end architecture;
|
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version : 1.11
-- \ \ Application : Spartan-6 FPGA GTP Transceiver Wizard
-- / / Filename : GtpS6Tile.vhd
-- /___/ /\
-- \ \ / \
-- \___\/\___\
--
--
-- Module GtpS6Tile (a GTPA1_DUAL Tile Wrapper)
-- Generated by Xilinx Spartan-6 FPGA GTP Transceiver Wizard
--
--
-- (c) Copyright 2009 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
--***************************** Entity Declaration ****************************
entity GtpS6Tile is
generic
(
-- Simulation attributes
TILE_SIM_GTPRESET_SPEEDUP : integer := 0; -- Set to 1 to speed up sim reset
TILE_CLK25_DIVIDER_0 : integer := 5;
TILE_CLK25_DIVIDER_1 : integer := 5;
TILE_PLL_DIVSEL_FB_0 : integer := 2;
TILE_PLL_DIVSEL_FB_1 : integer := 2;
TILE_PLL_DIVSEL_REF_0 : integer := 1;
TILE_PLL_DIVSEL_REF_1 : integer := 1;
TILE_SIM_REFCLK0_SOURCE : bit_vector:= "000";
TILE_SIM_REFCLK1_SOURCE : bit_vector:= "000";
--
TILE_PLL_SOURCE_0 : string := "PLL0";
TILE_PLL_SOURCE_1 : string := "PLL1"
);
port
(
------------------------ Loopback and Powerdown Ports ----------------------
LOOPBACK0_IN : in std_logic_vector(2 downto 0);
LOOPBACK1_IN : in std_logic_vector(2 downto 0);
--------------------------------- PLL Ports --------------------------------
CLK00_IN : in std_logic;
CLK01_IN : in std_logic;
CLK10_IN : in std_logic;
CLK11_IN : in std_logic;
GCLK00_IN : in std_logic;
GCLK01_IN : in std_logic;
GCLK10_IN : in std_logic;
GCLK11_IN : in std_logic;
CLKINEAST0_IN : in std_logic;
CLKINEAST1_IN : in std_logic;
CLKINWEST0_IN : in std_logic;
CLKINWEST1_IN : in std_logic;
GTPRESET0_IN : in std_logic;
GTPRESET1_IN : in std_logic;
TXRESET0_IN : in std_logic;
TXRESET1_IN : in std_logic;
RXRESET0_IN : in std_logic;
RXRESET1_IN : in std_logic;
PLLLKDET0_OUT : out std_logic;
PLLLKDET1_OUT : out std_logic;
REFSELDYPLL0_IN : in std_logic_vector(2 downto 0);
REFSELDYPLL1_IN : in std_logic_vector(2 downto 0);
RESETDONE0_OUT : out std_logic;
RESETDONE1_OUT : out std_logic;
----------------------- Receive Ports - 8b10b Decoder ----------------------
RXCHARISCOMMA0_OUT : out std_logic_vector(1 downto 0);
RXCHARISCOMMA1_OUT : out std_logic_vector(1 downto 0);
RXCHARISK0_OUT : out std_logic_vector(1 downto 0);
RXCHARISK1_OUT : out std_logic_vector(1 downto 0);
RXDISPERR0_OUT : out std_logic_vector(1 downto 0);
RXDISPERR1_OUT : out std_logic_vector(1 downto 0);
RXNOTINTABLE0_OUT : out std_logic_vector(1 downto 0);
RXNOTINTABLE1_OUT : out std_logic_vector(1 downto 0);
RXRUNDISP0_OUT : out std_logic_vector(1 downto 0);
RXRUNDISP1_OUT : out std_logic_vector(1 downto 0);
--------------- Receive Ports - RX Buffer and Phase Alignment --------------
RXBUFRESET0_IN : in std_logic;
RXBUFRESET1_IN : in std_logic;
RXBUFSTATUS0_OUT : out std_logic_vector(2 downto 0);
RXBUFSTATUS1_OUT : out std_logic_vector(2 downto 0);
---------------------- Receive Ports - Clock Correction --------------------
RXCLKCORCNT0_OUT : out std_logic_vector(2 downto 0);
RXCLKCORCNT1_OUT : out std_logic_vector(2 downto 0);
--------------- Receive Ports - Comma Detection and Alignment --------------
RXBYTEISALIGNED0_OUT : out std_logic;
RXBYTEISALIGNED1_OUT : out std_logic;
RXENMCOMMAALIGN0_IN : in std_logic;
RXENMCOMMAALIGN1_IN : in std_logic;
RXENPCOMMAALIGN0_IN : in std_logic;
RXENPCOMMAALIGN1_IN : in std_logic;
------------------- Receive Ports - RX Data Path interface -----------------
RXDATA0_OUT : out std_logic_vector(15 downto 0);
RXDATA1_OUT : out std_logic_vector(15 downto 0);
RXUSRCLK0_IN : in std_logic;
RXUSRCLK1_IN : in std_logic;
RXUSRCLK20_IN : in std_logic;
RXUSRCLK21_IN : in std_logic;
------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
RXN0_IN : in std_logic;
RXN1_IN : in std_logic;
RXP0_IN : in std_logic;
RXP1_IN : in std_logic;
---------------------------- TX/RX Datapath Ports --------------------------
GTPCLKOUT0_OUT : out std_logic_vector(1 downto 0);
GTPCLKOUT1_OUT : out std_logic_vector(1 downto 0);
------------------- Transmit Ports - 8b10b Encoder Control -----------------
TXCHARDISPMODE0_IN : in std_logic_vector(1 downto 0);
TXCHARDISPMODE1_IN : in std_logic_vector(1 downto 0);
TXCHARDISPVAL0_IN : in std_logic_vector(1 downto 0);
TXCHARDISPVAL1_IN : in std_logic_vector(1 downto 0);
TXCHARISK0_IN : in std_logic_vector(1 downto 0);
TXCHARISK1_IN : in std_logic_vector(1 downto 0);
TXRUNDISP0_OUT : out std_logic_vector(1 downto 0);
TXRUNDISP1_OUT : out std_logic_vector(1 downto 0);
--------------- Transmit Ports - TX Buffer and Phase Alignment -------------
TXBUFSTATUS0_OUT : out std_logic_vector(1 downto 0);
TXBUFSTATUS1_OUT : out std_logic_vector(1 downto 0);
------------------ Transmit Ports - TX Data Path interface -----------------
TXDATA0_IN : in std_logic_vector(15 downto 0);
TXDATA1_IN : in std_logic_vector(15 downto 0);
TXOUTCLK0_OUT : out std_logic;
TXOUTCLK1_OUT : out std_logic;
TXUSRCLK0_IN : in std_logic;
TXUSRCLK1_IN : in std_logic;
TXUSRCLK20_IN : in std_logic;
TXUSRCLK21_IN : in std_logic;
--------------- Transmit Ports - TX Driver and OOB signalling --------------
TXN0_OUT : out std_logic;
TXN1_OUT : out std_logic;
TXP0_OUT : out std_logic;
TXP1_OUT : out std_logic
);
end GtpS6Tile;
architecture RTL of GtpS6Tile is
--**************************** Signal Declarations ****************************
-- ground and tied_to_vcc_i signals
signal tied_to_ground_i : std_logic;
signal tied_to_ground_vec_i : std_logic_vector(63 downto 0);
signal tied_to_vcc_i : std_logic;
signal tied_to_vcc_vec_i : std_logic_vector(63 downto 0);
-- RX Datapath signals
signal rxdata0_i : std_logic_vector(31 downto 0);
signal rxchariscomma0_float_i : std_logic_vector(1 downto 0);
signal rxcharisk0_float_i : std_logic_vector(1 downto 0);
signal rxdisperr0_float_i : std_logic_vector(1 downto 0);
signal rxnotintable0_float_i : std_logic_vector(1 downto 0);
signal rxrundisp0_float_i : std_logic_vector(1 downto 0);
-- TX Datapath signals
signal txdata0_i : std_logic_vector(31 downto 0);
signal txkerr0_float_i : std_logic_vector(1 downto 0);
signal txrundisp0_float_i : std_logic_vector(1 downto 0);
-- RX Datapath signals
signal rxdata1_i : std_logic_vector(31 downto 0);
signal rxchariscomma1_float_i : std_logic_vector(1 downto 0);
signal rxcharisk1_float_i : std_logic_vector(1 downto 0);
signal rxdisperr1_float_i : std_logic_vector(1 downto 0);
signal rxnotintable1_float_i : std_logic_vector(1 downto 0);
signal rxrundisp1_float_i : std_logic_vector(1 downto 0);
-- TX Datapath signals
signal txdata1_i : std_logic_vector(31 downto 0);
signal txkerr1_float_i : std_logic_vector(1 downto 0);
signal txrundisp1_float_i : std_logic_vector(1 downto 0);
--******************************** Main Body of Code***************************
begin
--------------------------- Static signal Assignments ---------------------
tied_to_ground_i <= '0';
tied_to_ground_vec_i <= (others => '0');
tied_to_vcc_i <= '1';
tied_to_vcc_vec_i <= (others => '1');
------------------- GTP Datapath byte mapping -----------------
-- The GTP provides little endian data (first byte received on RXDATA(7 downto 0))
RXDATA0_OUT <= rxdata0_i(15 downto 0);
txdata0_i <= (tied_to_ground_vec_i(15 downto 0) & TXDATA0_IN);
-- The GTP provides little endian data (first byte received on RXDATA(7 downto 0))
RXDATA1_OUT <= rxdata1_i(15 downto 0);
txdata1_i <= (tied_to_ground_vec_i(15 downto 0) & TXDATA1_IN);
----------------------------- GTPA1_DUAL Instance --------------------------
gtpa1_dual_i:GTPA1_DUAL
generic map
(
--_______________________ Simulation-Only Attributes ___________________
SIM_RECEIVER_DETECT_PASS => (TRUE),
SIM_TX_ELEC_IDLE_LEVEL => ("Z"),
SIM_VERSION => ("2.0"),
SIM_REFCLK0_SOURCE => (TILE_SIM_REFCLK0_SOURCE),
SIM_REFCLK1_SOURCE => (TILE_SIM_REFCLK1_SOURCE),
SIM_GTPRESET_SPEEDUP => (TILE_SIM_GTPRESET_SPEEDUP),
CLK25_DIVIDER_0 => (TILE_CLK25_DIVIDER_0),
CLK25_DIVIDER_1 => (TILE_CLK25_DIVIDER_1),
PLL_DIVSEL_FB_0 => (TILE_PLL_DIVSEL_FB_0),
PLL_DIVSEL_FB_1 => (TILE_PLL_DIVSEL_FB_1),
PLL_DIVSEL_REF_0 => (TILE_PLL_DIVSEL_REF_0),
PLL_DIVSEL_REF_1 => (TILE_PLL_DIVSEL_REF_1),
--PLL Attributes
CLKINDC_B_0 => (TRUE),
CLKRCV_TRST_0 => (TRUE),
OOB_CLK_DIVIDER_0 => (4),
PLL_COM_CFG_0 => (x"21680a"),
PLL_CP_CFG_0 => (x"00"),
PLL_RXDIVSEL_OUT_0 => (2),
PLL_SATA_0 => (FALSE),
PLL_SOURCE_0 => (TILE_PLL_SOURCE_0),
PLL_TXDIVSEL_OUT_0 => (2),
PLLLKDET_CFG_0 => ("111"),
--
CLKINDC_B_1 => (TRUE),
CLKRCV_TRST_1 => (TRUE),
OOB_CLK_DIVIDER_1 => (4),
PLL_COM_CFG_1 => (x"21680a"),
PLL_CP_CFG_1 => (x"00"),
PLL_RXDIVSEL_OUT_1 => (2),
PLL_SATA_1 => (FALSE),
PLL_SOURCE_1 => (TILE_PLL_SOURCE_1),
PLL_TXDIVSEL_OUT_1 => (2),
PLLLKDET_CFG_1 => ("111"),
PMA_COM_CFG_EAST => (x"000008000"),
PMA_COM_CFG_WEST => (x"00000a000"),
TST_ATTR_0 => (x"00000000"),
TST_ATTR_1 => (x"00000000"),
--TX Interface Attributes
CLK_OUT_GTP_SEL_0 => ("TXOUTCLK0"),
TX_TDCC_CFG_0 => ("00"),
CLK_OUT_GTP_SEL_1 => ("TXOUTCLK1"),
TX_TDCC_CFG_1 => ("00"),
--TX Buffer and Phase Alignment Attributes
PMA_TX_CFG_0 => (x"00082"),
TX_BUFFER_USE_0 => (TRUE),
TX_XCLK_SEL_0 => ("TXOUT"),
TXRX_INVERT_0 => ("011"),
PMA_TX_CFG_1 => (x"00082"),
TX_BUFFER_USE_1 => (TRUE),
TX_XCLK_SEL_1 => ("TXOUT"),
TXRX_INVERT_1 => ("011"),
--TX Driver and OOB signalling Attributes
CM_TRIM_0 => ("00"),
TX_IDLE_DELAY_0 => ("011"),
CM_TRIM_1 => ("00"),
TX_IDLE_DELAY_1 => ("011"),
--TX PIPE/SATA Attributes
COM_BURST_VAL_0 => ("1111"),
COM_BURST_VAL_1 => ("1111"),
--RX Driver,OOB signalling,Coupling and Eq,CDR Attributes
AC_CAP_DIS_0 => (TRUE),
OOBDETECT_THRESHOLD_0 => ("110"),
PMA_CDR_SCAN_0 => (x"6404040"),
PMA_RX_CFG_0 => (x"05ce049"),
PMA_RXSYNC_CFG_0 => (x"00"),
RCV_TERM_GND_0 => (FALSE),
RCV_TERM_VTTRX_0 => (FALSE),
RXEQ_CFG_0 => ("01111011"),
TERMINATION_CTRL_0 => ("10100"),
TERMINATION_OVRD_0 => (FALSE),
TX_DETECT_RX_CFG_0 => (x"1832"),
AC_CAP_DIS_1 => (TRUE),
OOBDETECT_THRESHOLD_1 => ("110"),
PMA_CDR_SCAN_1 => (x"6404040"),
PMA_RX_CFG_1 => (x"05ce049"),
PMA_RXSYNC_CFG_1 => (x"00"),
RCV_TERM_GND_1 => (FALSE),
RCV_TERM_VTTRX_1 => (FALSE),
RXEQ_CFG_1 => ("01111011"),
TERMINATION_CTRL_1 => ("10100"),
TERMINATION_OVRD_1 => (FALSE),
TX_DETECT_RX_CFG_1 => (x"1832"),
--PRBS Detection Attributes
RXPRBSERR_LOOPBACK_0 => ('0'),
RXPRBSERR_LOOPBACK_1 => ('0'),
--Comma Detection and Alignment Attributes
ALIGN_COMMA_WORD_0 => (2),
COMMA_10B_ENABLE_0 => ("0001111111"),
DEC_MCOMMA_DETECT_0 => (TRUE),
DEC_PCOMMA_DETECT_0 => (TRUE),
DEC_VALID_COMMA_ONLY_0 => (FALSE),
MCOMMA_10B_VALUE_0 => ("1010000011"),
MCOMMA_DETECT_0 => (TRUE),
PCOMMA_10B_VALUE_0 => ("0101111100"),
PCOMMA_DETECT_0 => (TRUE),
RX_SLIDE_MODE_0 => ("PCS"),
ALIGN_COMMA_WORD_1 => (2),
COMMA_10B_ENABLE_1 => ("0001111111"),
DEC_MCOMMA_DETECT_1 => (TRUE),
DEC_PCOMMA_DETECT_1 => (TRUE),
DEC_VALID_COMMA_ONLY_1 => (FALSE),
MCOMMA_10B_VALUE_1 => ("1010000011"),
MCOMMA_DETECT_1 => (TRUE),
PCOMMA_10B_VALUE_1 => ("0101111100"),
PCOMMA_DETECT_1 => (TRUE),
RX_SLIDE_MODE_1 => ("PCS"),
--RX Loss-of-sync State Machine Attributes
RX_LOS_INVALID_INCR_0 => (8),
RX_LOS_THRESHOLD_0 => (128),
RX_LOSS_OF_SYNC_FSM_0 => (FALSE),
RX_LOS_INVALID_INCR_1 => (8),
RX_LOS_THRESHOLD_1 => (128),
RX_LOSS_OF_SYNC_FSM_1 => (FALSE),
--RX Elastic Buffer and Phase alignment Attributes
RX_BUFFER_USE_0 => (TRUE),
RX_EN_IDLE_RESET_BUF_0 => (TRUE),
RX_IDLE_HI_CNT_0 => ("1000"),
RX_IDLE_LO_CNT_0 => ("0000"),
RX_XCLK_SEL_0 => ("RXREC"),
RX_BUFFER_USE_1 => (TRUE),
RX_EN_IDLE_RESET_BUF_1 => (TRUE),
RX_IDLE_HI_CNT_1 => ("1000"),
RX_IDLE_LO_CNT_1 => ("0000"),
RX_XCLK_SEL_1 => ("RXREC"),
--Clock Correction Attributes
CLK_COR_ADJ_LEN_0 => (2),
CLK_COR_DET_LEN_0 => (2),
CLK_COR_INSERT_IDLE_FLAG_0 => (FALSE),
CLK_COR_KEEP_IDLE_0 => (FALSE),
CLK_COR_MAX_LAT_0 => (18),
CLK_COR_MIN_LAT_0 => (16),
CLK_COR_PRECEDENCE_0 => (TRUE),
CLK_COR_REPEAT_WAIT_0 => (0),
CLK_COR_SEQ_1_1_0 => ("0110111100"),
CLK_COR_SEQ_1_2_0 => ("0001010000"),
CLK_COR_SEQ_1_3_0 => ("0000000000"),
CLK_COR_SEQ_1_4_0 => ("0000000000"),
CLK_COR_SEQ_1_ENABLE_0 => ("0011"),
CLK_COR_SEQ_2_1_0 => ("0110111100"),
CLK_COR_SEQ_2_2_0 => ("0010110101"),
CLK_COR_SEQ_2_3_0 => ("0000000000"),
CLK_COR_SEQ_2_4_0 => ("0000000000"),
CLK_COR_SEQ_2_ENABLE_0 => ("0011"),
CLK_COR_SEQ_2_USE_0 => (TRUE),
CLK_CORRECT_USE_0 => (TRUE),
RX_DECODE_SEQ_MATCH_0 => (TRUE),
CLK_COR_ADJ_LEN_1 => (2),
CLK_COR_DET_LEN_1 => (2),
CLK_COR_INSERT_IDLE_FLAG_1 => (FALSE),
CLK_COR_KEEP_IDLE_1 => (FALSE),
CLK_COR_MAX_LAT_1 => (18),
CLK_COR_MIN_LAT_1 => (16),
CLK_COR_PRECEDENCE_1 => (TRUE),
CLK_COR_REPEAT_WAIT_1 => (0),
CLK_COR_SEQ_1_1_1 => ("0110111100"),
CLK_COR_SEQ_1_2_1 => ("0001010000"),
CLK_COR_SEQ_1_3_1 => ("0000000000"),
CLK_COR_SEQ_1_4_1 => ("0000000000"),
CLK_COR_SEQ_1_ENABLE_1 => ("0011"),
CLK_COR_SEQ_2_1_1 => ("0110111100"),
CLK_COR_SEQ_2_2_1 => ("0010110101"),
CLK_COR_SEQ_2_3_1 => ("0000000000"),
CLK_COR_SEQ_2_4_1 => ("0000000000"),
CLK_COR_SEQ_2_ENABLE_1 => ("0011"),
CLK_COR_SEQ_2_USE_1 => (TRUE),
CLK_CORRECT_USE_1 => (TRUE),
RX_DECODE_SEQ_MATCH_1 => (TRUE),
--Channel Bonding Attributes
CHAN_BOND_1_MAX_SKEW_0 => (1),
CHAN_BOND_2_MAX_SKEW_0 => (1),
CHAN_BOND_KEEP_ALIGN_0 => (FALSE),
CHAN_BOND_SEQ_1_1_0 => ("0000000000"),
CHAN_BOND_SEQ_1_2_0 => ("0000000000"),
CHAN_BOND_SEQ_1_3_0 => ("0000000000"),
CHAN_BOND_SEQ_1_4_0 => ("0000000000"),
CHAN_BOND_SEQ_1_ENABLE_0 => ("0000"),
CHAN_BOND_SEQ_2_1_0 => ("0000000000"),
CHAN_BOND_SEQ_2_2_0 => ("0000000000"),
CHAN_BOND_SEQ_2_3_0 => ("0000000000"),
CHAN_BOND_SEQ_2_4_0 => ("0000000000"),
CHAN_BOND_SEQ_2_ENABLE_0 => ("0000"),
CHAN_BOND_SEQ_2_USE_0 => (FALSE),
CHAN_BOND_SEQ_LEN_0 => (1),
RX_EN_MODE_RESET_BUF_0 => (FALSE),
CHAN_BOND_1_MAX_SKEW_1 => (1),
CHAN_BOND_2_MAX_SKEW_1 => (1),
CHAN_BOND_KEEP_ALIGN_1 => (FALSE),
CHAN_BOND_SEQ_1_1_1 => ("0000000000"),
CHAN_BOND_SEQ_1_2_1 => ("0000000000"),
CHAN_BOND_SEQ_1_3_1 => ("0000000000"),
CHAN_BOND_SEQ_1_4_1 => ("0000000000"),
CHAN_BOND_SEQ_1_ENABLE_1 => ("0000"),
CHAN_BOND_SEQ_2_1_1 => ("0000000000"),
CHAN_BOND_SEQ_2_2_1 => ("0000000000"),
CHAN_BOND_SEQ_2_3_1 => ("0000000000"),
CHAN_BOND_SEQ_2_4_1 => ("0000000000"),
CHAN_BOND_SEQ_2_ENABLE_1 => ("0000"),
CHAN_BOND_SEQ_2_USE_1 => (FALSE),
CHAN_BOND_SEQ_LEN_1 => (1),
RX_EN_MODE_RESET_BUF_1 => (FALSE),
--RX PCI Express Attributes
CB2_INH_CC_PERIOD_0 => (8),
CDR_PH_ADJ_TIME_0 => ("01010"),
PCI_EXPRESS_MODE_0 => (FALSE),
RX_EN_IDLE_HOLD_CDR_0 => (TRUE),
RX_EN_IDLE_RESET_FR_0 => (TRUE),
RX_EN_IDLE_RESET_PH_0 => (TRUE),
RX_STATUS_FMT_0 => ("PCIE"),
TRANS_TIME_FROM_P2_0 => (x"03c"),
TRANS_TIME_NON_P2_0 => (x"19"),
TRANS_TIME_TO_P2_0 => (x"064"),
CB2_INH_CC_PERIOD_1 => (8),
CDR_PH_ADJ_TIME_1 => ("01010"),
PCI_EXPRESS_MODE_1 => (FALSE),
RX_EN_IDLE_HOLD_CDR_1 => (TRUE),
RX_EN_IDLE_RESET_FR_1 => (TRUE),
RX_EN_IDLE_RESET_PH_1 => (TRUE),
RX_STATUS_FMT_1 => ("PCIE"),
TRANS_TIME_FROM_P2_1 => (x"03c"),
TRANS_TIME_NON_P2_1 => (x"19"),
TRANS_TIME_TO_P2_1 => (x"064"),
--RX SATA Attributes
SATA_BURST_VAL_0 => ("100"),
SATA_IDLE_VAL_0 => ("100"),
SATA_MAX_BURST_0 => (9),
SATA_MAX_INIT_0 => (27),
SATA_MAX_WAKE_0 => (9),
SATA_MIN_BURST_0 => (5),
SATA_MIN_INIT_0 => (15),
SATA_MIN_WAKE_0 => (5),
SATA_BURST_VAL_1 => ("100"),
SATA_IDLE_VAL_1 => ("100"),
SATA_MAX_BURST_1 => (9),
SATA_MAX_INIT_1 => (27),
SATA_MAX_WAKE_1 => (9),
SATA_MIN_BURST_1 => (5),
SATA_MIN_INIT_1 => (15),
SATA_MIN_WAKE_1 => (5)
)
port map
(
------------------------ Loopback and Powerdown Ports ----------------------
LOOPBACK0 => LOOPBACK0_IN,
LOOPBACK1 => LOOPBACK1_IN,
RXPOWERDOWN0 => tied_to_ground_vec_i(1 downto 0),
RXPOWERDOWN1 => tied_to_ground_vec_i(1 downto 0),
TXPOWERDOWN0 => tied_to_ground_vec_i(1 downto 0),
TXPOWERDOWN1 => tied_to_ground_vec_i(1 downto 0),
--------------------------------- PLL Ports --------------------------------
CLK00 => CLK00_IN,
CLK01 => CLK01_IN,
CLK10 => CLK10_IN,
CLK11 => CLK11_IN,
CLKINEAST0 => CLKINEAST0_IN,
CLKINEAST1 => CLKINEAST1_IN,
CLKINWEST0 => CLKINWEST0_IN,
CLKINWEST1 => CLKINWEST1_IN,
GCLK00 => GCLK00_IN,
GCLK01 => GCLK01_IN,
GCLK10 => GCLK10_IN,
GCLK11 => GCLK11_IN,
GTPRESET0 => GTPRESET0_IN,
GTPRESET1 => GTPRESET1_IN,
GTPTEST0 => "00010000",
GTPTEST1 => "00010000",
INTDATAWIDTH0 => tied_to_vcc_i,
INTDATAWIDTH1 => tied_to_vcc_i,
PLLCLK00 => tied_to_ground_i,
PLLCLK01 => tied_to_ground_i,
PLLCLK10 => tied_to_ground_i,
PLLCLK11 => tied_to_ground_i,
PLLLKDET0 => PLLLKDET0_OUT,
PLLLKDET1 => PLLLKDET1_OUT,
PLLLKDETEN0 => tied_to_vcc_i,
PLLLKDETEN1 => tied_to_vcc_i,
PLLPOWERDOWN0 => tied_to_ground_i,
PLLPOWERDOWN1 => tied_to_ground_i,
REFCLKOUT0 => open,
REFCLKOUT1 => open,
REFCLKPLL0 => open,
REFCLKPLL1 => open,
REFCLKPWRDNB0 => tied_to_vcc_i,
REFCLKPWRDNB1 => tied_to_vcc_i,
REFSELDYPLL0 => REFSELDYPLL0_IN,
REFSELDYPLL1 => REFSELDYPLL1_IN,
RESETDONE0 => RESETDONE0_OUT,
RESETDONE1 => RESETDONE1_OUT,
TSTCLK0 => tied_to_ground_i,
TSTCLK1 => tied_to_ground_i,
TSTIN0 => tied_to_ground_vec_i(11 downto 0),
TSTIN1 => tied_to_ground_vec_i(11 downto 0),
TSTOUT0 => open,
TSTOUT1 => open,
----------------------- Receive Ports - 8b10b Decoder ----------------------
RXCHARISCOMMA0(3 downto 2) => rxchariscomma0_float_i,
RXCHARISCOMMA0(1 downto 0) => RXCHARISCOMMA0_OUT,
RXCHARISCOMMA1(3 downto 2) => rxchariscomma1_float_i,
RXCHARISCOMMA1(1 downto 0) => RXCHARISCOMMA1_OUT,
RXCHARISK0(3 downto 2) => rxcharisk0_float_i,
RXCHARISK0(1 downto 0) => RXCHARISK0_OUT,
RXCHARISK1(3 downto 2) => rxcharisk1_float_i,
RXCHARISK1(1 downto 0) => RXCHARISK1_OUT,
RXDEC8B10BUSE0 => tied_to_vcc_i,
RXDEC8B10BUSE1 => tied_to_vcc_i,
RXDISPERR0(3 downto 2) => rxdisperr0_float_i,
RXDISPERR0(1 downto 0) => RXDISPERR0_OUT,
RXDISPERR1(3 downto 2) => rxdisperr1_float_i,
RXDISPERR1(1 downto 0) => RXDISPERR1_OUT,
RXNOTINTABLE0(3 downto 2) => rxnotintable0_float_i,
RXNOTINTABLE0(1 downto 0) => RXNOTINTABLE0_OUT,
RXNOTINTABLE1(3 downto 2) => rxnotintable1_float_i,
RXNOTINTABLE1(1 downto 0) => RXNOTINTABLE1_OUT,
RXRUNDISP0(3 downto 2) => rxrundisp0_float_i,
RXRUNDISP0(1 downto 0) => RXRUNDISP0_OUT,
RXRUNDISP1(3 downto 2) => rxrundisp1_float_i,
RXRUNDISP1(1 downto 0) => RXRUNDISP1_OUT,
USRCODEERR0 => tied_to_ground_i,
USRCODEERR1 => tied_to_ground_i,
---------------------- Receive Ports - Channel Bonding ---------------------
RXCHANBONDSEQ0 => open,
RXCHANBONDSEQ1 => open,
RXCHANISALIGNED0 => open,
RXCHANISALIGNED1 => open,
RXCHANREALIGN0 => open,
RXCHANREALIGN1 => open,
RXCHBONDI => tied_to_ground_vec_i(2 downto 0),
RXCHBONDMASTER0 => tied_to_ground_i,
RXCHBONDMASTER1 => tied_to_ground_i,
RXCHBONDO => open,
RXCHBONDSLAVE0 => tied_to_ground_i,
RXCHBONDSLAVE1 => tied_to_ground_i,
RXENCHANSYNC0 => tied_to_ground_i,
RXENCHANSYNC1 => tied_to_ground_i,
---------------------- Receive Ports - Clock Correction --------------------
RXCLKCORCNT0 => RXCLKCORCNT0_OUT,
RXCLKCORCNT1 => RXCLKCORCNT1_OUT,
--------------- Receive Ports - Comma Detection and Alignment --------------
RXBYTEISALIGNED0 => RXBYTEISALIGNED0_OUT,
RXBYTEISALIGNED1 => RXBYTEISALIGNED1_OUT,
RXBYTEREALIGN0 => open,
RXBYTEREALIGN1 => open,
RXCOMMADET0 => open,
RXCOMMADET1 => open,
RXCOMMADETUSE0 => tied_to_vcc_i,
RXCOMMADETUSE1 => tied_to_vcc_i,
RXENMCOMMAALIGN0 => RXENMCOMMAALIGN0_IN,
RXENMCOMMAALIGN1 => RXENMCOMMAALIGN1_IN,
RXENPCOMMAALIGN0 => RXENPCOMMAALIGN0_IN,
RXENPCOMMAALIGN1 => RXENPCOMMAALIGN1_IN,
RXSLIDE0 => tied_to_ground_i,
RXSLIDE1 => tied_to_ground_i,
----------------------- Receive Ports - PRBS Detection ---------------------
PRBSCNTRESET0 => tied_to_ground_i,
PRBSCNTRESET1 => tied_to_ground_i,
RXENPRBSTST0 => tied_to_ground_vec_i(2 downto 0),
RXENPRBSTST1 => tied_to_ground_vec_i(2 downto 0),
RXPRBSERR0 => open,
RXPRBSERR1 => open,
------------------- Receive Ports - RX Data Path interface -----------------
RXDATA0 => rxdata0_i,
RXDATA1 => rxdata1_i,
RXDATAWIDTH0 => "01",
RXDATAWIDTH1 => "01",
RXRECCLK0 => open,
RXRECCLK1 => open,
RXRESET0 => RXRESET0_IN,
RXRESET1 => RXRESET1_IN,
RXUSRCLK0 => RXUSRCLK0_IN,
RXUSRCLK1 => RXUSRCLK1_IN,
RXUSRCLK20 => RXUSRCLK20_IN,
RXUSRCLK21 => RXUSRCLK21_IN,
------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
GATERXELECIDLE0 => tied_to_ground_i,
GATERXELECIDLE1 => tied_to_ground_i,
IGNORESIGDET0 => tied_to_ground_i,
IGNORESIGDET1 => tied_to_ground_i,
RCALINEAST => tied_to_ground_vec_i(4 downto 0),
RCALINWEST => tied_to_ground_vec_i(4 downto 0),
RCALOUTEAST => open,
RCALOUTWEST => open,
RXCDRRESET0 => tied_to_ground_i,
RXCDRRESET1 => tied_to_ground_i,
RXELECIDLE0 => open,
RXELECIDLE1 => open,
RXEQMIX0 => "11",
RXEQMIX1 => "11",
RXN0 => RXN0_IN,
RXN1 => RXN1_IN,
RXP0 => RXP0_IN,
RXP1 => RXP1_IN,
----------- Receive Ports - RX Elastic Buffer and Phase Alignment ----------
RXBUFRESET0 => RXBUFRESET0_IN,
RXBUFRESET1 => RXBUFRESET1_IN,
RXBUFSTATUS0 => RXBUFSTATUS0_OUT,
RXBUFSTATUS1 => RXBUFSTATUS1_OUT,
RXENPMAPHASEALIGN0 => tied_to_ground_i,
RXENPMAPHASEALIGN1 => tied_to_ground_i,
RXPMASETPHASE0 => tied_to_ground_i,
RXPMASETPHASE1 => tied_to_ground_i,
RXSTATUS0 => open,
RXSTATUS1 => open,
--------------- Receive Ports - RX Loss-of-sync State Machine --------------
RXLOSSOFSYNC0 => open,
RXLOSSOFSYNC1 => open,
-------------- Receive Ports - RX Pipe Control for PCI Express -------------
PHYSTATUS0 => open,
PHYSTATUS1 => open,
RXVALID0 => open,
RXVALID1 => open,
-------------------- Receive Ports - RX Polarity Control -------------------
RXPOLARITY0 => tied_to_ground_i,
RXPOLARITY1 => tied_to_ground_i,
------------- Shared Ports - Dynamic Reconfiguration Port (DRP) ------------
DADDR => tied_to_ground_vec_i(7 downto 0),
DCLK => tied_to_ground_i,
DEN => tied_to_ground_i,
DI => tied_to_ground_vec_i(15 downto 0),
DRDY => open,
DRPDO => open,
DWE => tied_to_ground_i,
---------------------------- TX/RX Datapath Ports --------------------------
GTPCLKFBEAST => open,
GTPCLKFBSEL0EAST => "10",
GTPCLKFBSEL0WEST => "00",
GTPCLKFBSEL1EAST => "11",
GTPCLKFBSEL1WEST => "01",
GTPCLKFBWEST => open,
GTPCLKOUT0 => GTPCLKOUT0_OUT,
GTPCLKOUT1 => GTPCLKOUT1_OUT,
------------------- Transmit Ports - 8b10b Encoder Control -----------------
TXBYPASS8B10B0 => tied_to_ground_vec_i(3 downto 0),
TXBYPASS8B10B1 => tied_to_ground_vec_i(3 downto 0),
TXCHARDISPMODE0(3 downto 2) => tied_to_ground_vec_i(1 downto 0),
TXCHARDISPMODE0(1 downto 0) => TXCHARDISPMODE0_IN,
TXCHARDISPMODE1(3 downto 2) => tied_to_ground_vec_i(1 downto 0),
TXCHARDISPMODE1(1 downto 0) => TXCHARDISPMODE1_IN,
TXCHARDISPVAL0(3 downto 2) => tied_to_ground_vec_i(1 downto 0),
TXCHARDISPVAL0(1 downto 0) => TXCHARDISPVAL0_IN,
TXCHARDISPVAL1(3 downto 2) => tied_to_ground_vec_i(1 downto 0),
TXCHARDISPVAL1(1 downto 0) => TXCHARDISPVAL1_IN,
TXCHARISK0(3 downto 2) => tied_to_ground_vec_i(1 downto 0),
TXCHARISK0(1 downto 0) => TXCHARISK0_IN,
TXCHARISK1(3 downto 2) => tied_to_ground_vec_i(1 downto 0),
TXCHARISK1(1 downto 0) => TXCHARISK1_IN,
TXENC8B10BUSE0 => tied_to_vcc_i,
TXENC8B10BUSE1 => tied_to_vcc_i,
TXKERR0 => open,
TXKERR1 => open,
TXRUNDISP0(3 downto 2) => txrundisp0_float_i,
TXRUNDISP0(1 downto 0) => TXRUNDISP0_OUT,
TXRUNDISP1(3 downto 2) => txrundisp1_float_i,
TXRUNDISP1(1 downto 0) => TXRUNDISP1_OUT,
--------------- Transmit Ports - TX Buffer and Phase Alignment -------------
TXBUFSTATUS0 => TXBUFSTATUS0_OUT,
TXBUFSTATUS1 => TXBUFSTATUS1_OUT,
TXENPMAPHASEALIGN0 => tied_to_ground_i,
TXENPMAPHASEALIGN1 => tied_to_ground_i,
TXPMASETPHASE0 => tied_to_ground_i,
TXPMASETPHASE1 => tied_to_ground_i,
------------------ Transmit Ports - TX Data Path interface -----------------
TXDATA0 => txdata0_i,
TXDATA1 => txdata1_i,
TXDATAWIDTH0 => "01",
TXDATAWIDTH1 => "01",
TXOUTCLK0 => TXOUTCLK0_OUT,
TXOUTCLK1 => TXOUTCLK1_OUT,
TXRESET0 => TXRESET0_IN,
TXRESET1 => TXRESET1_IN,
TXUSRCLK0 => TXUSRCLK0_IN,
TXUSRCLK1 => TXUSRCLK1_IN,
TXUSRCLK20 => TXUSRCLK20_IN,
TXUSRCLK21 => TXUSRCLK21_IN,
--------------- Transmit Ports - TX Driver and OOB signalling --------------
TXBUFDIFFCTRL0 => "101",
TXBUFDIFFCTRL1 => "101",
TXDIFFCTRL0 => "0110",
TXDIFFCTRL1 => "0110",
TXINHIBIT0 => tied_to_ground_i,
TXINHIBIT1 => tied_to_ground_i,
TXN0 => TXN0_OUT,
TXN1 => TXN1_OUT,
TXP0 => TXP0_OUT,
TXP1 => TXP1_OUT,
TXPREEMPHASIS0 => "000",
TXPREEMPHASIS1 => "000",
--------------------- Transmit Ports - TX PRBS Generator -------------------
TXENPRBSTST0 => tied_to_ground_vec_i(2 downto 0),
TXENPRBSTST1 => tied_to_ground_vec_i(2 downto 0),
TXPRBSFORCEERR0 => tied_to_ground_i,
TXPRBSFORCEERR1 => tied_to_ground_i,
-------------------- Transmit Ports - TX Polarity Control ------------------
TXPOLARITY0 => tied_to_ground_i,
TXPOLARITY1 => tied_to_ground_i,
----------------- Transmit Ports - TX Ports for PCI Express ----------------
TXDETECTRX0 => tied_to_ground_i,
TXDETECTRX1 => tied_to_ground_i,
TXELECIDLE0 => tied_to_ground_i,
TXELECIDLE1 => tied_to_ground_i,
TXPDOWNASYNCH0 => tied_to_ground_i,
TXPDOWNASYNCH1 => tied_to_ground_i,
--------------------- Transmit Ports - TX Ports for SATA -------------------
TXCOMSTART0 => tied_to_ground_i,
TXCOMSTART1 => tied_to_ground_i,
TXCOMTYPE0 => tied_to_ground_i,
TXCOMTYPE1 => tied_to_ground_i
);
end RTL;
|
--------------------------------------------------------------------------------
--
-- DIST MEM GEN Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ROM_D_tb_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.ROM_D_TB_PKG.ALL;
ENTITY ROM_D_tb_synth IS
GENERIC (
C_ROM_SYNTH : INTEGER := 0
);
PORT(
CLK_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ROM_D_tb_synth;
ARCHITECTURE ROM_D_synth_ARCH OF ROM_D_tb_synth IS
COMPONENT ROM_D_exdes
PORT (
SPO : OUT STD_LOGIC_VECTOR(32-1 downto 0);
A : IN STD_LOGIC_VECTOR(10-1-(4*0*boolean'pos(10>4)) downto 0)
:= (OTHERS => '0')
);
END COMPONENT;
CONSTANT STIM_CNT : INTEGER := if_then_else(C_ROM_SYNTH = 0, 8, 22);
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i : STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL ADDR: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDR_R: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
ROM_D_TB_STIM_GEN_INST:ENTITY work.ROM_D_TB_STIM_GEN
GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH
)
PORT MAP(
CLK => clk_in_i,
RST => RSTA,
A => ADDR,
DATA_IN => SPO_R,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(STIM_CNT);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(ADDR(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW + 1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
SPO_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
SPO_R <= SPO AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDR_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDR_R <= ADDR AFTER 50 ns;
END IF;
END IF;
END PROCESS;
DMG_PORT: ROM_D_exdes PORT MAP (
SPO => SPO,
A => ADDR_R
);
END ARCHITECTURE;
|
--------------------------------------------------------------------------------
--
-- DIST MEM GEN Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ROM_D_tb_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.ROM_D_TB_PKG.ALL;
ENTITY ROM_D_tb_synth IS
GENERIC (
C_ROM_SYNTH : INTEGER := 0
);
PORT(
CLK_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ROM_D_tb_synth;
ARCHITECTURE ROM_D_synth_ARCH OF ROM_D_tb_synth IS
COMPONENT ROM_D_exdes
PORT (
SPO : OUT STD_LOGIC_VECTOR(32-1 downto 0);
A : IN STD_LOGIC_VECTOR(10-1-(4*0*boolean'pos(10>4)) downto 0)
:= (OTHERS => '0')
);
END COMPONENT;
CONSTANT STIM_CNT : INTEGER := if_then_else(C_ROM_SYNTH = 0, 8, 22);
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i : STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL ADDR: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDR_R: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
ROM_D_TB_STIM_GEN_INST:ENTITY work.ROM_D_TB_STIM_GEN
GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH
)
PORT MAP(
CLK => clk_in_i,
RST => RSTA,
A => ADDR,
DATA_IN => SPO_R,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(STIM_CNT);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(ADDR(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW + 1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
SPO_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
SPO_R <= SPO AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDR_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDR_R <= ADDR AFTER 50 ns;
END IF;
END IF;
END PROCESS;
DMG_PORT: ROM_D_exdes PORT MAP (
SPO => SPO,
A => ADDR_R
);
END ARCHITECTURE;
|
--------------------------------------------------------------------------------
--
-- DIST MEM GEN Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ROM_D_tb_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.ROM_D_TB_PKG.ALL;
ENTITY ROM_D_tb_synth IS
GENERIC (
C_ROM_SYNTH : INTEGER := 0
);
PORT(
CLK_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ROM_D_tb_synth;
ARCHITECTURE ROM_D_synth_ARCH OF ROM_D_tb_synth IS
COMPONENT ROM_D_exdes
PORT (
SPO : OUT STD_LOGIC_VECTOR(32-1 downto 0);
A : IN STD_LOGIC_VECTOR(10-1-(4*0*boolean'pos(10>4)) downto 0)
:= (OTHERS => '0')
);
END COMPONENT;
CONSTANT STIM_CNT : INTEGER := if_then_else(C_ROM_SYNTH = 0, 8, 22);
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i : STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL ADDR: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDR_R: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
ROM_D_TB_STIM_GEN_INST:ENTITY work.ROM_D_TB_STIM_GEN
GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH
)
PORT MAP(
CLK => clk_in_i,
RST => RSTA,
A => ADDR,
DATA_IN => SPO_R,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(STIM_CNT);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(ADDR(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW + 1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
SPO_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
SPO_R <= SPO AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDR_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDR_R <= ADDR AFTER 50 ns;
END IF;
END IF;
END PROCESS;
DMG_PORT: ROM_D_exdes PORT MAP (
SPO => SPO,
A => ADDR_R
);
END ARCHITECTURE;
|
--------------------------------------------------------------------------------
--
-- DIST MEM GEN Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ROM_D_tb_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.ROM_D_TB_PKG.ALL;
ENTITY ROM_D_tb_synth IS
GENERIC (
C_ROM_SYNTH : INTEGER := 0
);
PORT(
CLK_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ROM_D_tb_synth;
ARCHITECTURE ROM_D_synth_ARCH OF ROM_D_tb_synth IS
COMPONENT ROM_D_exdes
PORT (
SPO : OUT STD_LOGIC_VECTOR(32-1 downto 0);
A : IN STD_LOGIC_VECTOR(10-1-(4*0*boolean'pos(10>4)) downto 0)
:= (OTHERS => '0')
);
END COMPONENT;
CONSTANT STIM_CNT : INTEGER := if_then_else(C_ROM_SYNTH = 0, 8, 22);
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i : STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL ADDR: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDR_R: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
ROM_D_TB_STIM_GEN_INST:ENTITY work.ROM_D_TB_STIM_GEN
GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH
)
PORT MAP(
CLK => clk_in_i,
RST => RSTA,
A => ADDR,
DATA_IN => SPO_R,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(STIM_CNT);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(ADDR(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW + 1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
SPO_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
SPO_R <= SPO AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDR_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDR_R <= ADDR AFTER 50 ns;
END IF;
END IF;
END PROCESS;
DMG_PORT: ROM_D_exdes PORT MAP (
SPO => SPO,
A => ADDR_R
);
END ARCHITECTURE;
|
--------------------------------------------------------------------------------
--
-- DIST MEM GEN Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ROM_D_tb_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.ROM_D_TB_PKG.ALL;
ENTITY ROM_D_tb_synth IS
GENERIC (
C_ROM_SYNTH : INTEGER := 0
);
PORT(
CLK_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ROM_D_tb_synth;
ARCHITECTURE ROM_D_synth_ARCH OF ROM_D_tb_synth IS
COMPONENT ROM_D_exdes
PORT (
SPO : OUT STD_LOGIC_VECTOR(32-1 downto 0);
A : IN STD_LOGIC_VECTOR(10-1-(4*0*boolean'pos(10>4)) downto 0)
:= (OTHERS => '0')
);
END COMPONENT;
CONSTANT STIM_CNT : INTEGER := if_then_else(C_ROM_SYNTH = 0, 8, 22);
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i : STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL ADDR: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDR_R: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
ROM_D_TB_STIM_GEN_INST:ENTITY work.ROM_D_TB_STIM_GEN
GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH
)
PORT MAP(
CLK => clk_in_i,
RST => RSTA,
A => ADDR,
DATA_IN => SPO_R,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(STIM_CNT);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(ADDR(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW + 1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
SPO_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
SPO_R <= SPO AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDR_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDR_R <= ADDR AFTER 50 ns;
END IF;
END IF;
END PROCESS;
DMG_PORT: ROM_D_exdes PORT MAP (
SPO => SPO,
A => ADDR_R
);
END ARCHITECTURE;
|
--------------------------------------------------------------------------------
--
-- DIST MEM GEN Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ROM_D_tb_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.ROM_D_TB_PKG.ALL;
ENTITY ROM_D_tb_synth IS
GENERIC (
C_ROM_SYNTH : INTEGER := 0
);
PORT(
CLK_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ROM_D_tb_synth;
ARCHITECTURE ROM_D_synth_ARCH OF ROM_D_tb_synth IS
COMPONENT ROM_D_exdes
PORT (
SPO : OUT STD_LOGIC_VECTOR(32-1 downto 0);
A : IN STD_LOGIC_VECTOR(10-1-(4*0*boolean'pos(10>4)) downto 0)
:= (OTHERS => '0')
);
END COMPONENT;
CONSTANT STIM_CNT : INTEGER := if_then_else(C_ROM_SYNTH = 0, 8, 22);
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i : STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL ADDR: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDR_R: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
ROM_D_TB_STIM_GEN_INST:ENTITY work.ROM_D_TB_STIM_GEN
GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH
)
PORT MAP(
CLK => clk_in_i,
RST => RSTA,
A => ADDR,
DATA_IN => SPO_R,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(STIM_CNT);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(ADDR(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW + 1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
SPO_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
SPO_R <= SPO AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDR_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDR_R <= ADDR AFTER 50 ns;
END IF;
END IF;
END PROCESS;
DMG_PORT: ROM_D_exdes PORT MAP (
SPO => SPO,
A => ADDR_R
);
END ARCHITECTURE;
|
--------------------------------------------------------------------------------
--
-- DIST MEM GEN Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ROM_D_tb_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.ROM_D_TB_PKG.ALL;
ENTITY ROM_D_tb_synth IS
GENERIC (
C_ROM_SYNTH : INTEGER := 0
);
PORT(
CLK_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ROM_D_tb_synth;
ARCHITECTURE ROM_D_synth_ARCH OF ROM_D_tb_synth IS
COMPONENT ROM_D_exdes
PORT (
SPO : OUT STD_LOGIC_VECTOR(32-1 downto 0);
A : IN STD_LOGIC_VECTOR(10-1-(4*0*boolean'pos(10>4)) downto 0)
:= (OTHERS => '0')
);
END COMPONENT;
CONSTANT STIM_CNT : INTEGER := if_then_else(C_ROM_SYNTH = 0, 8, 22);
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i : STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL ADDR: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDR_R: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
ROM_D_TB_STIM_GEN_INST:ENTITY work.ROM_D_TB_STIM_GEN
GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH
)
PORT MAP(
CLK => clk_in_i,
RST => RSTA,
A => ADDR,
DATA_IN => SPO_R,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(STIM_CNT);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(ADDR(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW + 1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
SPO_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
SPO_R <= SPO AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDR_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDR_R <= ADDR AFTER 50 ns;
END IF;
END IF;
END PROCESS;
DMG_PORT: ROM_D_exdes PORT MAP (
SPO => SPO,
A => ADDR_R
);
END ARCHITECTURE;
|
--------------------------------------------------------------------------------
--
-- DIST MEM GEN Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ROM_D_tb_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.ROM_D_TB_PKG.ALL;
ENTITY ROM_D_tb_synth IS
GENERIC (
C_ROM_SYNTH : INTEGER := 0
);
PORT(
CLK_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ROM_D_tb_synth;
ARCHITECTURE ROM_D_synth_ARCH OF ROM_D_tb_synth IS
COMPONENT ROM_D_exdes
PORT (
SPO : OUT STD_LOGIC_VECTOR(32-1 downto 0);
A : IN STD_LOGIC_VECTOR(10-1-(4*0*boolean'pos(10>4)) downto 0)
:= (OTHERS => '0')
);
END COMPONENT;
CONSTANT STIM_CNT : INTEGER := if_then_else(C_ROM_SYNTH = 0, 8, 22);
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i : STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL ADDR: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDR_R: STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL SPO_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
ROM_D_TB_STIM_GEN_INST:ENTITY work.ROM_D_TB_STIM_GEN
GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH
)
PORT MAP(
CLK => clk_in_i,
RST => RSTA,
A => ADDR,
DATA_IN => SPO_R,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(STIM_CNT);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(ADDR(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW + 1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
SPO_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
SPO_R <= SPO AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDR_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDR_R <= ADDR AFTER 50 ns;
END IF;
END IF;
END PROCESS;
DMG_PORT: ROM_D_exdes PORT MAP (
SPO => SPO,
A => ADDR_R
);
END ARCHITECTURE;
|
entity bounds1 is
end entity;
architecture test of bounds1 is
type int_vec is array (natural range <>) of integer;
begin
p1: process is
variable v : int_vec(0 to 9) := (others => 0);
variable k : integer range 0 to 9;
begin
assert v(k) = 1; -- Should elide
assert v(k + 1) = 1; -- Cannot elide
wait;
end process;
end architecture;
|
--
-- or_gate.vhdl
--
library ieee;
use ieee.std_logic_1164.all;
entity or_gate is
port (
a : in std_logic;
b : in std_logic;
c : out std_logic
);
end entity or_gate;
architecture rtl of or_gate is
begin
c <= a or b;
end;
|
--
-- or_gate.vhdl
--
library ieee;
use ieee.std_logic_1164.all;
entity or_gate is
port (
a : in std_logic;
b : in std_logic;
c : out std_logic
);
end entity or_gate;
architecture rtl of or_gate is
begin
c <= a or b;
end;
|
--
-- or_gate.vhdl
--
library ieee;
use ieee.std_logic_1164.all;
entity or_gate is
port (
a : in std_logic;
b : in std_logic;
c : out std_logic
);
end entity or_gate;
architecture rtl of or_gate is
begin
c <= a or b;
end;
|
--
-- or_gate.vhdl
--
library ieee;
use ieee.std_logic_1164.all;
entity or_gate is
port (
a : in std_logic;
b : in std_logic;
c : out std_logic
);
end entity or_gate;
architecture rtl of or_gate is
begin
c <= a or b;
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc703.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:07 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:42 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:45 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00703ent IS
END c03s04b01x00p23n01i00703ent;
ARCHITECTURE c03s04b01x00p23n01i00703arch OF c03s04b01x00p23n01i00703ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
subtype STRING12 is STRING( 1 to 12 );
type FT is file of STRING12;
-- Declare the actual file to read.
file FILEV : FT open read_mode is "iofile.56";
-- Declare a variable into which we will read.
constant CON : STRING12 := "hello, world";
variable VAR : STRING12;
variable k : integer := 0;
BEGIN
-- Read in the file.
for I in 1 to 100 loop
if (ENDFILE( FILEV ) /= FALSE) then
k := 1;
end if;
assert( (ENDFILE( FILEV ) = FALSE) )
report "Hit the end of file too soon.";
READ( FILEV,VAR );
if (VAR /= CON) then
k := 1;
end if;
end loop;
-- Verify that we are at the end.
if (ENDFILE( FILEV ) /= TRUE) then
k := 1;
end if;
assert( ENDFILE( FILEV ) = TRUE )
report "Have not reached end of file yet."
severity ERROR;
assert NOT( k = 0 )
report "***PASSED TEST: c03s04b01x00p23n01i00703"
severity NOTE;
assert( k = 0 )
report "***FAILED TEST: c03s04b01x00p23n01i00703 - The variables don't equal the constants."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00703arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc703.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:07 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:42 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:45 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00703ent IS
END c03s04b01x00p23n01i00703ent;
ARCHITECTURE c03s04b01x00p23n01i00703arch OF c03s04b01x00p23n01i00703ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
subtype STRING12 is STRING( 1 to 12 );
type FT is file of STRING12;
-- Declare the actual file to read.
file FILEV : FT open read_mode is "iofile.56";
-- Declare a variable into which we will read.
constant CON : STRING12 := "hello, world";
variable VAR : STRING12;
variable k : integer := 0;
BEGIN
-- Read in the file.
for I in 1 to 100 loop
if (ENDFILE( FILEV ) /= FALSE) then
k := 1;
end if;
assert( (ENDFILE( FILEV ) = FALSE) )
report "Hit the end of file too soon.";
READ( FILEV,VAR );
if (VAR /= CON) then
k := 1;
end if;
end loop;
-- Verify that we are at the end.
if (ENDFILE( FILEV ) /= TRUE) then
k := 1;
end if;
assert( ENDFILE( FILEV ) = TRUE )
report "Have not reached end of file yet."
severity ERROR;
assert NOT( k = 0 )
report "***PASSED TEST: c03s04b01x00p23n01i00703"
severity NOTE;
assert( k = 0 )
report "***FAILED TEST: c03s04b01x00p23n01i00703 - The variables don't equal the constants."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00703arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc703.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:07 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:42 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:45 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00703ent IS
END c03s04b01x00p23n01i00703ent;
ARCHITECTURE c03s04b01x00p23n01i00703arch OF c03s04b01x00p23n01i00703ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
subtype STRING12 is STRING( 1 to 12 );
type FT is file of STRING12;
-- Declare the actual file to read.
file FILEV : FT open read_mode is "iofile.56";
-- Declare a variable into which we will read.
constant CON : STRING12 := "hello, world";
variable VAR : STRING12;
variable k : integer := 0;
BEGIN
-- Read in the file.
for I in 1 to 100 loop
if (ENDFILE( FILEV ) /= FALSE) then
k := 1;
end if;
assert( (ENDFILE( FILEV ) = FALSE) )
report "Hit the end of file too soon.";
READ( FILEV,VAR );
if (VAR /= CON) then
k := 1;
end if;
end loop;
-- Verify that we are at the end.
if (ENDFILE( FILEV ) /= TRUE) then
k := 1;
end if;
assert( ENDFILE( FILEV ) = TRUE )
report "Have not reached end of file yet."
severity ERROR;
assert NOT( k = 0 )
report "***PASSED TEST: c03s04b01x00p23n01i00703"
severity NOTE;
assert( k = 0 )
report "***FAILED TEST: c03s04b01x00p23n01i00703 - The variables don't equal the constants."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00703arch;
|
--!
--! @file: exercise5_8.vhd
--! @brief: binary sorter with generate
--! @author: Antonio Gutierrez
--! @date: 2013-10-23
--!
--!
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_all;
--------------------------------------
entity binary_sorter is
generic (N: integer := 5;);
port (
input: in std_logic_vector(N-1 downto 0);
output: out std_logic_vector(N-1 downto 0));
end entity binary_sorter;
--------------------------------------
architecture circuit of binary_sorter is
type oneDoneD is array (0 to N-1) of integer range 0 to N;
signal temp: oneDoneD;
begin
-- for the first bit of the count we initialize it depeding on the first bit of input
temp(0) <= 1 when input(0) = '1' else 0;
-- we do the same thing for all the rest of the input vector
gen: for i in 1 to N-1 generate
temp(i) <= temp(i-1) + 1 when input(i) = '1' else temp(i-1);
end generate gen;
-- for the output we put as many ones as we counted and after that just put zeros
gen1: for i in N-1 downto 0 generate
output(i) <= '1' when temp(N-1) > 0 else '0';
temp(N-1) <= temp(N-1) - 1 when temp(N-1) > 0 else 0;
end generate gen1;
end architecture circuit;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Peter Fall
--
-- Create Date: 5 June 2011
-- Design Name:
-- Module Name: UDP_TX - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
-- handle simple UDP TX
-- doesnt generate the checksum(supposedly optional)
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Revision 0.02 - Added abort of tx when receive last from upstream
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.axi.all;
use work.ipv4_types.all;
entity UDP_TX is
Port (
-- UDP Layer signals
udp_tx_start : in std_logic; -- indicates req to tx UDP
udp_txi : in udp_tx_type; -- UDP tx cxns
udp_tx_result : out std_logic_vector (1 downto 0);-- tx status (changes during transmission)
udp_tx_data_out_ready : out std_logic; -- indicates udp_tx is ready to take data
-- system signals
clk : in STD_LOGIC; -- same clock used to clock mac data and ip data
reset : in STD_LOGIC;
-- IP layer TX signals
ip_tx_start : out std_logic;
ip_tx : out ipv4_tx_type; -- IP tx cxns
ip_tx_result : in std_logic_vector (1 downto 0); -- tx status (changes during transmission)
ip_tx_data_out_ready : in std_logic -- indicates IP TX is ready to take data
);
end UDP_TX;
architecture Behavioral of UDP_TX is
type tx_state_type is (IDLE, PAUSE, SEND_UDP_HDR, SEND_USER_DATA);
type count_mode_type is (RST, INCR, HOLD);
type settable_cnt_type is (RST, SET, INCR, HOLD);
type set_clr_type is (SET, CLR, HOLD);
-- TX state variables
signal udp_tx_state : tx_state_type;
signal tx_count : unsigned (15 downto 0);
signal tx_result_reg : std_logic_vector (1 downto 0);
signal ip_tx_start_reg : std_logic;
signal data_out_ready_reg : std_logic;
-- tx control signals
signal next_tx_state : tx_state_type;
signal set_tx_state : std_logic;
signal next_tx_result : std_logic_vector (1 downto 0);
signal set_tx_result : std_logic;
signal tx_count_val : unsigned (15 downto 0);
signal tx_count_mode : settable_cnt_type;
signal tx_data : std_logic_vector (7 downto 0);
signal set_last : std_logic;
signal set_ip_tx_start : set_clr_type;
signal tx_data_valid : std_logic; -- indicates whether data is valid to tx or not
-- tx temp signals
signal total_length : std_logic_vector (15 downto 0); -- computed combinatorially from header size
-- IP datagram header format
--
-- 0 4 8 16 19 24 31
-- --------------------------------------------------------------------------------------------
-- | source port number | dest port number |
-- | | |
-- --------------------------------------------------------------------------------------------
-- | length (bytes) | checksum |
-- | (header and data combined) | |
-- --------------------------------------------------------------------------------------------
-- | Data |
-- | |
-- --------------------------------------------------------------------------------------------
-- | .... |
-- | |
-- --------------------------------------------------------------------------------------------
begin
-----------------------------------------------------------------------
-- combinatorial process to implement FSM and determine control signals
-----------------------------------------------------------------------
tx_combinatorial : process(
-- input signals
udp_tx_start, udp_txi, clk, ip_tx_result, ip_tx_data_out_ready,
-- state variables
udp_tx_state, tx_count, tx_result_reg, ip_tx_start_reg, data_out_ready_reg,
-- control signals
next_tx_state, set_tx_state, next_tx_result, set_tx_result, tx_count_mode, tx_count_val,
tx_data, set_last, total_length, set_ip_tx_start, tx_data_valid
)
begin
-- set output followers
ip_tx_start <= ip_tx_start_reg;
ip_tx.hdr.protocol <= x"11"; -- UDP protocol
ip_tx.hdr.data_length <= total_length;
ip_tx.hdr.dst_ip_addr <= udp_txi.hdr.dst_ip_addr;
if udp_tx_start = '1' and ip_tx_start_reg = '0' then
udp_tx_result <= UDPTX_RESULT_NONE; -- kill the result until have started the IP layer
else
udp_tx_result <= tx_result_reg;
end if;
case udp_tx_state is
when SEND_USER_DATA =>
ip_tx.data.data_out <= udp_txi.data.data_out;
tx_data_valid <= udp_txi.data.data_out_valid;
ip_tx.data.data_out_last <= udp_txi.data.data_out_last;
when SEND_UDP_HDR =>
ip_tx.data.data_out <= tx_data;
tx_data_valid <= ip_tx_data_out_ready;
ip_tx.data.data_out_last <= set_last;
when others =>
ip_tx.data.data_out <= (others => '0');
tx_data_valid <= '0';
ip_tx.data.data_out_last <= set_last;
end case;
ip_tx.data.data_out_valid <= tx_data_valid and ip_tx_data_out_ready;
-- set signal defaults
next_tx_state <= IDLE;
set_tx_state <= '0';
tx_count_mode <= HOLD;
tx_data <= x"00";
set_last <= '0';
next_tx_result <= UDPTX_RESULT_NONE;
set_tx_result <= '0';
set_ip_tx_start <= HOLD;
tx_count_val <= (others => '0');
udp_tx_data_out_ready <= '0';
-- set temp signals
total_length <= std_logic_vector(unsigned(udp_txi.hdr.data_length) + 8); -- total length = user data length + header length (bytes)
-- TX FSM
case udp_tx_state is
when IDLE =>
udp_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx
tx_count_mode <= RST;
if udp_tx_start = '1' then
-- check header count for error if too high
if unsigned(udp_txi.hdr.data_length) > 8966 then
next_tx_result <= UDPTX_RESULT_ERR; -- 10
set_tx_result <= '1';
else
-- start to send UDP header
tx_count_mode <= RST;
next_tx_result <= UDPTX_RESULT_SENDING; -- 01
set_ip_tx_start <= SET;
set_tx_result <= '1';
next_tx_state <= PAUSE;
set_tx_state <= '1';
end if;
end if;
when PAUSE =>
-- delay one clock for IP layer to respond to ip_tx_start and remove any tx error result
next_tx_state <= SEND_UDP_HDR;
set_tx_state <= '1';
when SEND_UDP_HDR =>
udp_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx
if ip_tx_result = IPTX_RESULT_ERR then -- 10
set_ip_tx_start <= CLR;
next_tx_result <= UDPTX_RESULT_ERR; -- 10
set_tx_result <= '1';
next_tx_state <= IDLE;
set_tx_state <= '1';
elsif ip_tx_data_out_ready = '1' then
if tx_count = x"0007" then
tx_count_val <= x"0001";
tx_count_mode <= SET;
next_tx_state <= SEND_USER_DATA;
set_tx_state <= '1';
else
tx_count_mode <= INCR;
end if;
case tx_count is
when x"0000" => tx_data <= udp_txi.hdr.src_port (15 downto 8); -- src port
when x"0001" => tx_data <= udp_txi.hdr.src_port (7 downto 0);
when x"0002" => tx_data <= udp_txi.hdr.dst_port (15 downto 8); -- dst port
when x"0003" => tx_data <= udp_txi.hdr.dst_port (7 downto 0);
when x"0004" => tx_data <= total_length (15 downto 8); -- length
when x"0005" => tx_data <= total_length (7 downto 0);
when x"0006" => tx_data <= udp_txi.hdr.checksum (15 downto 8); -- checksum (set by upstream)
when x"0007" => tx_data <= udp_txi.hdr.checksum (7 downto 0);
when others =>
-- shouldnt get here - handle as error
next_tx_result <= UDPTX_RESULT_ERR;
set_tx_result <= '1';
end case;
end if;
when SEND_USER_DATA =>
udp_tx_data_out_ready <= ip_tx_data_out_ready; -- in this state, we can accept user data if IP TX rdy
if ip_tx_data_out_ready = '1' then
if udp_txi.data.data_out_valid = '1' or tx_count = x"000" then
-- only increment if ready and valid has been subsequently established, otherwise data count moves on too fast
if unsigned(tx_count) = unsigned(udp_txi.hdr.data_length) then
-- TX terminated due to count - end normally
set_last <= '1';
tx_data <= udp_txi.data.data_out;
next_tx_result <= UDPTX_RESULT_SENT; --11
set_ip_tx_start <= CLR;
set_tx_result <= '1';
next_tx_state <= IDLE;
set_tx_state <= '1';
elsif udp_txi.data.data_out_last = '1' then
-- terminate tx with error as got last from upstream before exhausting count
set_last <= '1';
tx_data <= udp_txi.data.data_out;
next_tx_result <= UDPTX_RESULT_ERR; --10
set_ip_tx_start <= CLR;
set_tx_result <= '1';
next_tx_state <= IDLE;
set_tx_state <= '1';
else
-- TX continues
tx_count_mode <= INCR;
tx_data <= udp_txi.data.data_out;
end if;
end if;
end if;
end case;
end process;
-----------------------------------------------------------------------------
-- sequential process to action control signals and change states and outputs
-----------------------------------------------------------------------------
tx_sequential : process (clk,reset,data_out_ready_reg)
begin
if rising_edge(clk) then
data_out_ready_reg <= ip_tx_data_out_ready;
else
data_out_ready_reg <= data_out_ready_reg;
end if;
if rising_edge(clk) then
if reset = '1' then
-- reset state variables
udp_tx_state <= IDLE;
tx_count <= x"0000";
tx_result_reg <= IPTX_RESULT_NONE;
ip_tx_start_reg <= '0';
else
-- Next udp_tx_state processing
if set_tx_state = '1' then
udp_tx_state <= next_tx_state;
else
udp_tx_state <= udp_tx_state;
end if;
-- ip_tx_start_reg processing
case set_ip_tx_start is
when SET => ip_tx_start_reg <= '1';
when CLR => ip_tx_start_reg <= '0';
when HOLD => ip_tx_start_reg <= ip_tx_start_reg;
end case;
-- tx result processing
if set_tx_result = '1' then
tx_result_reg <= next_tx_result;
else
tx_result_reg <= tx_result_reg;
end if;
-- tx_count processing
case tx_count_mode is
when RST => tx_count <= x"0000";
when SET => tx_count <= tx_count_val;
when INCR => tx_count <= tx_count + 1;
when HOLD => tx_count <= tx_count;
end case;
end if;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Peter Fall
--
-- Create Date: 5 June 2011
-- Design Name:
-- Module Name: UDP_TX - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
-- handle simple UDP TX
-- doesnt generate the checksum(supposedly optional)
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Revision 0.02 - Added abort of tx when receive last from upstream
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.axi.all;
use work.ipv4_types.all;
entity UDP_TX is
Port (
-- UDP Layer signals
udp_tx_start : in std_logic; -- indicates req to tx UDP
udp_txi : in udp_tx_type; -- UDP tx cxns
udp_tx_result : out std_logic_vector (1 downto 0);-- tx status (changes during transmission)
udp_tx_data_out_ready : out std_logic; -- indicates udp_tx is ready to take data
-- system signals
clk : in STD_LOGIC; -- same clock used to clock mac data and ip data
reset : in STD_LOGIC;
-- IP layer TX signals
ip_tx_start : out std_logic;
ip_tx : out ipv4_tx_type; -- IP tx cxns
ip_tx_result : in std_logic_vector (1 downto 0); -- tx status (changes during transmission)
ip_tx_data_out_ready : in std_logic -- indicates IP TX is ready to take data
);
end UDP_TX;
architecture Behavioral of UDP_TX is
type tx_state_type is (IDLE, PAUSE, SEND_UDP_HDR, SEND_USER_DATA);
type count_mode_type is (RST, INCR, HOLD);
type settable_cnt_type is (RST, SET, INCR, HOLD);
type set_clr_type is (SET, CLR, HOLD);
-- TX state variables
signal udp_tx_state : tx_state_type;
signal tx_count : unsigned (15 downto 0);
signal tx_result_reg : std_logic_vector (1 downto 0);
signal ip_tx_start_reg : std_logic;
signal data_out_ready_reg : std_logic;
-- tx control signals
signal next_tx_state : tx_state_type;
signal set_tx_state : std_logic;
signal next_tx_result : std_logic_vector (1 downto 0);
signal set_tx_result : std_logic;
signal tx_count_val : unsigned (15 downto 0);
signal tx_count_mode : settable_cnt_type;
signal tx_data : std_logic_vector (7 downto 0);
signal set_last : std_logic;
signal set_ip_tx_start : set_clr_type;
signal tx_data_valid : std_logic; -- indicates whether data is valid to tx or not
-- tx temp signals
signal total_length : std_logic_vector (15 downto 0); -- computed combinatorially from header size
-- IP datagram header format
--
-- 0 4 8 16 19 24 31
-- --------------------------------------------------------------------------------------------
-- | source port number | dest port number |
-- | | |
-- --------------------------------------------------------------------------------------------
-- | length (bytes) | checksum |
-- | (header and data combined) | |
-- --------------------------------------------------------------------------------------------
-- | Data |
-- | |
-- --------------------------------------------------------------------------------------------
-- | .... |
-- | |
-- --------------------------------------------------------------------------------------------
begin
-----------------------------------------------------------------------
-- combinatorial process to implement FSM and determine control signals
-----------------------------------------------------------------------
tx_combinatorial : process(
-- input signals
udp_tx_start, udp_txi, clk, ip_tx_result, ip_tx_data_out_ready,
-- state variables
udp_tx_state, tx_count, tx_result_reg, ip_tx_start_reg, data_out_ready_reg,
-- control signals
next_tx_state, set_tx_state, next_tx_result, set_tx_result, tx_count_mode, tx_count_val,
tx_data, set_last, total_length, set_ip_tx_start, tx_data_valid
)
begin
-- set output followers
ip_tx_start <= ip_tx_start_reg;
ip_tx.hdr.protocol <= x"11"; -- UDP protocol
ip_tx.hdr.data_length <= total_length;
ip_tx.hdr.dst_ip_addr <= udp_txi.hdr.dst_ip_addr;
if udp_tx_start = '1' and ip_tx_start_reg = '0' then
udp_tx_result <= UDPTX_RESULT_NONE; -- kill the result until have started the IP layer
else
udp_tx_result <= tx_result_reg;
end if;
case udp_tx_state is
when SEND_USER_DATA =>
ip_tx.data.data_out <= udp_txi.data.data_out;
tx_data_valid <= udp_txi.data.data_out_valid;
ip_tx.data.data_out_last <= udp_txi.data.data_out_last;
when SEND_UDP_HDR =>
ip_tx.data.data_out <= tx_data;
tx_data_valid <= ip_tx_data_out_ready;
ip_tx.data.data_out_last <= set_last;
when others =>
ip_tx.data.data_out <= (others => '0');
tx_data_valid <= '0';
ip_tx.data.data_out_last <= set_last;
end case;
ip_tx.data.data_out_valid <= tx_data_valid and ip_tx_data_out_ready;
-- set signal defaults
next_tx_state <= IDLE;
set_tx_state <= '0';
tx_count_mode <= HOLD;
tx_data <= x"00";
set_last <= '0';
next_tx_result <= UDPTX_RESULT_NONE;
set_tx_result <= '0';
set_ip_tx_start <= HOLD;
tx_count_val <= (others => '0');
udp_tx_data_out_ready <= '0';
-- set temp signals
total_length <= std_logic_vector(unsigned(udp_txi.hdr.data_length) + 8); -- total length = user data length + header length (bytes)
-- TX FSM
case udp_tx_state is
when IDLE =>
udp_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx
tx_count_mode <= RST;
if udp_tx_start = '1' then
-- check header count for error if too high
if unsigned(udp_txi.hdr.data_length) > 8966 then
next_tx_result <= UDPTX_RESULT_ERR; -- 10
set_tx_result <= '1';
else
-- start to send UDP header
tx_count_mode <= RST;
next_tx_result <= UDPTX_RESULT_SENDING; -- 01
set_ip_tx_start <= SET;
set_tx_result <= '1';
next_tx_state <= PAUSE;
set_tx_state <= '1';
end if;
end if;
when PAUSE =>
-- delay one clock for IP layer to respond to ip_tx_start and remove any tx error result
next_tx_state <= SEND_UDP_HDR;
set_tx_state <= '1';
when SEND_UDP_HDR =>
udp_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx
if ip_tx_result = IPTX_RESULT_ERR then -- 10
set_ip_tx_start <= CLR;
next_tx_result <= UDPTX_RESULT_ERR; -- 10
set_tx_result <= '1';
next_tx_state <= IDLE;
set_tx_state <= '1';
elsif ip_tx_data_out_ready = '1' then
if tx_count = x"0007" then
tx_count_val <= x"0001";
tx_count_mode <= SET;
next_tx_state <= SEND_USER_DATA;
set_tx_state <= '1';
else
tx_count_mode <= INCR;
end if;
case tx_count is
when x"0000" => tx_data <= udp_txi.hdr.src_port (15 downto 8); -- src port
when x"0001" => tx_data <= udp_txi.hdr.src_port (7 downto 0);
when x"0002" => tx_data <= udp_txi.hdr.dst_port (15 downto 8); -- dst port
when x"0003" => tx_data <= udp_txi.hdr.dst_port (7 downto 0);
when x"0004" => tx_data <= total_length (15 downto 8); -- length
when x"0005" => tx_data <= total_length (7 downto 0);
when x"0006" => tx_data <= udp_txi.hdr.checksum (15 downto 8); -- checksum (set by upstream)
when x"0007" => tx_data <= udp_txi.hdr.checksum (7 downto 0);
when others =>
-- shouldnt get here - handle as error
next_tx_result <= UDPTX_RESULT_ERR;
set_tx_result <= '1';
end case;
end if;
when SEND_USER_DATA =>
udp_tx_data_out_ready <= ip_tx_data_out_ready; -- in this state, we can accept user data if IP TX rdy
if ip_tx_data_out_ready = '1' then
if udp_txi.data.data_out_valid = '1' or tx_count = x"000" then
-- only increment if ready and valid has been subsequently established, otherwise data count moves on too fast
if unsigned(tx_count) = unsigned(udp_txi.hdr.data_length) then
-- TX terminated due to count - end normally
set_last <= '1';
tx_data <= udp_txi.data.data_out;
next_tx_result <= UDPTX_RESULT_SENT; --11
set_ip_tx_start <= CLR;
set_tx_result <= '1';
next_tx_state <= IDLE;
set_tx_state <= '1';
elsif udp_txi.data.data_out_last = '1' then
-- terminate tx with error as got last from upstream before exhausting count
set_last <= '1';
tx_data <= udp_txi.data.data_out;
next_tx_result <= UDPTX_RESULT_ERR; --10
set_ip_tx_start <= CLR;
set_tx_result <= '1';
next_tx_state <= IDLE;
set_tx_state <= '1';
else
-- TX continues
tx_count_mode <= INCR;
tx_data <= udp_txi.data.data_out;
end if;
end if;
end if;
end case;
end process;
-----------------------------------------------------------------------------
-- sequential process to action control signals and change states and outputs
-----------------------------------------------------------------------------
tx_sequential : process (clk,reset,data_out_ready_reg)
begin
if rising_edge(clk) then
data_out_ready_reg <= ip_tx_data_out_ready;
else
data_out_ready_reg <= data_out_ready_reg;
end if;
if rising_edge(clk) then
if reset = '1' then
-- reset state variables
udp_tx_state <= IDLE;
tx_count <= x"0000";
tx_result_reg <= IPTX_RESULT_NONE;
ip_tx_start_reg <= '0';
else
-- Next udp_tx_state processing
if set_tx_state = '1' then
udp_tx_state <= next_tx_state;
else
udp_tx_state <= udp_tx_state;
end if;
-- ip_tx_start_reg processing
case set_ip_tx_start is
when SET => ip_tx_start_reg <= '1';
when CLR => ip_tx_start_reg <= '0';
when HOLD => ip_tx_start_reg <= ip_tx_start_reg;
end case;
-- tx result processing
if set_tx_result = '1' then
tx_result_reg <= next_tx_result;
else
tx_result_reg <= tx_result_reg;
end if;
-- tx_count processing
case tx_count_mode is
when RST => tx_count <= x"0000";
when SET => tx_count <= tx_count_val;
when INCR => tx_count <= tx_count + 1;
when HOLD => tx_count <= tx_count;
end case;
end if;
end if;
end process;
end Behavioral;
|
--------------------------------------------------------------------------------
--
-- FileName: i2c_master.vhd
-- Dependencies: none
-- Design Software: Quartus II 64-bit Version 13.1 Build 162 SJ Full Version
--
-- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY
-- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A
-- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY
-- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL
-- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF
-- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS
-- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF),
-- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS.
--
-- Version History
-- Version 1.0 11/1/2012 Scott Larson
-- Initial Public Release
-- Version 2.0 06/20/2014 Scott Larson
-- Added ability to interface with different slaves in the same transaction
-- Corrected ack_error bug where ack_error went 'Z' instead of '1' on error
-- Corrected timing of when ack_error signal clears
-- Version 2.1 10/21/2014 Scott Larson
-- Replaced gated clock with clock enable
-- Adjusted timing of SCL during start and stop conditions
--
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY i2c_master IS
GENERIC(
input_clk : INTEGER := 50_000_000; --input clock speed from user logic in Hz
bus_clk : INTEGER := 100_000); --speed the i2c bus (scl) will run at in Hz
PORT(
clk : IN STD_LOGIC; --system clock
reset_n : IN STD_LOGIC; --active low reset
ena : IN STD_LOGIC; --latch in command
addr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); --address of target slave
rw : IN STD_LOGIC; --'0' is write, '1' is read
data_wr : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --data to write to slave
busy : OUT STD_LOGIC; --indicates transaction in progress
data_rd : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --data read from slave
ack_error : BUFFER STD_LOGIC; --flag if improper acknowledge from slave
sda : INOUT STD_LOGIC; --serial data output of i2c bus
scl : INOUT STD_LOGIC);
END i2c_master;
ARCHITECTURE logic OF i2c_master IS
CONSTANT divider : INTEGER := (input_clk/bus_clk)/4; --number of clocks in 1/4 cycle of scl
TYPE machine IS(ready, start, command, slv_ack1, wr, rd, slv_ack2, mstr_ack, stop); --needed states
SIGNAL state : machine; --state machine
SIGNAL data_clk : STD_LOGIC; --data clock for sda
SIGNAL data_clk_prev : STD_LOGIC; --data clock during previous system clock
SIGNAL data_clk_m : STD_LOGIC; --data clock during previous system clock
SIGNAL scl_clk : STD_LOGIC; --constantly running internal scl
SIGNAL scl_ena : STD_LOGIC := '0'; --enables internal scl to output
SIGNAL sda_int : STD_LOGIC := '1'; --internal sda
SIGNAL sda_ena_n : STD_LOGIC; --enables internal sda to output
SIGNAL addr_rw : STD_LOGIC_VECTOR(7 DOWNTO 0); --latched in address and read/write
SIGNAL data_tx : STD_LOGIC_VECTOR(7 DOWNTO 0); --latched in data to write to slave
SIGNAL data_rx : STD_LOGIC_VECTOR(7 DOWNTO 0); --data received from slave
SIGNAL bit_cnt : INTEGER RANGE 0 TO 7 := 7; --tracks bit number in transaction
SIGNAL stretch : STD_LOGIC := '0'; --identifies if slave is stretching scl
BEGIN
--generate the timing for the bus clock (scl_clk) and the data clock (data_clk)
PROCESS(clk, reset_n)
VARIABLE count : INTEGER RANGE 0 TO divider*4; --timing for clock generation
BEGIN
IF(reset_n = '0') THEN --reset asserted
stretch <= '0';
count := 0;
ELSIF(clk'EVENT AND clk = '1') THEN
data_clk_prev <= data_clk; --store previous value of data clock
IF(count = 499) THEN --end of timing cycle
count := 0; --reset timer
ELSIF(stretch = '0') THEN --clock stretching from slave not detected
count := count + 1; --continue clock generation timing
END IF;
CASE count IS
WHEN 0 TO 124 => --first 1/4 cycle of clocking
scl_clk <= '0';
data_clk <= '0';
WHEN 125 TO 249 => --second 1/4 cycle of clocking
scl_clk <= '0';
data_clk <= '1';
WHEN 250 TO 324 => --third 1/4 cycle of clocking
scl_clk <= '1'; --release scl
IF(scl = '0') THEN --detect if slave is stretching clock
stretch <= '1';
ELSE
stretch <= '0';
END IF;
data_clk <= '1';
WHEN OTHERS => --last 1/4 cycle of clocking
scl_clk <= '1';
data_clk <= '0';
END CASE;
END IF;
END PROCESS;
--state machine and writing to sda during scl low (data_clk rising edge)
PROCESS(clk, reset_n)
BEGIN
IF(reset_n = '0') THEN --reset asserted
state <= ready; --return to initial state
busy <= '1'; --indicate not available
scl_ena <= '0'; --sets scl high impedance
sda_int <= '1'; --sets sda high impedance
ack_error <= '0'; --clear acknowledge error flag
bit_cnt <= 7; --restarts data bit counter
data_rd <= "00000000"; --clear data read port
ELSIF(clk'EVENT AND clk = '1') THEN
IF(data_clk = '1' AND data_clk_prev = '0') THEN --data clock rising edge
CASE state IS
WHEN ready => --idle state
IF(ena = '1') THEN --transaction requested
busy <= '1'; --flag busy
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
state <= start; --go to start bit
ELSE --remain idle
busy <= '0'; --unflag busy
state <= ready; --remain idle
END IF;
WHEN start => --start bit of transaction
busy <= '1'; --resume busy if continuous mode
sda_int <= addr_rw(bit_cnt); --set first address bit to bus
state <= command; --go to command
WHEN command => --address and command byte of transaction
IF(bit_cnt = 0) THEN --command transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack1; --go to slave acknowledge (command)
ELSE --next clock cycle of command state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= addr_rw(bit_cnt-1); --write address/command bit to bus
state <= command; --continue with command
END IF;
WHEN slv_ack1 => --slave acknowledge bit (command)
IF(addr_rw(0) = '0') THEN --write command
sda_int <= data_tx(bit_cnt); --write first bit of data
state <= wr; --go to write byte
ELSE --read command
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
END IF;
WHEN wr => --write byte of transaction
busy <= '1'; --resume busy if continuous mode
IF(bit_cnt = 0) THEN --write byte transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
-- added the following line to make sure busy = 0 in the slv_ack2 state
busy <= '0'; --continue is accepted (modified by CU)
state <= slv_ack2; --go to slave acknowledge (write)
ELSE --next clock cycle of write state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= data_tx(bit_cnt-1); --write next bit to bus
state <= wr; --continue writing
END IF;
WHEN rd => --read byte of transaction
busy <= '1'; --resume busy if continuous mode
IF(bit_cnt = 0) THEN --read byte receive finished
IF(ena = '1' AND addr_rw = addr & rw) THEN --continuing with another read at same address
sda_int <= '0'; --acknowledge the byte has been received
ELSE --stopping or continuing with a write
sda_int <= '1'; --send a no-acknowledge (before stop or repeated start)
END IF;
bit_cnt <= 7; --reset bit counter for "byte" states
-- added the following line to make sure busy = 0 in the mstr_ack state
busy <= '0'; --continue is accepted (modified by CU)
data_rd <= data_rx; --output received data
state <= mstr_ack; --go to master acknowledge
ELSE --next clock cycle of read state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
state <= rd; --continue reading
END IF;
WHEN slv_ack2 => --slave acknowledge bit (write)
IF(ena = '1') THEN --continue transaction
-- busy <= '0'; --continue is accepted (modified by CU)
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
IF(addr_rw = addr & rw) THEN --continue transaction with another write
busy <= '1'; --resume busy in the wr state (modified by CU)
sda_int <= data_wr(bit_cnt); --write first bit of data
state <= wr; --go to write byte
ELSE --continue transaction with a read or new slave
state <= start; --go to repeated start
END IF;
ELSE --complete transaction
busy <= '0'; --unflag busy (modified by CU)
sda_int <= '1'; --sets sda high impedance (modified by CU)
state <= stop; --go to stop bit
END IF;
WHEN mstr_ack => --master acknowledge bit after a read
IF(ena = '1') THEN --continue transaction
-- busy <= '0'; --continue is accepted (modified by CU)
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
IF(addr_rw = addr & rw) THEN --continue transaction with another read
busy <= '1'; --resume busy in the wr state (modified by CU)
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
ELSE --continue transaction with a write or new slave
state <= start; --repeated start
END IF;
ELSE --complete transaction
busy <= '0'; --unflag busy (modified by CU)
sda_int <= '1'; --sets sda high impedance (modified by CU)
state <= stop; --go to stop bit
END IF;
WHEN stop => --stop bit of transaction
-- busy <= '0'; --unflag busy (modified by CU)
state <= ready; --go to idle state
END CASE;
ELSIF(data_clk = '0' AND data_clk_prev = '1') THEN --data clock falling edge
CASE state IS
WHEN start =>
IF(scl_ena = '0') THEN --starting new transaction
scl_ena <= '1'; --enable scl output
ack_error <= '0'; --reset acknowledge error output
END IF;
WHEN slv_ack1 => --receiving slave acknowledge (command)
IF(sda /= '0' OR ack_error = '1') THEN --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
END IF;
WHEN rd => --receiving slave data
data_rx(bit_cnt) <= sda; --receive current slave data bit
WHEN slv_ack2 => --receiving slave acknowledge (write)
IF(sda /= '0' OR ack_error = '1') THEN --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
END IF;
WHEN stop =>
scl_ena <= '0'; --disable scl
WHEN OTHERS =>
NULL;
END CASE;
END IF;
END IF;
END PROCESS;
--set sda output
data_clk_m <= data_clk_prev and data_clk; -- Modification added at CU
WITH state SELECT
sda_ena_n <= data_clk WHEN start, --generate start condition
NOT data_clk_m WHEN stop, --generate stop condition (modification added at CU)
sda_int WHEN OTHERS; --set to internal sda signal
--set scl and sda outputs
scl <= '0' WHEN (scl_ena = '1' AND scl_clk = '0') ELSE 'Z';
sda <= '0' WHEN sda_ena_n = '0' ELSE 'Z';
-- Following two signals will be used for tristate obuft (did not work)
-- scl <= '1' WHEN (scl_ena = '1' AND scl_clk = '0') ELSE '0';
-- sda <= '1' WHEN sda_ena_n = '0' ELSE '0';
END logic;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block
puDyzv0/PE73A/GBtm46F0vR/NPeoCtT0duHksnbd0Cx4Ob+Ymi7I9DZ9CaQktdUFa08Gt9ZZUvp
juG7WNawmamAlHIn1x1dRRSbR4DqTWd1tEB8YLydsK4ei0h45nmJQf7jIO9TF/BPCGZJwVvImz4F
6VpQTlx2navOwMTH0So1nDmcuIu2E1NZxrIbTT4/Ly1C+r6Q5a1UfVXGtGD7OcSQf1QmwppVkdtw
1wc+vIRtGUjhN2a38+Na876F4yiJfcXY+5wKJmrtaHmEcmwsGLA86wQVwUmeKscL3tzK16I1oSWn
PhDzfy5ENy96AmL+u+uf8KwfmBotYMkvEaW9Z5k2Fy+5PrsVQgbhf5hUebTCD25x8y41/9rbDkzu
2DPl8V7XlAdcffVYPx70UovkI8JFPeib44aL1PM2S/QTuhIU7/4q0yO3aDVsZEoH8Cuqu2aCn3Nb
vPC1JpoxJxeQeAFRmxPkGqIXSQ1q1lVMfjNKP+3ao4CgNElinfc9XrLxUt4+IrCUgoqArPXQSlyO
TNZkGZFLnA5+Tx7YGZLx8/q+sUMi6uqyUE++rEF4k6mgnJy/F0J1Fj711NaFUoHt9t9VGCQYx9IB
QZQ+nJ3W21v62IDHt9LLoUQSOThPMKWnfYZsmVs1T1KfegicMuXikWsaT2LmbWF/t6wObkUcZOsT
rIaLM4eCaeGn2z0XWmPUH74hEANF4vWz0R8TNpEq7nwBf49wUYTQD8jczjO8aCxyY2zR1vPebWZ6
9+v25mOJSF5fmcc8pPIk8ohOJ9jGQadZZLO0AkD8wRJ9tGyY4o/TyIVDVQlumy8gxKCTc3wJLA0j
y+CUzBaT74+ppaFvfmAsmXmGiqvhnZfP/13GXBRcifPmUdQIejKiLZcl8uxBFwG9gXOtn2ryRK7Q
9gU1fo8GbxrjImFOR8/SRobwI4hNPFNMJi5hVDDeTntQ6afGau6c3c4B9gE01QEtZvVehqbzLdIf
ih91l0PAoJ66pOGSiVZh9lNi9mOjGSV2ubDlMjhOBAjU2bE7ADqWVqj/fC12g2iRa1SoFQugR0MO
sWHyIbw5NQj/pCcs2hhqDOrajeYnG9OPJs3rSao4XoDfBkcqCvwlC05Wot5Yu47Sth1bH9UjUjCZ
baXAsXUKd7Na5sgsVhfYn1WmZj/QShH69RrFCWsLttjJnN56/JTyqfRCCfe6dv3rafGngQpqYN8+
CKnrB2UfnjVZIkgCDv1ZShdx257sUgF6xFEbI39fVJAmLZRBhdXH8+370rie7EZ5SJeUKemdPBHy
EcM8TUWhIZ0SIrYMYICIAm0GKcA6UDh/gX6q2ALYqQ3o2gAH+3JN7FF6HIKCmP5MYm81TZRx5Lg3
fwHrktOaKizfC0+ABD2PcWWZ6UJ+gR1c4xPUXenYHJhYtyNoo5Cl0G/QmOVSxUzODW7iRxgqABtf
3X9uvPmOsW1DCUd1YpSX9R9A+wG+4Fbu3I7TuolJkhqYJjYju7a8Xm3a+62DFp6KzLHuQLDApij9
4HWqHHzKEOOQLxW87GxsKv3LJTahEosxyzGutmDqkN8P6stgKDC9iUL0zLgnqmyp/zY0lUfvD0t1
uOEM5Fm/dL4EgxWo5a4v33dpdE72CoSdJKc09MnHP2cegFRWsgaozYYBM29hBX+rughxsb3IKORY
erQTQWPbi0irPyt6xtB96K7DejweNAvrRePwAfcBm9Y3zD8CkOEW6s5yvlNWs04+rwTSIbQGbZ5F
fmnUwXnIBqSX0s+udWaco6qIvj2zUv24VEjBAHjPBHD8HgAq4v0imMgwQK5Xt97XGcHRG62NtbBn
Cork+RpneHn2dsdeTRRyURG48QjSC0zfzVBOFmFgozRfzZBltnr0hcPIOvttCUE85F1yt8/V/jVP
w9SExohSXUiKDvYOpN+9ujcqYNaXfWEtGtzQB00zhuYT+MRWf0Byo9Vmk+QJmblzrNVjNunxxS7v
E6y9EvQU5OmrepJmwcX/oCTPiXiMmSI6OoRFKuUTfVXV83huoWtunKErsRejFUV8wGhVnTM1wx1U
9XS46qBPwg9B/noiYGl7hGCY1mcimgU9k7Jzcc5Z4ydYaaGbxlbBuv+I10q2y6Z2InMtb73v0Jmk
3Pb27jZujNp16iKx8YNfzckjHAJ084weYseeXXnp/Gbbzt0L2UMDBHII7PXyLFtkPMGcZGOwPHRP
QGBc+vQAr1dGhH+vO9fgdqsAYzYmxtxw12Javo8JdTQVDIi7mbeX5i3sDPyGZsxnlr6g+He4+VYU
svOKoy5DhnsYWqHNtypTh/JgujACJogHdHpIkpimyWbGkr+3sf126CWlMRTk/A09GLp7yUA4uBLD
g/KYW1PD5gxCxoHXFkJ5+mYXbdsUnLj6KaG5vE52CK57obwy3VazpOzxNXN1ZOlIw+Rjv8ymDqFL
aZ1A5/9nBoD1c1OqxAyEHnPin3ISgRluafS2L8bA1yuDwS5rMESYb7NlKZNDiHcLhP7qsVUB2EjR
yFll7Ia+A4cbGFS9th4hJosJaUDtnZjlGQk80IiZPlNT7N+y2JU+SlCpA372CwkejRLGyuLUJzGA
ATP5gRs3Q7mVCX+aVHe1etX/QrEGCquC3NQVPq4TM3ht1rtAsPXLMk/ChBpsT0v6mPya8m2bVR2q
omDi7MNVYWVb+Fhz+S0eFs1bqFD4/khf+4wEcZVDQA8gHxstToMs9zyBxyS4qZkH9M6ZhJdgf9TQ
s/vik/3B4oL0CKGGZ0q99oRde4YjYUju3vc7QxcTUTOAGc0V4B7ev/Hx75+NLCzKatGqwXShWm4M
19ZOA9tJ7kXxD7R4bO6F6bnKCGyeE1DYpJZjwS3v9O/Bg0VfqIkyzv7pv4S++M2I6PUIFZ7axDhb
11rEbftfsyEHhKA9RCKuCm3AWooFm0cUgN0UyAkSGMMgz5PdGLBDbJvn3UkN+J6RTfTmlccK6fUB
HLZ+mdTxJIYhQv5XmdbetC4MiWhqLbEwYlY/3WlaKf5yHtlACN68VYtJz+SqVJeWD9dZnz8LKEyH
IeTVwqlcBcgN67JTssTjhgTx6FL8e5MTgXCG0Zhbg0/nf69tPosrgdTEs3zW9YHga5QwJkcLPhQx
Rr16Q39UHANq6UVNuBd4AYxP30jwyXgSOIv7QVs9xNm7mi2tR75bfhUZWSZYiiyY0TczMOiFXvTx
KUJXG0mTv3vOeA0VIWh6JMK3uAX9FQ6+hg9FIZY9+qQAzVbPHvGtCGS2JYWa4r6UY1hpaOChgp+h
GhR0iDXZjqRX4J9qF0G9aIZEv1Nf9EoEZ3EyfLC0STakxg3ccMYXmji3dxAlIFLMw9TQgruKQzFy
QP+Que7AYzw4n041RCToHRTgMUeyEVN6mI1ngpjJB+v6VjjxwssXmx2Zk5asXx3H9RdihE31x94X
qlIXSJ9aOo8+2087AegPrMlADictGXYKO+erL/zniwJtoYU+yrDmHa/wvyHYdNaxPf9iXyJ8XXmm
uMn2QYslN8TTk+gCLvz0zLLox71Sn1fTkwQZ/PWMvRK9hp/1jwYSW2wip+s7ulxbdYFCH/ibAfSX
t6NdXduVcFCQFh9GCxkgZpRTUyj9cOyQFKYUgLflraxygUsuHRcNA3iy4wMIGEYcBxaWHMf7Jh/i
c7R9JuYyjigK/yvZn1O81avvx35VAHF2X234bE14FwZ7dEbUBUELw+VBcVXLH9IKlAndSDUafW5A
GV198p8h7l7RaP6Ro01cdNYcC5deHnXAtklWlRRllhkOwwvPWn7KD3KI8OzEJp/ICMijRdpQr+iD
wgjflZPZmCcXGSxnZKjvI8ohj2zVXfBhrNMoDe80t6l0mc/6qafCrrA62N/aNHkxbZBw/BJoi8GN
4zlQX0BLH5Q3d2/xPpwVE+IO6V1hwNXD5TrbmoOpKupI2z2DzoGA0J2DV9WYDh5hj+7tj2cBv5hm
cC81+j6jNymeD6uBqEhMhWtaUklE1LKFLikbMcO2Mlt+peg4pxeO6vOptwCzG5VP3ihtdiZ8BIfT
KaHwqKvKAXa6j8UVreQDZixc+9/pFUDJSwKxFYElKuNHq39pOxO4KJAJY7i/Y/o612FzzMKWgcRb
hg3l3wqHIDeiSbMNpohkmRJlpWKH6JFOao5nP8/D8qy6APgvcQIMUmgDN2mZGTV4Sjjk0EogSnx5
VSSbT0Lebj7Ef4yJBJEVifSCWkCGLk0AwIxoA/1X8xQwxvnaVA5R1kUedQv8PbdewKoa/gs8Tlg2
bN51HI2FNr0iAPkMB2hWC8QmOsYJ7p4Hs1itzRR8IxMc9KYLPMsKqwwZwSBxJXkr3+7bLfZn7cn5
E/dCf5MvE/42cb07oLTEwa1Z/Yz887ml1byIixwDGltMIywGpo1Q2Fx2x1uvhzpayHCkj78YcwbF
J50PvZ2FlO/tkNAoHuM06nPwAl+mA+SS2g/BKzibFi3U1UaLZwv19GY5RPcKGYG1BwNyM/zUh/2P
y3RuIsJsUWwPs0oxH96UPokZpDpkNc0ELvxQh0JXvGhN61zBOMgELDfsW0LfgJyyhQMJ6Weqxbzq
6DOEMFMvVpqEzRdmM8oAHWwQx/9DLSloCJIQE33XPkCxSA6B1UsqK66LWebtDXt4sErcSUTo7VE2
JNOTDZcsI+uXjIRaH+0sITZ4vkUDHofksvtbCX/15OyoACuJerB7hHcZDPbiSbARcD40wbLFSw6x
4bYUv0c/bYekuSd7BHrsiAzy9yjlVxLcl22RzrRBWkoU4YUCcb7Q4UFemv3N02Pd2JqCySRoXzkn
7wA0g7ZIjJ1ryYz49STTBhgKJBPTzbd5/qLHL4WXGxfKlz/98kgufEKyiRXvqqt0R2EZUnOunmCK
4pMjBFAXfXkPO2tDuCd/bqJYTJwYjWhB2Wv76r7GsaC2Wr7KDvRtX02ycSv5xdh+FqZqJwtSg2zh
trlE80dElDksMtNew3QQgOQSKf6DlkVEw/oPhVhWOBQVX0vpEoUSTrO8zm9rflINMNO2HAYZSrsY
Q+EFyzZq0smko7rU6rzeOAC4nelqd5D1ClPl1ByfWAb7ZItJpf/UF519y4F1Hfd8XLbh/YFcfqSz
M01VrE6d6NDhm9JKrro6qLCVq6Yd3GzmkPm/TxXEyPiSU3jSlsIJTcCw9GYOcLPDC1+RW3LpxlHf
S+VTYdpRoMfjr2wjjQxPxPmEITiv5mo0y/qxwir/Ezbr+kbl1fdn+K96c2mMKFW+0tnmW7sVWCh2
fXbEsKDfQe8hJU7daYBeBVPLCQb3Wl3ZgnzKqXXeIpJzau/XjSK0xofPjbGkgxnjPkZ2vCTNS4l9
0gBKxfrCaR9nFoOQYuN3rIDm+1DoniMaGd6CpEG+Bw/sNF5J89x/eI9rTxVXztAZ/eJXZh9T2t1S
mrz4kj2FMbQYMrfvm5+WKrgZ+YLT6tUJMCZvEiWuux5VIuuq0vmQI4aFA8uJ4WTtXS5Oa6q4zp1q
bS9DVoH8LuLg6IivaMPrYqUMKbYJ4LiYHdmH2hLOC/1LtDrQGNXMNoJd6rmz81QsLNaSiyVVbwfH
Fd9C0AANBlHSseRQsfkIDBXknUNdOfpPlerYbuPXd7f8emWNFwBLYrFQpexYKhuVi8fYACkdApIe
PuFHa2ZFs2io09toKlUxTI3PFYGxgN9KlVtM6c+yFB7/+gNws01F36cGlVS3+5D0GhBAfv2x4211
Xm2RwWM5lFDnHLh+ffazUUHuJ5RUdvfgOGUv/6ZiguWAAbrPC++SfU28Yb8Eh9ePK3PRVvN8hXy3
iUI3iLc+e3b0DIbERpg8cyzwCP5KqM0/zralxEOI4SBO5deYEAoUmxKYNZCWaUHEPWpgCECoxjfH
OCwr0o1HtYB/B2rxeiQ8+brKCMclkhX3Y3XFcn82W2Ky/6S9iXslL1d/pzlfU4qVhTEIWLUeXh+J
maIfjbnPYaojT0onLCDqZJu0XvWS9M3AH2RVXAMJLTTH5a4O9xetw/HxIHRRC8H2Z/We519bXc0+
sSvSfFiQRYV2+CbV/MxAJ/7nMweydIYkiz42C4inOPzy4UM2YMLrv0nYmged2KDZwEcSj2aNr/3A
XWfG+ee82VGXtvaZQx4HmHdPhnd4cgWBomPxc4tl6YqbQRU+vIRKZr4MFFZFw2A3Z7hhjEpxG2xK
Aebf5lnB0IFZArxv7+uK+mFt+XOPSPLlxsnlZsM1sIYpDjfcYeW04c4nKIFty+L3migSJ5J0gUMZ
VvO9eWJCSCL+ILfxN60ZR6P0tG//vyX0hAjkMpyu5ehsqVhSieDgLNCGWlr/kOu+ZLXN/6mnGsh0
5RBrmsw4l9hCHYr4245qsO4iUrsVtsTFWF01Ftz/UcRBrKiASooIgoh4NkUpu6rUjwSiqJwUmJ1y
vr1HMFlLB6crC6yw1j9TS0x3idqyWPSzSCOoJP8TD0xXVp07hH71jD23jg2bKlqbmyJjJdBouqSx
m+QVQjiEwhTpNfPeww7GPIaeykyPrg1+pDYuEuDv/bZUoXC8k09HKAm8WEpiDdK02EkSPdYiRUd9
BwwO6CTp/4vbC9NO4/CLP4Mh0CAxY5FplwjtbHHf2PALv6OhhRxngK2v1BfEZPuRxBDq7epOcb/G
CF4ZAvcffQ/Ke0FfRuuRJFuw0QrlmxgVLHPpjgCwt33SqaSq/DJTb/JBupcw2+z9Q4KrLK7N6Efu
x7c1kAs026uKiGUmG4FWS7gbCju5kSPcJ4mSB16yz/5EJVEN0Jrdcw60GOxNlaWjRW+fx2Lb1GHE
tlpacqdKmhfjTmGpvVyqOf1YU7ZKOdXXSKO7AFQeP3RWqfw0bCxarZAaiL9nrfBVIQ11mG7x9cPw
NOBAVnInOoLRdWZNaQ8+YW+tdp8Of5CQ0FPm5alyBCgDXPras0N3je6R1VIsDR/+UrHhL2Wl3XVJ
KP2BQLEImaAcUuzHAFtLbaosgB9rr7WX5oWPvf3TXkFFBj5L29t+r5q0qwibqgWqXFAwxy9MQIyh
rmXXWcqO2crzicwH8EIy8RLzze8l/Sp+wFpU6hLSI5lcKXXm1mwsult1FrojV1q3d7w+znLKdSfW
Cg/VaeT/5agAw4hy/aQclH0GCL+FDS/pCitXKvuJ8B05Le7njygIbyTkaTWt5e7iHUKw5b8grLMo
V3ajZ0XXPuiO5/qhFQfreucYnpztQ9pehM63ekBm0C7kMM58bQrJZiWz8ebTgH11iZhlM90zEfHO
fmE6qFH1JVWZSBM1B+9OtS405vF9Ivg1SWmBjIOHFWfb9b4VxlPhSVq8NltrbmJ9+LCUqSrByi63
o0AleLNTKnze+kWob12bCId1rNqqHc99Dqu+qNCvO7NaIOZ3E1Jm/wM/uuGqex0BwvNQu+p2yXHd
TkO+QePEV8EXYSNxMUEeOdDryDBmJj0G2Dt4HHCkO0vxC8ByyeU0w4/8/E7VCvmWa0gaC56pq6Nj
Yz8WY/22pUgHQebRotpWw+UPbk+gbX86N+2In0GdkPjhLi0gR5tHRL/tkD/eWvdmGjynI+zoT5L/
s0i8ujIrEaG+PludSikplRIRFi8IHPM6ACDvcDdptBDOdbf3XPFyZDpfSW0Lcq2hmd3DfBGK7M7E
dbcHCBrJw02GI7YAk8aZHlXKTypMBMLSFwOu9gUzsHkr8uaj4PLNcgfY5DkCy2OIaVjKStAC0R3s
kwZY1CboSomL2bqMebAqzFhipMwyEENWLNL/Dh5mjBZDzpxsr4FFDA16Yne/4XrUINhmAcGaium6
sLOWpC0KzsAgHZ3OoiZlnkxlYF6TUesZ6ZXbf04Ax8cxJXGDElWw5NBHSxGKeKgYO3mknT1+tR6F
3brjGkaPagDn+xRnLgKmTGsyMlG1RTcoqhxxw03ueL9oCMUFMi1+ESKXk0g8P+Z2D2o0HwQLsayd
zrHFnRrumH0LjYRnVaR2wW5vLMcTYhU6ppiV77TyZxpLZXg7rbM1tV47iiOqqIiohdU2M/kPnB/m
kB7jbLjEIVB9R2hoqmTf9W3CAh9JHhhNfK1YmINj6yJvGf1gIkpOCkO7lOzMFdgB7WU45aftiTVx
qNVDHkUDAQsR/XAaO9zMhYtlpHCfIt+cMVYFlWO/53HnIGhElLWdUy+/ZnjQXCujkEmrW6qOcUQ6
zkiqXCYtv1w4kV41KTWKbHq5xE4TOiH6RWDHQ16z7hCwHxuRcX//PE/5IGzb8dcveX/4k58DUSOF
1/hzgYus0jbeUfHiOBoNBunGk+vsD9GQUSDYLMt4JB/uk46QXWp15X1PTXGXgipF4JkCoi6/ZUfG
h5q48/7GKpesUuwobluJBCI9/sCbWswmY69sbvCvdmOZvTq1EkDFgCSO2iKiUY+dHVYJapCbTL+v
MgieVpIN43+HFJqGHxP5OjzHM1UNtQ04sYSGE1iy0k4fYm2rjK5OQfgy6YE6ZXy9fSCzTbWNbeCM
CQ0Eb6d01VD7GUQbS0yvzwlgCoAQM6KxF2If1FmEGa1xg2J2E/QITm3sGfp3em/kxemY6M2YgZgu
EYzTfY8BqIcZ+xBhOWoEqSkvaQ4htQZZgxXGO4Fa91ClJX7QNd3dYLXa86zIOsBMI3oGok7VRH2w
tcxNqjf75CVI2IaQp24ZWMwOPsG8f7kE1ikCBMgN1qvKaP/VFxvCTrXIpjDlyX+U/f5V0yl01WDT
1s5JFeRcxdJpzQpmqv2XQWuCceL4p0qMqtlxIAoZX7D6HUnxJWIvcERC73C9l/qTlWs5LBf3ljAG
jp8ukI1ed4dIJ3wCHTLtnU0r9+thgGY6Kk6dQX8kVbsd90KOStpHBINjvV+RCywViGbbb/ddNej/
zBvGt1ihpXnHI864LeobIERT+0Trele0iH7deJ4NIF8FRZXEVI4tqsiPKvuRrIQK14lHwUlCdcDL
SK3O2MexlXcrQMpsYQJ33uc66AT9p8BBLJy8yHnsHrmuJxnkIEIJMyRJoykpJXnpTgebNCY6qff/
CejoFrq9QVFdEzYnCnJuUvBEus4Ixn0wxM7cvLKTlvjb7cKz3qsA1u2loY8h0DJeff+U/mqkHfyw
oQbW89AuOHVay+K67m7q2CrAgEw8lrIaAtTatHtpmpyNmYZN0pXdFHcGUdlXtdGGx0KppEU9LL43
wy9VqDFL1udO6a5X7nywunS+OkJGuYlg5RoeH5J6z4h58C0VkwG9gb9EDARVue997V0pswEwh3nH
3jXq43NAiZ+ePU1ho5bc3NWEjwcdbqZPaM6a1YxImkrsxeKjO+jBoW4PG9VZNPHBK1hHBXeDNekd
SKDpDCcDH+dCc0o3DWE3j88wTYM1EJ/0PoTJ7tFE1ssl0ZCnSX5XUDFTcrCFn5DcBGKL7qsVDncj
FwHlfd7MLiIpH0i2oVGnRPYgeY8UxMCFZqWnJ25vqoq+a5Wpf4tB6/ROI5SdJSXEBZJTNgU5aBui
pXYFVg/+w8PA8Y/jSF2pdCw0ZKD6f4/iR7i6tCwTLbdi4PpXn/YAc4u6PCBDjiPcKhtkXGqL6iqN
QnrltEhRDA8bwJmsft7+j9LzGtAncATEEcrKsBiW7qZvbJNBccjw3vz4iXz+X9d69aehOpuNlM0f
sFt784Izb/wK1J+LfIqTUrMQbPSMT2lA4SvOx+wQR0wIzxTFsf9p7l4ETDuN6tbdXNrhAVu4M5m/
wJGOzCjxhcN0AH7NoKkrmSqqjHtI3W5RJi0UDyqHzc6ldG8QqCzeutulP9tYV5OYcUHrrYvfu0Cb
2bR/7PbffIqbDpcCGbGuxdSMAmESzsUbGk8MPmhfjPP8prZryz41PuIePjcc9GZ/xKvvwSuAhU+B
77AbgSTeFjrMHA4vzdFR7lsYr61O55xLAIkXfs+cTvQLNjRM40Ng4M/frjEFTcwGqtqDBCe40uMH
TOtyWX4q2liYuKbiDwACNnT5am2/HEpXXmxCD5SsWPBvGFpYc+ynJJgBlTnQxySiQOZ4nVLdkNIH
cMrGKHhjfk3f/Ex5P5PSPA+jGwTmhI2q31QIH4AeIpPwE3I7598fQbq3lsRkZeGvnNEd0gg7CPXo
fjEyxTmlatJeDpg1rOTY62TekPBm1NiIO84ejYuUtt+04Dlbzb+vCvkBxxoZFS9WsGPKbrdWpTsC
oicjGJmLzO6WB0AGuG6CqFS/neEnFw50Hb7GkMWf/SfMRU+kyxpTXm2edJ/1Xob5ATK/ZAd5PocB
5XPt8EVLNWHI4i4nI0bIpruAkXv+SiPPFqeOqOCKO/dPcDYnAP5uOGARkaC2cgPW2gYKRQO35Ybg
V/eGp9qCZsk+4sj3POm75rXLYmub5bzD9z342JnzjAhuJ1sYERjy9S4USm6cBIL1okVCn+2Urk0Y
0d3QrFMhToO+b6YCRNza8U/Cf+a+nisc10Oii3GJQvyHxfGyaj0P/wwI+DHB54Jw7xHtTe8Ael15
q8vyylD+4TmLm7lu2mbqNhzn+P6KzVPckirbTnRCY30+hnDa7KuXQ8GHIlCu/zmGAadNAvZl5KDK
23XJWOGwRXMig2pqS0UmqWWmBrCaqK/eyCMnPzgjesQkrm/5m92lWYx6PryIx4vTMAeio+VFZwA+
z9jUpPSSN8okUo2DI27lVxu5xbjXv9FjWtSTizmQl6IHTCD/vcnL+snmnP3Gfu/wkcv9icHuI4it
R1bCnUzNuI1xa35vEqhs/22uWaVqd95xgL+kWbmZ1/SttIMx9GbWmGDm3ogFeCKeY4MncBOYc5AC
ZIolC9wrixHQ2HXE86N4gIcahDdsW/+xyalT2gfCEaWDADbfvPnhP6IOLTnmmkvkjTVWJnluU9zf
1QMZ9N6oiUlixR13VCMhycHlFpQ6fXn3Z3Zq2yy0TvWw1ZgUBXlvgBBMdfDYmU48t6kKmUvISaNC
xLV6K0PnmJWJH9IYn9z2ML7uDK/pY8tz8Vn0qyACNu/nVyiKAOYhboLYwD8DG03drry58YF6rgK3
/1S9MyUdSShMEycGrwn989h4dHY9Gp8W0vttcVd7HGCwXk23dOnN/R0i3Q4bjOn03nlRHVpBpFVW
aoZIPz6McKp7eC99B4y+VutoaTLu2AUpMGCDnVYUNNfKm1JfmP70gslJx83VioQfulfWQRGCUUyg
8HDf8Z13t0KaOgTdyJlJgr/0caQZWXHFpM1NYX5joPxzvHlpLOmh7E1KAvLdePkS4vNNPOwdCFDf
5J8oJPr8rrizHQo6Qi5k0AYo0+qH3LiOk6YJtgrPFOJwliSzAS0LcWpT8u4OBVK5g1/mNv9jjLhi
NmugI2NgKVdoK2kKgljtiW/QlOd/5XS82ArnW8tsjh2H+qP/4uumryqXJ4SsJm9JKTtr7TejKcft
HbPFrouD7OtKIGm5jcw9EvzpQcPzChvYR/Xtd/Fj9T9c9GhR/NNc9F0vAdg8xiCRl9Yxv8bhzeXS
1dz0YkI8nLiWEV896erUvAgCmSgD/KlgSypbqJULmNZPkMVnsc7bPncI
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block
puDyzv0/PE73A/GBtm46F0vR/NPeoCtT0duHksnbd0Cx4Ob+Ymi7I9DZ9CaQktdUFa08Gt9ZZUvp
juG7WNawmamAlHIn1x1dRRSbR4DqTWd1tEB8YLydsK4ei0h45nmJQf7jIO9TF/BPCGZJwVvImz4F
6VpQTlx2navOwMTH0So1nDmcuIu2E1NZxrIbTT4/Ly1C+r6Q5a1UfVXGtGD7OcSQf1QmwppVkdtw
1wc+vIRtGUjhN2a38+Na876F4yiJfcXY+5wKJmrtaHmEcmwsGLA86wQVwUmeKscL3tzK16I1oSWn
PhDzfy5ENy96AmL+u+uf8KwfmBotYMkvEaW9Z5k2Fy+5PrsVQgbhf5hUebTCD25x8y41/9rbDkzu
2DPl8V7XlAdcffVYPx70UovkI8JFPeib44aL1PM2S/QTuhIU7/4q0yO3aDVsZEoH8Cuqu2aCn3Nb
vPC1JpoxJxeQeAFRmxPkGqIXSQ1q1lVMfjNKP+3ao4CgNElinfc9XrLxUt4+IrCUgoqArPXQSlyO
TNZkGZFLnA5+Tx7YGZLx8/q+sUMi6uqyUE++rEF4k6mgnJy/F0J1Fj711NaFUoHt9t9VGCQYx9IB
QZQ+nJ3W21v62IDHt9LLoUQSOThPMKWnfYZsmVs1T1KfegicMuXikWsaT2LmbWF/t6wObkUcZOsT
rIaLM4eCaeGn2z0XWmPUH74hEANF4vWz0R8TNpEq7nwBf49wUYTQD8jczjO8aCxyY2zR1vPebWZ6
9+v25mOJSF5fmcc8pPIk8ohOJ9jGQadZZLO0AkD8wRJ9tGyY4o/TyIVDVQlumy8gxKCTc3wJLA0j
y+CUzBaT74+ppaFvfmAsmXmGiqvhnZfP/13GXBRcifPmUdQIejKiLZcl8uxBFwG9gXOtn2ryRK7Q
9gU1fo8GbxrjImFOR8/SRobwI4hNPFNMJi5hVDDeTntQ6afGau6c3c4B9gE01QEtZvVehqbzLdIf
ih91l0PAoJ66pOGSiVZh9lNi9mOjGSV2ubDlMjhOBAjU2bE7ADqWVqj/fC12g2iRa1SoFQugR0MO
sWHyIbw5NQj/pCcs2hhqDOrajeYnG9OPJs3rSao4XoDfBkcqCvwlC05Wot5Yu47Sth1bH9UjUjCZ
baXAsXUKd7Na5sgsVhfYn1WmZj/QShH69RrFCWsLttjJnN56/JTyqfRCCfe6dv3rafGngQpqYN8+
CKnrB2UfnjVZIkgCDv1ZShdx257sUgF6xFEbI39fVJAmLZRBhdXH8+370rie7EZ5SJeUKemdPBHy
EcM8TUWhIZ0SIrYMYICIAm0GKcA6UDh/gX6q2ALYqQ3o2gAH+3JN7FF6HIKCmP5MYm81TZRx5Lg3
fwHrktOaKizfC0+ABD2PcWWZ6UJ+gR1c4xPUXenYHJhYtyNoo5Cl0G/QmOVSxUzODW7iRxgqABtf
3X9uvPmOsW1DCUd1YpSX9R9A+wG+4Fbu3I7TuolJkhqYJjYju7a8Xm3a+62DFp6KzLHuQLDApij9
4HWqHHzKEOOQLxW87GxsKv3LJTahEosxyzGutmDqkN8P6stgKDC9iUL0zLgnqmyp/zY0lUfvD0t1
uOEM5Fm/dL4EgxWo5a4v33dpdE72CoSdJKc09MnHP2cegFRWsgaozYYBM29hBX+rughxsb3IKORY
erQTQWPbi0irPyt6xtB96K7DejweNAvrRePwAfcBm9Y3zD8CkOEW6s5yvlNWs04+rwTSIbQGbZ5F
fmnUwXnIBqSX0s+udWaco6qIvj2zUv24VEjBAHjPBHD8HgAq4v0imMgwQK5Xt97XGcHRG62NtbBn
Cork+RpneHn2dsdeTRRyURG48QjSC0zfzVBOFmFgozRfzZBltnr0hcPIOvttCUE85F1yt8/V/jVP
w9SExohSXUiKDvYOpN+9ujcqYNaXfWEtGtzQB00zhuYT+MRWf0Byo9Vmk+QJmblzrNVjNunxxS7v
E6y9EvQU5OmrepJmwcX/oCTPiXiMmSI6OoRFKuUTfVXV83huoWtunKErsRejFUV8wGhVnTM1wx1U
9XS46qBPwg9B/noiYGl7hGCY1mcimgU9k7Jzcc5Z4ydYaaGbxlbBuv+I10q2y6Z2InMtb73v0Jmk
3Pb27jZujNp16iKx8YNfzckjHAJ084weYseeXXnp/Gbbzt0L2UMDBHII7PXyLFtkPMGcZGOwPHRP
QGBc+vQAr1dGhH+vO9fgdqsAYzYmxtxw12Javo8JdTQVDIi7mbeX5i3sDPyGZsxnlr6g+He4+VYU
svOKoy5DhnsYWqHNtypTh/JgujACJogHdHpIkpimyWbGkr+3sf126CWlMRTk/A09GLp7yUA4uBLD
g/KYW1PD5gxCxoHXFkJ5+mYXbdsUnLj6KaG5vE52CK57obwy3VazpOzxNXN1ZOlIw+Rjv8ymDqFL
aZ1A5/9nBoD1c1OqxAyEHnPin3ISgRluafS2L8bA1yuDwS5rMESYb7NlKZNDiHcLhP7qsVUB2EjR
yFll7Ia+A4cbGFS9th4hJosJaUDtnZjlGQk80IiZPlNT7N+y2JU+SlCpA372CwkejRLGyuLUJzGA
ATP5gRs3Q7mVCX+aVHe1etX/QrEGCquC3NQVPq4TM3ht1rtAsPXLMk/ChBpsT0v6mPya8m2bVR2q
omDi7MNVYWVb+Fhz+S0eFs1bqFD4/khf+4wEcZVDQA8gHxstToMs9zyBxyS4qZkH9M6ZhJdgf9TQ
s/vik/3B4oL0CKGGZ0q99oRde4YjYUju3vc7QxcTUTOAGc0V4B7ev/Hx75+NLCzKatGqwXShWm4M
19ZOA9tJ7kXxD7R4bO6F6bnKCGyeE1DYpJZjwS3v9O/Bg0VfqIkyzv7pv4S++M2I6PUIFZ7axDhb
11rEbftfsyEHhKA9RCKuCm3AWooFm0cUgN0UyAkSGMMgz5PdGLBDbJvn3UkN+J6RTfTmlccK6fUB
HLZ+mdTxJIYhQv5XmdbetC4MiWhqLbEwYlY/3WlaKf5yHtlACN68VYtJz+SqVJeWD9dZnz8LKEyH
IeTVwqlcBcgN67JTssTjhgTx6FL8e5MTgXCG0Zhbg0/nf69tPosrgdTEs3zW9YHga5QwJkcLPhQx
Rr16Q39UHANq6UVNuBd4AYxP30jwyXgSOIv7QVs9xNm7mi2tR75bfhUZWSZYiiyY0TczMOiFXvTx
KUJXG0mTv3vOeA0VIWh6JMK3uAX9FQ6+hg9FIZY9+qQAzVbPHvGtCGS2JYWa4r6UY1hpaOChgp+h
GhR0iDXZjqRX4J9qF0G9aIZEv1Nf9EoEZ3EyfLC0STakxg3ccMYXmji3dxAlIFLMw9TQgruKQzFy
QP+Que7AYzw4n041RCToHRTgMUeyEVN6mI1ngpjJB+v6VjjxwssXmx2Zk5asXx3H9RdihE31x94X
qlIXSJ9aOo8+2087AegPrMlADictGXYKO+erL/zniwJtoYU+yrDmHa/wvyHYdNaxPf9iXyJ8XXmm
uMn2QYslN8TTk+gCLvz0zLLox71Sn1fTkwQZ/PWMvRK9hp/1jwYSW2wip+s7ulxbdYFCH/ibAfSX
t6NdXduVcFCQFh9GCxkgZpRTUyj9cOyQFKYUgLflraxygUsuHRcNA3iy4wMIGEYcBxaWHMf7Jh/i
c7R9JuYyjigK/yvZn1O81avvx35VAHF2X234bE14FwZ7dEbUBUELw+VBcVXLH9IKlAndSDUafW5A
GV198p8h7l7RaP6Ro01cdNYcC5deHnXAtklWlRRllhkOwwvPWn7KD3KI8OzEJp/ICMijRdpQr+iD
wgjflZPZmCcXGSxnZKjvI8ohj2zVXfBhrNMoDe80t6l0mc/6qafCrrA62N/aNHkxbZBw/BJoi8GN
4zlQX0BLH5Q3d2/xPpwVE+IO6V1hwNXD5TrbmoOpKupI2z2DzoGA0J2DV9WYDh5hj+7tj2cBv5hm
cC81+j6jNymeD6uBqEhMhWtaUklE1LKFLikbMcO2Mlt+peg4pxeO6vOptwCzG5VP3ihtdiZ8BIfT
KaHwqKvKAXa6j8UVreQDZixc+9/pFUDJSwKxFYElKuNHq39pOxO4KJAJY7i/Y/o612FzzMKWgcRb
hg3l3wqHIDeiSbMNpohkmRJlpWKH6JFOao5nP8/D8qy6APgvcQIMUmgDN2mZGTV4Sjjk0EogSnx5
VSSbT0Lebj7Ef4yJBJEVifSCWkCGLk0AwIxoA/1X8xQwxvnaVA5R1kUedQv8PbdewKoa/gs8Tlg2
bN51HI2FNr0iAPkMB2hWC8QmOsYJ7p4Hs1itzRR8IxMc9KYLPMsKqwwZwSBxJXkr3+7bLfZn7cn5
E/dCf5MvE/42cb07oLTEwa1Z/Yz887ml1byIixwDGltMIywGpo1Q2Fx2x1uvhzpayHCkj78YcwbF
J50PvZ2FlO/tkNAoHuM06nPwAl+mA+SS2g/BKzibFi3U1UaLZwv19GY5RPcKGYG1BwNyM/zUh/2P
y3RuIsJsUWwPs0oxH96UPokZpDpkNc0ELvxQh0JXvGhN61zBOMgELDfsW0LfgJyyhQMJ6Weqxbzq
6DOEMFMvVpqEzRdmM8oAHWwQx/9DLSloCJIQE33XPkCxSA6B1UsqK66LWebtDXt4sErcSUTo7VE2
JNOTDZcsI+uXjIRaH+0sITZ4vkUDHofksvtbCX/15OyoACuJerB7hHcZDPbiSbARcD40wbLFSw6x
4bYUv0c/bYekuSd7BHrsiAzy9yjlVxLcl22RzrRBWkoU4YUCcb7Q4UFemv3N02Pd2JqCySRoXzkn
7wA0g7ZIjJ1ryYz49STTBhgKJBPTzbd5/qLHL4WXGxfKlz/98kgufEKyiRXvqqt0R2EZUnOunmCK
4pMjBFAXfXkPO2tDuCd/bqJYTJwYjWhB2Wv76r7GsaC2Wr7KDvRtX02ycSv5xdh+FqZqJwtSg2zh
trlE80dElDksMtNew3QQgOQSKf6DlkVEw/oPhVhWOBQVX0vpEoUSTrO8zm9rflINMNO2HAYZSrsY
Q+EFyzZq0smko7rU6rzeOAC4nelqd5D1ClPl1ByfWAb7ZItJpf/UF519y4F1Hfd8XLbh/YFcfqSz
M01VrE6d6NDhm9JKrro6qLCVq6Yd3GzmkPm/TxXEyPiSU3jSlsIJTcCw9GYOcLPDC1+RW3LpxlHf
S+VTYdpRoMfjr2wjjQxPxPmEITiv5mo0y/qxwir/Ezbr+kbl1fdn+K96c2mMKFW+0tnmW7sVWCh2
fXbEsKDfQe8hJU7daYBeBVPLCQb3Wl3ZgnzKqXXeIpJzau/XjSK0xofPjbGkgxnjPkZ2vCTNS4l9
0gBKxfrCaR9nFoOQYuN3rIDm+1DoniMaGd6CpEG+Bw/sNF5J89x/eI9rTxVXztAZ/eJXZh9T2t1S
mrz4kj2FMbQYMrfvm5+WKrgZ+YLT6tUJMCZvEiWuux5VIuuq0vmQI4aFA8uJ4WTtXS5Oa6q4zp1q
bS9DVoH8LuLg6IivaMPrYqUMKbYJ4LiYHdmH2hLOC/1LtDrQGNXMNoJd6rmz81QsLNaSiyVVbwfH
Fd9C0AANBlHSseRQsfkIDBXknUNdOfpPlerYbuPXd7f8emWNFwBLYrFQpexYKhuVi8fYACkdApIe
PuFHa2ZFs2io09toKlUxTI3PFYGxgN9KlVtM6c+yFB7/+gNws01F36cGlVS3+5D0GhBAfv2x4211
Xm2RwWM5lFDnHLh+ffazUUHuJ5RUdvfgOGUv/6ZiguWAAbrPC++SfU28Yb8Eh9ePK3PRVvN8hXy3
iUI3iLc+e3b0DIbERpg8cyzwCP5KqM0/zralxEOI4SBO5deYEAoUmxKYNZCWaUHEPWpgCECoxjfH
OCwr0o1HtYB/B2rxeiQ8+brKCMclkhX3Y3XFcn82W2Ky/6S9iXslL1d/pzlfU4qVhTEIWLUeXh+J
maIfjbnPYaojT0onLCDqZJu0XvWS9M3AH2RVXAMJLTTH5a4O9xetw/HxIHRRC8H2Z/We519bXc0+
sSvSfFiQRYV2+CbV/MxAJ/7nMweydIYkiz42C4inOPzy4UM2YMLrv0nYmged2KDZwEcSj2aNr/3A
XWfG+ee82VGXtvaZQx4HmHdPhnd4cgWBomPxc4tl6YqbQRU+vIRKZr4MFFZFw2A3Z7hhjEpxG2xK
Aebf5lnB0IFZArxv7+uK+mFt+XOPSPLlxsnlZsM1sIYpDjfcYeW04c4nKIFty+L3migSJ5J0gUMZ
VvO9eWJCSCL+ILfxN60ZR6P0tG//vyX0hAjkMpyu5ehsqVhSieDgLNCGWlr/kOu+ZLXN/6mnGsh0
5RBrmsw4l9hCHYr4245qsO4iUrsVtsTFWF01Ftz/UcRBrKiASooIgoh4NkUpu6rUjwSiqJwUmJ1y
vr1HMFlLB6crC6yw1j9TS0x3idqyWPSzSCOoJP8TD0xXVp07hH71jD23jg2bKlqbmyJjJdBouqSx
m+QVQjiEwhTpNfPeww7GPIaeykyPrg1+pDYuEuDv/bZUoXC8k09HKAm8WEpiDdK02EkSPdYiRUd9
BwwO6CTp/4vbC9NO4/CLP4Mh0CAxY5FplwjtbHHf2PALv6OhhRxngK2v1BfEZPuRxBDq7epOcb/G
CF4ZAvcffQ/Ke0FfRuuRJFuw0QrlmxgVLHPpjgCwt33SqaSq/DJTb/JBupcw2+z9Q4KrLK7N6Efu
x7c1kAs026uKiGUmG4FWS7gbCju5kSPcJ4mSB16yz/5EJVEN0Jrdcw60GOxNlaWjRW+fx2Lb1GHE
tlpacqdKmhfjTmGpvVyqOf1YU7ZKOdXXSKO7AFQeP3RWqfw0bCxarZAaiL9nrfBVIQ11mG7x9cPw
NOBAVnInOoLRdWZNaQ8+YW+tdp8Of5CQ0FPm5alyBCgDXPras0N3je6R1VIsDR/+UrHhL2Wl3XVJ
KP2BQLEImaAcUuzHAFtLbaosgB9rr7WX5oWPvf3TXkFFBj5L29t+r5q0qwibqgWqXFAwxy9MQIyh
rmXXWcqO2crzicwH8EIy8RLzze8l/Sp+wFpU6hLSI5lcKXXm1mwsult1FrojV1q3d7w+znLKdSfW
Cg/VaeT/5agAw4hy/aQclH0GCL+FDS/pCitXKvuJ8B05Le7njygIbyTkaTWt5e7iHUKw5b8grLMo
V3ajZ0XXPuiO5/qhFQfreucYnpztQ9pehM63ekBm0C7kMM58bQrJZiWz8ebTgH11iZhlM90zEfHO
fmE6qFH1JVWZSBM1B+9OtS405vF9Ivg1SWmBjIOHFWfb9b4VxlPhSVq8NltrbmJ9+LCUqSrByi63
o0AleLNTKnze+kWob12bCId1rNqqHc99Dqu+qNCvO7NaIOZ3E1Jm/wM/uuGqex0BwvNQu+p2yXHd
TkO+QePEV8EXYSNxMUEeOdDryDBmJj0G2Dt4HHCkO0vxC8ByyeU0w4/8/E7VCvmWa0gaC56pq6Nj
Yz8WY/22pUgHQebRotpWw+UPbk+gbX86N+2In0GdkPjhLi0gR5tHRL/tkD/eWvdmGjynI+zoT5L/
s0i8ujIrEaG+PludSikplRIRFi8IHPM6ACDvcDdptBDOdbf3XPFyZDpfSW0Lcq2hmd3DfBGK7M7E
dbcHCBrJw02GI7YAk8aZHlXKTypMBMLSFwOu9gUzsHkr8uaj4PLNcgfY5DkCy2OIaVjKStAC0R3s
kwZY1CboSomL2bqMebAqzFhipMwyEENWLNL/Dh5mjBZDzpxsr4FFDA16Yne/4XrUINhmAcGaium6
sLOWpC0KzsAgHZ3OoiZlnkxlYF6TUesZ6ZXbf04Ax8cxJXGDElWw5NBHSxGKeKgYO3mknT1+tR6F
3brjGkaPagDn+xRnLgKmTGsyMlG1RTcoqhxxw03ueL9oCMUFMi1+ESKXk0g8P+Z2D2o0HwQLsayd
zrHFnRrumH0LjYRnVaR2wW5vLMcTYhU6ppiV77TyZxpLZXg7rbM1tV47iiOqqIiohdU2M/kPnB/m
kB7jbLjEIVB9R2hoqmTf9W3CAh9JHhhNfK1YmINj6yJvGf1gIkpOCkO7lOzMFdgB7WU45aftiTVx
qNVDHkUDAQsR/XAaO9zMhYtlpHCfIt+cMVYFlWO/53HnIGhElLWdUy+/ZnjQXCujkEmrW6qOcUQ6
zkiqXCYtv1w4kV41KTWKbHq5xE4TOiH6RWDHQ16z7hCwHxuRcX//PE/5IGzb8dcveX/4k58DUSOF
1/hzgYus0jbeUfHiOBoNBunGk+vsD9GQUSDYLMt4JB/uk46QXWp15X1PTXGXgipF4JkCoi6/ZUfG
h5q48/7GKpesUuwobluJBCI9/sCbWswmY69sbvCvdmOZvTq1EkDFgCSO2iKiUY+dHVYJapCbTL+v
MgieVpIN43+HFJqGHxP5OjzHM1UNtQ04sYSGE1iy0k4fYm2rjK5OQfgy6YE6ZXy9fSCzTbWNbeCM
CQ0Eb6d01VD7GUQbS0yvzwlgCoAQM6KxF2If1FmEGa1xg2J2E/QITm3sGfp3em/kxemY6M2YgZgu
EYzTfY8BqIcZ+xBhOWoEqSkvaQ4htQZZgxXGO4Fa91ClJX7QNd3dYLXa86zIOsBMI3oGok7VRH2w
tcxNqjf75CVI2IaQp24ZWMwOPsG8f7kE1ikCBMgN1qvKaP/VFxvCTrXIpjDlyX+U/f5V0yl01WDT
1s5JFeRcxdJpzQpmqv2XQWuCceL4p0qMqtlxIAoZX7D6HUnxJWIvcERC73C9l/qTlWs5LBf3ljAG
jp8ukI1ed4dIJ3wCHTLtnU0r9+thgGY6Kk6dQX8kVbsd90KOStpHBINjvV+RCywViGbbb/ddNej/
zBvGt1ihpXnHI864LeobIERT+0Trele0iH7deJ4NIF8FRZXEVI4tqsiPKvuRrIQK14lHwUlCdcDL
SK3O2MexlXcrQMpsYQJ33uc66AT9p8BBLJy8yHnsHrmuJxnkIEIJMyRJoykpJXnpTgebNCY6qff/
CejoFrq9QVFdEzYnCnJuUvBEus4Ixn0wxM7cvLKTlvjb7cKz3qsA1u2loY8h0DJeff+U/mqkHfyw
oQbW89AuOHVay+K67m7q2CrAgEw8lrIaAtTatHtpmpyNmYZN0pXdFHcGUdlXtdGGx0KppEU9LL43
wy9VqDFL1udO6a5X7nywunS+OkJGuYlg5RoeH5J6z4h58C0VkwG9gb9EDARVue997V0pswEwh3nH
3jXq43NAiZ+ePU1ho5bc3NWEjwcdbqZPaM6a1YxImkrsxeKjO+jBoW4PG9VZNPHBK1hHBXeDNekd
SKDpDCcDH+dCc0o3DWE3j88wTYM1EJ/0PoTJ7tFE1ssl0ZCnSX5XUDFTcrCFn5DcBGKL7qsVDncj
FwHlfd7MLiIpH0i2oVGnRPYgeY8UxMCFZqWnJ25vqoq+a5Wpf4tB6/ROI5SdJSXEBZJTNgU5aBui
pXYFVg/+w8PA8Y/jSF2pdCw0ZKD6f4/iR7i6tCwTLbdi4PpXn/YAc4u6PCBDjiPcKhtkXGqL6iqN
QnrltEhRDA8bwJmsft7+j9LzGtAncATEEcrKsBiW7qZvbJNBccjw3vz4iXz+X9d69aehOpuNlM0f
sFt784Izb/wK1J+LfIqTUrMQbPSMT2lA4SvOx+wQR0wIzxTFsf9p7l4ETDuN6tbdXNrhAVu4M5m/
wJGOzCjxhcN0AH7NoKkrmSqqjHtI3W5RJi0UDyqHzc6ldG8QqCzeutulP9tYV5OYcUHrrYvfu0Cb
2bR/7PbffIqbDpcCGbGuxdSMAmESzsUbGk8MPmhfjPP8prZryz41PuIePjcc9GZ/xKvvwSuAhU+B
77AbgSTeFjrMHA4vzdFR7lsYr61O55xLAIkXfs+cTvQLNjRM40Ng4M/frjEFTcwGqtqDBCe40uMH
TOtyWX4q2liYuKbiDwACNnT5am2/HEpXXmxCD5SsWPBvGFpYc+ynJJgBlTnQxySiQOZ4nVLdkNIH
cMrGKHhjfk3f/Ex5P5PSPA+jGwTmhI2q31QIH4AeIpPwE3I7598fQbq3lsRkZeGvnNEd0gg7CPXo
fjEyxTmlatJeDpg1rOTY62TekPBm1NiIO84ejYuUtt+04Dlbzb+vCvkBxxoZFS9WsGPKbrdWpTsC
oicjGJmLzO6WB0AGuG6CqFS/neEnFw50Hb7GkMWf/SfMRU+kyxpTXm2edJ/1Xob5ATK/ZAd5PocB
5XPt8EVLNWHI4i4nI0bIpruAkXv+SiPPFqeOqOCKO/dPcDYnAP5uOGARkaC2cgPW2gYKRQO35Ybg
V/eGp9qCZsk+4sj3POm75rXLYmub5bzD9z342JnzjAhuJ1sYERjy9S4USm6cBIL1okVCn+2Urk0Y
0d3QrFMhToO+b6YCRNza8U/Cf+a+nisc10Oii3GJQvyHxfGyaj0P/wwI+DHB54Jw7xHtTe8Ael15
q8vyylD+4TmLm7lu2mbqNhzn+P6KzVPckirbTnRCY30+hnDa7KuXQ8GHIlCu/zmGAadNAvZl5KDK
23XJWOGwRXMig2pqS0UmqWWmBrCaqK/eyCMnPzgjesQkrm/5m92lWYx6PryIx4vTMAeio+VFZwA+
z9jUpPSSN8okUo2DI27lVxu5xbjXv9FjWtSTizmQl6IHTCD/vcnL+snmnP3Gfu/wkcv9icHuI4it
R1bCnUzNuI1xa35vEqhs/22uWaVqd95xgL+kWbmZ1/SttIMx9GbWmGDm3ogFeCKeY4MncBOYc5AC
ZIolC9wrixHQ2HXE86N4gIcahDdsW/+xyalT2gfCEaWDADbfvPnhP6IOLTnmmkvkjTVWJnluU9zf
1QMZ9N6oiUlixR13VCMhycHlFpQ6fXn3Z3Zq2yy0TvWw1ZgUBXlvgBBMdfDYmU48t6kKmUvISaNC
xLV6K0PnmJWJH9IYn9z2ML7uDK/pY8tz8Vn0qyACNu/nVyiKAOYhboLYwD8DG03drry58YF6rgK3
/1S9MyUdSShMEycGrwn989h4dHY9Gp8W0vttcVd7HGCwXk23dOnN/R0i3Q4bjOn03nlRHVpBpFVW
aoZIPz6McKp7eC99B4y+VutoaTLu2AUpMGCDnVYUNNfKm1JfmP70gslJx83VioQfulfWQRGCUUyg
8HDf8Z13t0KaOgTdyJlJgr/0caQZWXHFpM1NYX5joPxzvHlpLOmh7E1KAvLdePkS4vNNPOwdCFDf
5J8oJPr8rrizHQo6Qi5k0AYo0+qH3LiOk6YJtgrPFOJwliSzAS0LcWpT8u4OBVK5g1/mNv9jjLhi
NmugI2NgKVdoK2kKgljtiW/QlOd/5XS82ArnW8tsjh2H+qP/4uumryqXJ4SsJm9JKTtr7TejKcft
HbPFrouD7OtKIGm5jcw9EvzpQcPzChvYR/Xtd/Fj9T9c9GhR/NNc9F0vAdg8xiCRl9Yxv8bhzeXS
1dz0YkI8nLiWEV896erUvAgCmSgD/KlgSypbqJULmNZPkMVnsc7bPncI
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity seq_det is
port(
clk : in std_logic;
reset : in std_logic;
seq : in std_logic;
det : out std_logic
);
end entity;
architecture seq_det of seq_det is
type state_type is (A,B,C,D);
signal state : state_type := A;
begin
process(clk)
begin
if reset='1' then
det<='0';
state<=A;
elsif rising_edge(clk) then
case state is
when A =>
det<='0';
if seq = '0' then
state<=A;
else
state<=B;
end if;
when B =>
if seq = '0' then
state<=C;
else
state<=B;
end if;
when C =>
if seq = '0' then
state<=A;
else
state<=D;
end if;
when D=>
if seq = '0' then
state<=C;
else
state<=A;
det<='1';
end if;
when others => NULL;
end case;
end if;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
library WORK;
use WORK.all;
entity c_multiplexer is
generic
(
width : integer := 4;
no_of_inputs : integer := 2;
select_size : integer := 1
);
port
(
input : in std_logic_vector(((width * no_of_inputs) - 1) downto 0);
mux_select : in std_logic_vector ((select_size - 1) downto 0);
output : out std_logic_vector ((width - 1) downto 0)
);
end c_multiplexer;
architecture behavior of c_multiplexer is
signal sel : integer := 0;
begin
process (mux_select)
variable val : integer := 0;
begin
if (mux_select(0) /= 'X') then
val := 0;
for i in select_size - 1 downto 0 loop
if mux_select(i) = '1' then
val := 2 ** i + val;
end if;
end loop;
sel <= val;
end if;
end process;
process (input, sel)
begin
output <= input(((sel + 1) * width - 1) downto (sel * width));
end process;
end behavior;
|
-------------------------------------------------------------------------------
--
-- Copyright (C) 2009, 2010 Dr. Juergen Sauermann
--
-- This code is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This code is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this code (see the file named COPYING).
-- If not, see http://www.gnu.org/licenses/.
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- Module Name: RegisterFile - Behavioral
-- Create Date: 12:43:34 10/28/2009
-- Description: a register file (16 register pairs) of a CPU.
--
-------------------------------------------------------------------------------
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.common.ALL;
entity register_file is
port ( I_CLK : in std_logic;
I_AMOD : in std_logic_vector( 5 downto 0);
I_COND : in std_logic_vector( 3 downto 0);
I_DDDDD : in std_logic_vector( 4 downto 0);
I_DIN : in std_logic_vector(15 downto 0);
I_FLAGS : in std_logic_vector( 7 downto 0);
I_IMM : in std_logic_vector(15 downto 0);
I_RRRR : in std_logic_vector( 4 downto 1);
I_WE_01 : in std_logic;
I_WE_D : in std_logic_vector( 1 downto 0);
I_WE_F : in std_logic;
I_WE_M : in std_logic;
I_WE_XYZS : in std_logic;
Q_ADR : out std_logic_vector(15 downto 0);
Q_CC : out std_logic;
Q_D : out std_logic_vector(15 downto 0);
Q_FLAGS : out std_logic_vector( 7 downto 0);
Q_R : out std_logic_vector(15 downto 0);
Q_S : out std_logic_vector( 7 downto 0);
Q_Z : out std_logic_vector(15 downto 0));
end register_file;
architecture Behavioral of register_file is
component reg_16
port ( I_CLK : in std_logic;
I_D : in std_logic_vector(15 downto 0);
I_WE : in std_logic_vector( 1 downto 0);
Q : out std_logic_vector(15 downto 0));
end component;
signal R_R00 : std_logic_vector(15 downto 0);
signal R_R02 : std_logic_vector(15 downto 0);
signal R_R04 : std_logic_vector(15 downto 0);
signal R_R06 : std_logic_vector(15 downto 0);
signal R_R08 : std_logic_vector(15 downto 0);
signal R_R10 : std_logic_vector(15 downto 0);
signal R_R12 : std_logic_vector(15 downto 0);
signal R_R14 : std_logic_vector(15 downto 0);
signal R_R16 : std_logic_vector(15 downto 0);
signal R_R18 : std_logic_vector(15 downto 0);
signal R_R20 : std_logic_vector(15 downto 0);
signal R_R22 : std_logic_vector(15 downto 0);
signal R_R24 : std_logic_vector(15 downto 0);
signal R_R26 : std_logic_vector(15 downto 0);
signal R_R28 : std_logic_vector(15 downto 0);
signal R_R30 : std_logic_vector(15 downto 0);
signal R_SP : std_logic_vector(15 downto 0); -- stack pointer
component status_reg is
port ( I_CLK : in std_logic;
I_COND : in std_logic_vector ( 3 downto 0);
I_DIN : in std_logic_vector ( 7 downto 0);
I_FLAGS : in std_logic_vector ( 7 downto 0);
I_WE_F : in std_logic;
I_WE_SR : in std_logic;
Q : out std_logic_vector ( 7 downto 0);
Q_CC : out std_logic);
end component;
signal S_FLAGS : std_logic_vector( 7 downto 0);
signal L_ADR : std_logic_vector(15 downto 0);
signal L_BASE : std_logic_vector(15 downto 0);
signal L_DDDD : std_logic_vector( 4 downto 1);
signal L_DSP : std_logic_vector(15 downto 0);
signal L_DX : std_logic_vector(15 downto 0);
signal L_DY : std_logic_vector(15 downto 0);
signal L_DZ : std_logic_vector(15 downto 0);
signal L_PRE : std_logic_vector(15 downto 0);
signal L_POST : std_logic_vector(15 downto 0);
signal L_S : std_logic_vector(15 downto 0);
signal L_WE_SP_AMOD : std_logic;
signal L_WE : std_logic_vector(31 downto 0);
signal L_WE_A : std_logic;
signal L_WE_D : std_logic_vector(31 downto 0);
signal L_WE_D2 : std_logic_vector( 1 downto 0);
signal L_WE_DD : std_logic_vector(31 downto 0);
signal L_WE_IO : std_logic_vector(31 downto 0);
signal L_WE_MISC : std_logic_vector(31 downto 0);
signal L_WE_X : std_logic;
signal L_WE_Y : std_logic;
signal L_WE_Z : std_logic;
signal L_WE_SP : std_logic_vector( 1 downto 0);
signal L_WE_SR : std_logic;
signal L_XYZS : std_logic_vector(15 downto 0);
begin
r00: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 1 downto 0), I_D => I_DIN, Q => R_R00);
r02: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 3 downto 2), I_D => I_DIN, Q => R_R02);
r04: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 5 downto 4), I_D => I_DIN, Q => R_R04);
r06: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 7 downto 6), I_D => I_DIN, Q => R_R06);
r08: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 9 downto 8), I_D => I_DIN, Q => R_R08);
r10: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(11 downto 10), I_D => I_DIN, Q => R_R10);
r12: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(13 downto 12), I_D => I_DIN, Q => R_R12);
r14: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(15 downto 14), I_D => I_DIN, Q => R_R14);
r16: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(17 downto 16), I_D => I_DIN, Q => R_R16);
r18: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(19 downto 18), I_D => I_DIN, Q => R_R18);
r20: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(21 downto 20), I_D => I_DIN, Q => R_R20);
r22: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(23 downto 22), I_D => I_DIN, Q => R_R22);
r24: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(25 downto 24), I_D => I_DIN, Q => R_R24);
r26: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(27 downto 26), I_D => L_DX, Q => R_R26);
r28: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(29 downto 28), I_D => L_DY, Q => R_R28);
r30: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(31 downto 30), I_D => L_DZ, Q => R_R30);
sp: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE_SP, I_D => L_DSP, Q => R_SP);
sr: status_reg
port map( I_CLK => I_CLK,
I_COND => I_COND,
I_DIN => I_DIN(7 downto 0),
I_FLAGS => I_FLAGS,
I_WE_F => I_WE_F,
I_WE_SR => L_WE_SR,
Q => S_FLAGS,
Q_CC => Q_CC);
-- The output of the register selected by L_ADR.
--
process(R_R00, R_R02, R_R04, R_R06, R_R08, R_R10, R_R12, R_R14,
R_R16, R_R18, R_R20, R_R22, R_R24, R_R26, R_R28, R_R30,
R_SP, S_FLAGS, L_ADR(6 downto 1))
begin
case L_ADR(6 downto 1) is
when "000000" => L_S <= R_R00;
when "000001" => L_S <= R_R02;
when "000010" => L_S <= R_R04;
when "000011" => L_S <= R_R06;
when "000100" => L_S <= R_R08;
when "000101" => L_S <= R_R10;
when "000110" => L_S <= R_R12;
when "000111" => L_S <= R_R14;
when "001000" => L_S <= R_R16;
when "001001" => L_S <= R_R18;
when "001010" => L_S <= R_R20;
when "001011" => L_S <= R_R22;
when "001100" => L_S <= R_R24;
when "001101" => L_S <= R_R26;
when "001110" => L_S <= R_R28;
when "001111" => L_S <= R_R30;
when "101110" => L_S <= R_SP ( 7 downto 0) & X"00"; -- SPL
when others => L_S <= S_FLAGS & R_SP (15 downto 8); -- SR/SPH
end case;
end process;
-- The output of the register pair selected by I_DDDDD.
--
process(R_R00, R_R02, R_R04, R_R06, R_R08, R_R10, R_R12, R_R14,
R_R16, R_R18, R_R20, R_R22, R_R24, R_R26, R_R28, R_R30,
I_DDDDD(4 downto 1))
begin
case I_DDDDD(4 downto 1) is
when "0000" => Q_D <= R_R00;
when "0001" => Q_D <= R_R02;
when "0010" => Q_D <= R_R04;
when "0011" => Q_D <= R_R06;
when "0100" => Q_D <= R_R08;
when "0101" => Q_D <= R_R10;
when "0110" => Q_D <= R_R12;
when "0111" => Q_D <= R_R14;
when "1000" => Q_D <= R_R16;
when "1001" => Q_D <= R_R18;
when "1010" => Q_D <= R_R20;
when "1011" => Q_D <= R_R22;
when "1100" => Q_D <= R_R24;
when "1101" => Q_D <= R_R26;
when "1110" => Q_D <= R_R28;
when others => Q_D <= R_R30;
end case;
end process;
-- The output of the register pair selected by I_RRRR.
--
process(R_R00, R_R02, R_R04, R_R06, R_R08, R_R10, R_R12, R_R14,
R_R16, R_R18, R_R20, R_R22, R_R24, R_R26, R_R28, R_R30, I_RRRR)
begin
case I_RRRR is
when "0000" => Q_R <= R_R00;
when "0001" => Q_R <= R_R02;
when "0010" => Q_R <= R_R04;
when "0011" => Q_R <= R_R06;
when "0100" => Q_R <= R_R08;
when "0101" => Q_R <= R_R10;
when "0110" => Q_R <= R_R12;
when "0111" => Q_R <= R_R14;
when "1000" => Q_R <= R_R16;
when "1001" => Q_R <= R_R18;
when "1010" => Q_R <= R_R20;
when "1011" => Q_R <= R_R22;
when "1100" => Q_R <= R_R24;
when "1101" => Q_R <= R_R26;
when "1110" => Q_R <= R_R28;
when others => Q_R <= R_R30;
end case;
end process;
-- the base value of the X/Y/Z/SP register as per I_AMOD.
--
process(I_AMOD(2 downto 0), I_IMM, R_SP, R_R26, R_R28, R_R30)
begin
case I_AMOD(2 downto 0) is
when AS_SP => L_BASE <= R_SP;
when AS_Z => L_BASE <= R_R30;
when AS_Y => L_BASE <= R_R28;
when AS_X => L_BASE <= R_R26;
when AS_IMM => L_BASE <= I_IMM;
when others => L_BASE <= X"0000";
end case;
end process;
-- the value of the X/Y/Z/SP register after a potential PRE-inc/decrement
-- (by 1 or 2) and POST-inc/decrement (by 1 or 2).
--
process(I_AMOD, I_IMM)
begin
case I_AMOD is
when AMOD_Xq | AMOD_Yq | AMOD_Zq =>
L_PRE <= I_IMM; L_POST <= X"0000";
when AMOD_Xi | AMOD_Yi | AMOD_Zi =>
L_PRE <= X"0000"; L_POST <= X"0001";
when AMOD_dX | AMOD_dY | AMOD_dZ =>
L_PRE <= X"FFFF"; L_POST <= X"FFFF";
when AMOD_iSP =>
L_PRE <= X"0001"; L_POST <= X"0001";
when AMOD_iiSP=>
L_PRE <= X"0001"; L_POST <= X"0002";
when AMOD_SPd =>
L_PRE <= X"0000"; L_POST <= X"FFFF";
when AMOD_SPdd=>
L_PRE <= X"FFFF"; L_POST <= X"FFFE";
when others =>
L_PRE <= X"0000"; L_POST <= X"0000";
end case;
end process;
L_XYZS <= L_BASE + L_POST;
L_ADR <= L_BASE + L_PRE;
L_WE_A <= I_WE_M when (L_ADR(15 downto 5) = "00000000000") else '0';
L_WE_SR <= I_WE_M when (L_ADR = X"005F") else '0';
L_WE_SP_AMOD <= I_WE_XYZS when (I_AMOD(2 downto 0) = AS_SP) else '0';
L_WE_SP(1) <= I_WE_M when (L_ADR = X"005E") else L_WE_SP_AMOD;
L_WE_SP(0) <= I_WE_M when (L_ADR = X"005D") else L_WE_SP_AMOD;
L_DX <= L_XYZS when (L_WE_MISC(26) = '1') else I_DIN;
L_DY <= L_XYZS when (L_WE_MISC(28) = '1') else I_DIN;
L_DZ <= L_XYZS when (L_WE_MISC(30) = '1') else I_DIN;
L_DSP <= L_XYZS when (I_AMOD(3 downto 0) = AM_WS) else I_DIN;
-- the WE signals for the differen registers.
--
-- case 1: write to an 8-bit register addressed by DDDDD.
--
-- I_WE_D(0) = '1' and I_DDDDD matches,
--
L_WE_D( 0) <= I_WE_D(0) when (I_DDDDD = "00000") else '0';
L_WE_D( 1) <= I_WE_D(0) when (I_DDDDD = "00001") else '0';
L_WE_D( 2) <= I_WE_D(0) when (I_DDDDD = "00010") else '0';
L_WE_D( 3) <= I_WE_D(0) when (I_DDDDD = "00011") else '0';
L_WE_D( 4) <= I_WE_D(0) when (I_DDDDD = "00100") else '0';
L_WE_D( 5) <= I_WE_D(0) when (I_DDDDD = "00101") else '0';
L_WE_D( 6) <= I_WE_D(0) when (I_DDDDD = "00110") else '0';
L_WE_D( 7) <= I_WE_D(0) when (I_DDDDD = "00111") else '0';
L_WE_D( 8) <= I_WE_D(0) when (I_DDDDD = "01000") else '0';
L_WE_D( 9) <= I_WE_D(0) when (I_DDDDD = "01001") else '0';
L_WE_D(10) <= I_WE_D(0) when (I_DDDDD = "01010") else '0';
L_WE_D(11) <= I_WE_D(0) when (I_DDDDD = "01011") else '0';
L_WE_D(12) <= I_WE_D(0) when (I_DDDDD = "01100") else '0';
L_WE_D(13) <= I_WE_D(0) when (I_DDDDD = "01101") else '0';
L_WE_D(14) <= I_WE_D(0) when (I_DDDDD = "01110") else '0';
L_WE_D(15) <= I_WE_D(0) when (I_DDDDD = "01111") else '0';
L_WE_D(16) <= I_WE_D(0) when (I_DDDDD = "10000") else '0';
L_WE_D(17) <= I_WE_D(0) when (I_DDDDD = "10001") else '0';
L_WE_D(18) <= I_WE_D(0) when (I_DDDDD = "10010") else '0';
L_WE_D(19) <= I_WE_D(0) when (I_DDDDD = "10011") else '0';
L_WE_D(20) <= I_WE_D(0) when (I_DDDDD = "10100") else '0';
L_WE_D(21) <= I_WE_D(0) when (I_DDDDD = "10101") else '0';
L_WE_D(22) <= I_WE_D(0) when (I_DDDDD = "10110") else '0';
L_WE_D(23) <= I_WE_D(0) when (I_DDDDD = "10111") else '0';
L_WE_D(24) <= I_WE_D(0) when (I_DDDDD = "11000") else '0';
L_WE_D(25) <= I_WE_D(0) when (I_DDDDD = "11001") else '0';
L_WE_D(26) <= I_WE_D(0) when (I_DDDDD = "11010") else '0';
L_WE_D(27) <= I_WE_D(0) when (I_DDDDD = "11011") else '0';
L_WE_D(28) <= I_WE_D(0) when (I_DDDDD = "11100") else '0';
L_WE_D(29) <= I_WE_D(0) when (I_DDDDD = "11101") else '0';
L_WE_D(30) <= I_WE_D(0) when (I_DDDDD = "11110") else '0';
L_WE_D(31) <= I_WE_D(0) when (I_DDDDD = "11111") else '0';
--
-- case 2: write to a 16-bit register pair addressed by DDDD.
--
-- I_WE_DD(1) = '1' and L_DDDD matches,
--
L_DDDD <= I_DDDDD(4 downto 1);
L_WE_D2 <= I_WE_D(1) & I_WE_D(1);
L_WE_DD( 1 downto 0) <= L_WE_D2 when (L_DDDD = "0000") else "00";
L_WE_DD( 3 downto 2) <= L_WE_D2 when (L_DDDD = "0001") else "00";
L_WE_DD( 5 downto 4) <= L_WE_D2 when (L_DDDD = "0010") else "00";
L_WE_DD( 7 downto 6) <= L_WE_D2 when (L_DDDD = "0011") else "00";
L_WE_DD( 9 downto 8) <= L_WE_D2 when (L_DDDD = "0100") else "00";
L_WE_DD(11 downto 10) <= L_WE_D2 when (L_DDDD = "0101") else "00";
L_WE_DD(13 downto 12) <= L_WE_D2 when (L_DDDD = "0110") else "00";
L_WE_DD(15 downto 14) <= L_WE_D2 when (L_DDDD = "0111") else "00";
L_WE_DD(17 downto 16) <= L_WE_D2 when (L_DDDD = "1000") else "00";
L_WE_DD(19 downto 18) <= L_WE_D2 when (L_DDDD = "1001") else "00";
L_WE_DD(21 downto 20) <= L_WE_D2 when (L_DDDD = "1010") else "00";
L_WE_DD(23 downto 22) <= L_WE_D2 when (L_DDDD = "1011") else "00";
L_WE_DD(25 downto 24) <= L_WE_D2 when (L_DDDD = "1100") else "00";
L_WE_DD(27 downto 26) <= L_WE_D2 when (L_DDDD = "1101") else "00";
L_WE_DD(29 downto 28) <= L_WE_D2 when (L_DDDD = "1110") else "00";
L_WE_DD(31 downto 30) <= L_WE_D2 when (L_DDDD = "1111") else "00";
--
-- case 3: write to an 8-bit register pair addressed by an I/O address.
--
-- L_WE_A = '1' and L_ADR(4 downto 0) matches
--
L_WE_IO( 0) <= L_WE_A when (L_ADR(4 downto 0) = "00000") else '0';
L_WE_IO( 1) <= L_WE_A when (L_ADR(4 downto 0) = "00001") else '0';
L_WE_IO( 2) <= L_WE_A when (L_ADR(4 downto 0) = "00010") else '0';
L_WE_IO( 3) <= L_WE_A when (L_ADR(4 downto 0) = "00011") else '0';
L_WE_IO( 4) <= L_WE_A when (L_ADR(4 downto 0) = "00100") else '0';
L_WE_IO( 5) <= L_WE_A when (L_ADR(4 downto 0) = "00101") else '0';
L_WE_IO( 6) <= L_WE_A when (L_ADR(4 downto 0) = "00110") else '0';
L_WE_IO( 7) <= L_WE_A when (L_ADR(4 downto 0) = "00111") else '0';
L_WE_IO( 8) <= L_WE_A when (L_ADR(4 downto 0) = "01000") else '0';
L_WE_IO( 9) <= L_WE_A when (L_ADR(4 downto 0) = "01001") else '0';
L_WE_IO(10) <= L_WE_A when (L_ADR(4 downto 0) = "01010") else '0';
L_WE_IO(11) <= L_WE_A when (L_ADR(4 downto 0) = "01011") else '0';
L_WE_IO(12) <= L_WE_A when (L_ADR(4 downto 0) = "01100") else '0';
L_WE_IO(13) <= L_WE_A when (L_ADR(4 downto 0) = "01101") else '0';
L_WE_IO(14) <= L_WE_A when (L_ADR(4 downto 0) = "01110") else '0';
L_WE_IO(15) <= L_WE_A when (L_ADR(4 downto 0) = "01111") else '0';
L_WE_IO(16) <= L_WE_A when (L_ADR(4 downto 0) = "10000") else '0';
L_WE_IO(17) <= L_WE_A when (L_ADR(4 downto 0) = "10001") else '0';
L_WE_IO(18) <= L_WE_A when (L_ADR(4 downto 0) = "10010") else '0';
L_WE_IO(19) <= L_WE_A when (L_ADR(4 downto 0) = "10011") else '0';
L_WE_IO(20) <= L_WE_A when (L_ADR(4 downto 0) = "10100") else '0';
L_WE_IO(21) <= L_WE_A when (L_ADR(4 downto 0) = "10101") else '0';
L_WE_IO(22) <= L_WE_A when (L_ADR(4 downto 0) = "10110") else '0';
L_WE_IO(23) <= L_WE_A when (L_ADR(4 downto 0) = "10111") else '0';
L_WE_IO(24) <= L_WE_A when (L_ADR(4 downto 0) = "11000") else '0';
L_WE_IO(25) <= L_WE_A when (L_ADR(4 downto 0) = "11001") else '0';
L_WE_IO(26) <= L_WE_A when (L_ADR(4 downto 0) = "11010") else '0';
L_WE_IO(27) <= L_WE_A when (L_ADR(4 downto 0) = "11011") else '0';
L_WE_IO(28) <= L_WE_A when (L_ADR(4 downto 0) = "11100") else '0';
L_WE_IO(29) <= L_WE_A when (L_ADR(4 downto 0) = "11101") else '0';
L_WE_IO(30) <= L_WE_A when (L_ADR(4 downto 0) = "11110") else '0';
L_WE_IO(31) <= L_WE_A when (L_ADR(4 downto 0) = "11111") else '0';
-- case 4 special cases.
-- 4a. WE_01 for register pair 0/1 (multiplication opcode).
-- 4b. I_WE_XYZS for X (register pairs 26/27) and I_AMOD matches
-- 4c. I_WE_XYZS for Y (register pairs 28/29) and I_AMOD matches
-- 4d. I_WE_XYZS for Z (register pairs 30/31) and I_AMOD matches
--
L_WE_X <= I_WE_XYZS when (I_AMOD(3 downto 0) = AM_WX) else '0';
L_WE_Y <= I_WE_XYZS when (I_AMOD(3 downto 0) = AM_WY) else '0';
L_WE_Z <= I_WE_XYZS when (I_AMOD(3 downto 0) = AM_WZ) else '0';
L_WE_MISC <= L_WE_Z & L_WE_Z & -- -Z and Z+ address modes r30
L_WE_Y & L_WE_Y & -- -Y and Y+ address modes r28
L_WE_X & L_WE_X & -- -X and X+ address modes r26
X"000000" & -- never r24 - r02
I_WE_01 & I_WE_01; -- multiplication result r00
L_WE <= L_WE_D or L_WE_DD or L_WE_IO or L_WE_MISC;
Q_S <= L_S( 7 downto 0) when (L_ADR(0) = '0') else L_S(15 downto 8);
Q_FLAGS <= S_FLAGS;
Q_Z <= R_R30;
Q_ADR <= L_ADR;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- Copyright (C) 2009, 2010 Dr. Juergen Sauermann
--
-- This code is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This code is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this code (see the file named COPYING).
-- If not, see http://www.gnu.org/licenses/.
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- Module Name: RegisterFile - Behavioral
-- Create Date: 12:43:34 10/28/2009
-- Description: a register file (16 register pairs) of a CPU.
--
-------------------------------------------------------------------------------
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.common.ALL;
entity register_file is
port ( I_CLK : in std_logic;
I_AMOD : in std_logic_vector( 5 downto 0);
I_COND : in std_logic_vector( 3 downto 0);
I_DDDDD : in std_logic_vector( 4 downto 0);
I_DIN : in std_logic_vector(15 downto 0);
I_FLAGS : in std_logic_vector( 7 downto 0);
I_IMM : in std_logic_vector(15 downto 0);
I_RRRR : in std_logic_vector( 4 downto 1);
I_WE_01 : in std_logic;
I_WE_D : in std_logic_vector( 1 downto 0);
I_WE_F : in std_logic;
I_WE_M : in std_logic;
I_WE_XYZS : in std_logic;
Q_ADR : out std_logic_vector(15 downto 0);
Q_CC : out std_logic;
Q_D : out std_logic_vector(15 downto 0);
Q_FLAGS : out std_logic_vector( 7 downto 0);
Q_R : out std_logic_vector(15 downto 0);
Q_S : out std_logic_vector( 7 downto 0);
Q_Z : out std_logic_vector(15 downto 0));
end register_file;
architecture Behavioral of register_file is
component reg_16
port ( I_CLK : in std_logic;
I_D : in std_logic_vector(15 downto 0);
I_WE : in std_logic_vector( 1 downto 0);
Q : out std_logic_vector(15 downto 0));
end component;
signal R_R00 : std_logic_vector(15 downto 0);
signal R_R02 : std_logic_vector(15 downto 0);
signal R_R04 : std_logic_vector(15 downto 0);
signal R_R06 : std_logic_vector(15 downto 0);
signal R_R08 : std_logic_vector(15 downto 0);
signal R_R10 : std_logic_vector(15 downto 0);
signal R_R12 : std_logic_vector(15 downto 0);
signal R_R14 : std_logic_vector(15 downto 0);
signal R_R16 : std_logic_vector(15 downto 0);
signal R_R18 : std_logic_vector(15 downto 0);
signal R_R20 : std_logic_vector(15 downto 0);
signal R_R22 : std_logic_vector(15 downto 0);
signal R_R24 : std_logic_vector(15 downto 0);
signal R_R26 : std_logic_vector(15 downto 0);
signal R_R28 : std_logic_vector(15 downto 0);
signal R_R30 : std_logic_vector(15 downto 0);
signal R_SP : std_logic_vector(15 downto 0); -- stack pointer
component status_reg is
port ( I_CLK : in std_logic;
I_COND : in std_logic_vector ( 3 downto 0);
I_DIN : in std_logic_vector ( 7 downto 0);
I_FLAGS : in std_logic_vector ( 7 downto 0);
I_WE_F : in std_logic;
I_WE_SR : in std_logic;
Q : out std_logic_vector ( 7 downto 0);
Q_CC : out std_logic);
end component;
signal S_FLAGS : std_logic_vector( 7 downto 0);
signal L_ADR : std_logic_vector(15 downto 0);
signal L_BASE : std_logic_vector(15 downto 0);
signal L_DDDD : std_logic_vector( 4 downto 1);
signal L_DSP : std_logic_vector(15 downto 0);
signal L_DX : std_logic_vector(15 downto 0);
signal L_DY : std_logic_vector(15 downto 0);
signal L_DZ : std_logic_vector(15 downto 0);
signal L_PRE : std_logic_vector(15 downto 0);
signal L_POST : std_logic_vector(15 downto 0);
signal L_S : std_logic_vector(15 downto 0);
signal L_WE_SP_AMOD : std_logic;
signal L_WE : std_logic_vector(31 downto 0);
signal L_WE_A : std_logic;
signal L_WE_D : std_logic_vector(31 downto 0);
signal L_WE_D2 : std_logic_vector( 1 downto 0);
signal L_WE_DD : std_logic_vector(31 downto 0);
signal L_WE_IO : std_logic_vector(31 downto 0);
signal L_WE_MISC : std_logic_vector(31 downto 0);
signal L_WE_X : std_logic;
signal L_WE_Y : std_logic;
signal L_WE_Z : std_logic;
signal L_WE_SP : std_logic_vector( 1 downto 0);
signal L_WE_SR : std_logic;
signal L_XYZS : std_logic_vector(15 downto 0);
begin
r00: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 1 downto 0), I_D => I_DIN, Q => R_R00);
r02: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 3 downto 2), I_D => I_DIN, Q => R_R02);
r04: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 5 downto 4), I_D => I_DIN, Q => R_R04);
r06: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 7 downto 6), I_D => I_DIN, Q => R_R06);
r08: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 9 downto 8), I_D => I_DIN, Q => R_R08);
r10: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(11 downto 10), I_D => I_DIN, Q => R_R10);
r12: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(13 downto 12), I_D => I_DIN, Q => R_R12);
r14: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(15 downto 14), I_D => I_DIN, Q => R_R14);
r16: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(17 downto 16), I_D => I_DIN, Q => R_R16);
r18: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(19 downto 18), I_D => I_DIN, Q => R_R18);
r20: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(21 downto 20), I_D => I_DIN, Q => R_R20);
r22: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(23 downto 22), I_D => I_DIN, Q => R_R22);
r24: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(25 downto 24), I_D => I_DIN, Q => R_R24);
r26: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(27 downto 26), I_D => L_DX, Q => R_R26);
r28: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(29 downto 28), I_D => L_DY, Q => R_R28);
r30: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(31 downto 30), I_D => L_DZ, Q => R_R30);
sp: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE_SP, I_D => L_DSP, Q => R_SP);
sr: status_reg
port map( I_CLK => I_CLK,
I_COND => I_COND,
I_DIN => I_DIN(7 downto 0),
I_FLAGS => I_FLAGS,
I_WE_F => I_WE_F,
I_WE_SR => L_WE_SR,
Q => S_FLAGS,
Q_CC => Q_CC);
-- The output of the register selected by L_ADR.
--
process(R_R00, R_R02, R_R04, R_R06, R_R08, R_R10, R_R12, R_R14,
R_R16, R_R18, R_R20, R_R22, R_R24, R_R26, R_R28, R_R30,
R_SP, S_FLAGS, L_ADR(6 downto 1))
begin
case L_ADR(6 downto 1) is
when "000000" => L_S <= R_R00;
when "000001" => L_S <= R_R02;
when "000010" => L_S <= R_R04;
when "000011" => L_S <= R_R06;
when "000100" => L_S <= R_R08;
when "000101" => L_S <= R_R10;
when "000110" => L_S <= R_R12;
when "000111" => L_S <= R_R14;
when "001000" => L_S <= R_R16;
when "001001" => L_S <= R_R18;
when "001010" => L_S <= R_R20;
when "001011" => L_S <= R_R22;
when "001100" => L_S <= R_R24;
when "001101" => L_S <= R_R26;
when "001110" => L_S <= R_R28;
when "001111" => L_S <= R_R30;
when "101110" => L_S <= R_SP ( 7 downto 0) & X"00"; -- SPL
when others => L_S <= S_FLAGS & R_SP (15 downto 8); -- SR/SPH
end case;
end process;
-- The output of the register pair selected by I_DDDDD.
--
process(R_R00, R_R02, R_R04, R_R06, R_R08, R_R10, R_R12, R_R14,
R_R16, R_R18, R_R20, R_R22, R_R24, R_R26, R_R28, R_R30,
I_DDDDD(4 downto 1))
begin
case I_DDDDD(4 downto 1) is
when "0000" => Q_D <= R_R00;
when "0001" => Q_D <= R_R02;
when "0010" => Q_D <= R_R04;
when "0011" => Q_D <= R_R06;
when "0100" => Q_D <= R_R08;
when "0101" => Q_D <= R_R10;
when "0110" => Q_D <= R_R12;
when "0111" => Q_D <= R_R14;
when "1000" => Q_D <= R_R16;
when "1001" => Q_D <= R_R18;
when "1010" => Q_D <= R_R20;
when "1011" => Q_D <= R_R22;
when "1100" => Q_D <= R_R24;
when "1101" => Q_D <= R_R26;
when "1110" => Q_D <= R_R28;
when others => Q_D <= R_R30;
end case;
end process;
-- The output of the register pair selected by I_RRRR.
--
process(R_R00, R_R02, R_R04, R_R06, R_R08, R_R10, R_R12, R_R14,
R_R16, R_R18, R_R20, R_R22, R_R24, R_R26, R_R28, R_R30, I_RRRR)
begin
case I_RRRR is
when "0000" => Q_R <= R_R00;
when "0001" => Q_R <= R_R02;
when "0010" => Q_R <= R_R04;
when "0011" => Q_R <= R_R06;
when "0100" => Q_R <= R_R08;
when "0101" => Q_R <= R_R10;
when "0110" => Q_R <= R_R12;
when "0111" => Q_R <= R_R14;
when "1000" => Q_R <= R_R16;
when "1001" => Q_R <= R_R18;
when "1010" => Q_R <= R_R20;
when "1011" => Q_R <= R_R22;
when "1100" => Q_R <= R_R24;
when "1101" => Q_R <= R_R26;
when "1110" => Q_R <= R_R28;
when others => Q_R <= R_R30;
end case;
end process;
-- the base value of the X/Y/Z/SP register as per I_AMOD.
--
process(I_AMOD(2 downto 0), I_IMM, R_SP, R_R26, R_R28, R_R30)
begin
case I_AMOD(2 downto 0) is
when AS_SP => L_BASE <= R_SP;
when AS_Z => L_BASE <= R_R30;
when AS_Y => L_BASE <= R_R28;
when AS_X => L_BASE <= R_R26;
when AS_IMM => L_BASE <= I_IMM;
when others => L_BASE <= X"0000";
end case;
end process;
-- the value of the X/Y/Z/SP register after a potential PRE-inc/decrement
-- (by 1 or 2) and POST-inc/decrement (by 1 or 2).
--
process(I_AMOD, I_IMM)
begin
case I_AMOD is
when AMOD_Xq | AMOD_Yq | AMOD_Zq =>
L_PRE <= I_IMM; L_POST <= X"0000";
when AMOD_Xi | AMOD_Yi | AMOD_Zi =>
L_PRE <= X"0000"; L_POST <= X"0001";
when AMOD_dX | AMOD_dY | AMOD_dZ =>
L_PRE <= X"FFFF"; L_POST <= X"FFFF";
when AMOD_iSP =>
L_PRE <= X"0001"; L_POST <= X"0001";
when AMOD_iiSP=>
L_PRE <= X"0001"; L_POST <= X"0002";
when AMOD_SPd =>
L_PRE <= X"0000"; L_POST <= X"FFFF";
when AMOD_SPdd=>
L_PRE <= X"FFFF"; L_POST <= X"FFFE";
when others =>
L_PRE <= X"0000"; L_POST <= X"0000";
end case;
end process;
L_XYZS <= L_BASE + L_POST;
L_ADR <= L_BASE + L_PRE;
L_WE_A <= I_WE_M when (L_ADR(15 downto 5) = "00000000000") else '0';
L_WE_SR <= I_WE_M when (L_ADR = X"005F") else '0';
L_WE_SP_AMOD <= I_WE_XYZS when (I_AMOD(2 downto 0) = AS_SP) else '0';
L_WE_SP(1) <= I_WE_M when (L_ADR = X"005E") else L_WE_SP_AMOD;
L_WE_SP(0) <= I_WE_M when (L_ADR = X"005D") else L_WE_SP_AMOD;
L_DX <= L_XYZS when (L_WE_MISC(26) = '1') else I_DIN;
L_DY <= L_XYZS when (L_WE_MISC(28) = '1') else I_DIN;
L_DZ <= L_XYZS when (L_WE_MISC(30) = '1') else I_DIN;
L_DSP <= L_XYZS when (I_AMOD(3 downto 0) = AM_WS) else I_DIN;
-- the WE signals for the differen registers.
--
-- case 1: write to an 8-bit register addressed by DDDDD.
--
-- I_WE_D(0) = '1' and I_DDDDD matches,
--
L_WE_D( 0) <= I_WE_D(0) when (I_DDDDD = "00000") else '0';
L_WE_D( 1) <= I_WE_D(0) when (I_DDDDD = "00001") else '0';
L_WE_D( 2) <= I_WE_D(0) when (I_DDDDD = "00010") else '0';
L_WE_D( 3) <= I_WE_D(0) when (I_DDDDD = "00011") else '0';
L_WE_D( 4) <= I_WE_D(0) when (I_DDDDD = "00100") else '0';
L_WE_D( 5) <= I_WE_D(0) when (I_DDDDD = "00101") else '0';
L_WE_D( 6) <= I_WE_D(0) when (I_DDDDD = "00110") else '0';
L_WE_D( 7) <= I_WE_D(0) when (I_DDDDD = "00111") else '0';
L_WE_D( 8) <= I_WE_D(0) when (I_DDDDD = "01000") else '0';
L_WE_D( 9) <= I_WE_D(0) when (I_DDDDD = "01001") else '0';
L_WE_D(10) <= I_WE_D(0) when (I_DDDDD = "01010") else '0';
L_WE_D(11) <= I_WE_D(0) when (I_DDDDD = "01011") else '0';
L_WE_D(12) <= I_WE_D(0) when (I_DDDDD = "01100") else '0';
L_WE_D(13) <= I_WE_D(0) when (I_DDDDD = "01101") else '0';
L_WE_D(14) <= I_WE_D(0) when (I_DDDDD = "01110") else '0';
L_WE_D(15) <= I_WE_D(0) when (I_DDDDD = "01111") else '0';
L_WE_D(16) <= I_WE_D(0) when (I_DDDDD = "10000") else '0';
L_WE_D(17) <= I_WE_D(0) when (I_DDDDD = "10001") else '0';
L_WE_D(18) <= I_WE_D(0) when (I_DDDDD = "10010") else '0';
L_WE_D(19) <= I_WE_D(0) when (I_DDDDD = "10011") else '0';
L_WE_D(20) <= I_WE_D(0) when (I_DDDDD = "10100") else '0';
L_WE_D(21) <= I_WE_D(0) when (I_DDDDD = "10101") else '0';
L_WE_D(22) <= I_WE_D(0) when (I_DDDDD = "10110") else '0';
L_WE_D(23) <= I_WE_D(0) when (I_DDDDD = "10111") else '0';
L_WE_D(24) <= I_WE_D(0) when (I_DDDDD = "11000") else '0';
L_WE_D(25) <= I_WE_D(0) when (I_DDDDD = "11001") else '0';
L_WE_D(26) <= I_WE_D(0) when (I_DDDDD = "11010") else '0';
L_WE_D(27) <= I_WE_D(0) when (I_DDDDD = "11011") else '0';
L_WE_D(28) <= I_WE_D(0) when (I_DDDDD = "11100") else '0';
L_WE_D(29) <= I_WE_D(0) when (I_DDDDD = "11101") else '0';
L_WE_D(30) <= I_WE_D(0) when (I_DDDDD = "11110") else '0';
L_WE_D(31) <= I_WE_D(0) when (I_DDDDD = "11111") else '0';
--
-- case 2: write to a 16-bit register pair addressed by DDDD.
--
-- I_WE_DD(1) = '1' and L_DDDD matches,
--
L_DDDD <= I_DDDDD(4 downto 1);
L_WE_D2 <= I_WE_D(1) & I_WE_D(1);
L_WE_DD( 1 downto 0) <= L_WE_D2 when (L_DDDD = "0000") else "00";
L_WE_DD( 3 downto 2) <= L_WE_D2 when (L_DDDD = "0001") else "00";
L_WE_DD( 5 downto 4) <= L_WE_D2 when (L_DDDD = "0010") else "00";
L_WE_DD( 7 downto 6) <= L_WE_D2 when (L_DDDD = "0011") else "00";
L_WE_DD( 9 downto 8) <= L_WE_D2 when (L_DDDD = "0100") else "00";
L_WE_DD(11 downto 10) <= L_WE_D2 when (L_DDDD = "0101") else "00";
L_WE_DD(13 downto 12) <= L_WE_D2 when (L_DDDD = "0110") else "00";
L_WE_DD(15 downto 14) <= L_WE_D2 when (L_DDDD = "0111") else "00";
L_WE_DD(17 downto 16) <= L_WE_D2 when (L_DDDD = "1000") else "00";
L_WE_DD(19 downto 18) <= L_WE_D2 when (L_DDDD = "1001") else "00";
L_WE_DD(21 downto 20) <= L_WE_D2 when (L_DDDD = "1010") else "00";
L_WE_DD(23 downto 22) <= L_WE_D2 when (L_DDDD = "1011") else "00";
L_WE_DD(25 downto 24) <= L_WE_D2 when (L_DDDD = "1100") else "00";
L_WE_DD(27 downto 26) <= L_WE_D2 when (L_DDDD = "1101") else "00";
L_WE_DD(29 downto 28) <= L_WE_D2 when (L_DDDD = "1110") else "00";
L_WE_DD(31 downto 30) <= L_WE_D2 when (L_DDDD = "1111") else "00";
--
-- case 3: write to an 8-bit register pair addressed by an I/O address.
--
-- L_WE_A = '1' and L_ADR(4 downto 0) matches
--
L_WE_IO( 0) <= L_WE_A when (L_ADR(4 downto 0) = "00000") else '0';
L_WE_IO( 1) <= L_WE_A when (L_ADR(4 downto 0) = "00001") else '0';
L_WE_IO( 2) <= L_WE_A when (L_ADR(4 downto 0) = "00010") else '0';
L_WE_IO( 3) <= L_WE_A when (L_ADR(4 downto 0) = "00011") else '0';
L_WE_IO( 4) <= L_WE_A when (L_ADR(4 downto 0) = "00100") else '0';
L_WE_IO( 5) <= L_WE_A when (L_ADR(4 downto 0) = "00101") else '0';
L_WE_IO( 6) <= L_WE_A when (L_ADR(4 downto 0) = "00110") else '0';
L_WE_IO( 7) <= L_WE_A when (L_ADR(4 downto 0) = "00111") else '0';
L_WE_IO( 8) <= L_WE_A when (L_ADR(4 downto 0) = "01000") else '0';
L_WE_IO( 9) <= L_WE_A when (L_ADR(4 downto 0) = "01001") else '0';
L_WE_IO(10) <= L_WE_A when (L_ADR(4 downto 0) = "01010") else '0';
L_WE_IO(11) <= L_WE_A when (L_ADR(4 downto 0) = "01011") else '0';
L_WE_IO(12) <= L_WE_A when (L_ADR(4 downto 0) = "01100") else '0';
L_WE_IO(13) <= L_WE_A when (L_ADR(4 downto 0) = "01101") else '0';
L_WE_IO(14) <= L_WE_A when (L_ADR(4 downto 0) = "01110") else '0';
L_WE_IO(15) <= L_WE_A when (L_ADR(4 downto 0) = "01111") else '0';
L_WE_IO(16) <= L_WE_A when (L_ADR(4 downto 0) = "10000") else '0';
L_WE_IO(17) <= L_WE_A when (L_ADR(4 downto 0) = "10001") else '0';
L_WE_IO(18) <= L_WE_A when (L_ADR(4 downto 0) = "10010") else '0';
L_WE_IO(19) <= L_WE_A when (L_ADR(4 downto 0) = "10011") else '0';
L_WE_IO(20) <= L_WE_A when (L_ADR(4 downto 0) = "10100") else '0';
L_WE_IO(21) <= L_WE_A when (L_ADR(4 downto 0) = "10101") else '0';
L_WE_IO(22) <= L_WE_A when (L_ADR(4 downto 0) = "10110") else '0';
L_WE_IO(23) <= L_WE_A when (L_ADR(4 downto 0) = "10111") else '0';
L_WE_IO(24) <= L_WE_A when (L_ADR(4 downto 0) = "11000") else '0';
L_WE_IO(25) <= L_WE_A when (L_ADR(4 downto 0) = "11001") else '0';
L_WE_IO(26) <= L_WE_A when (L_ADR(4 downto 0) = "11010") else '0';
L_WE_IO(27) <= L_WE_A when (L_ADR(4 downto 0) = "11011") else '0';
L_WE_IO(28) <= L_WE_A when (L_ADR(4 downto 0) = "11100") else '0';
L_WE_IO(29) <= L_WE_A when (L_ADR(4 downto 0) = "11101") else '0';
L_WE_IO(30) <= L_WE_A when (L_ADR(4 downto 0) = "11110") else '0';
L_WE_IO(31) <= L_WE_A when (L_ADR(4 downto 0) = "11111") else '0';
-- case 4 special cases.
-- 4a. WE_01 for register pair 0/1 (multiplication opcode).
-- 4b. I_WE_XYZS for X (register pairs 26/27) and I_AMOD matches
-- 4c. I_WE_XYZS for Y (register pairs 28/29) and I_AMOD matches
-- 4d. I_WE_XYZS for Z (register pairs 30/31) and I_AMOD matches
--
L_WE_X <= I_WE_XYZS when (I_AMOD(3 downto 0) = AM_WX) else '0';
L_WE_Y <= I_WE_XYZS when (I_AMOD(3 downto 0) = AM_WY) else '0';
L_WE_Z <= I_WE_XYZS when (I_AMOD(3 downto 0) = AM_WZ) else '0';
L_WE_MISC <= L_WE_Z & L_WE_Z & -- -Z and Z+ address modes r30
L_WE_Y & L_WE_Y & -- -Y and Y+ address modes r28
L_WE_X & L_WE_X & -- -X and X+ address modes r26
X"000000" & -- never r24 - r02
I_WE_01 & I_WE_01; -- multiplication result r00
L_WE <= L_WE_D or L_WE_DD or L_WE_IO or L_WE_MISC;
Q_S <= L_S( 7 downto 0) when (L_ADR(0) = '0') else L_S(15 downto 8);
Q_FLAGS <= S_FLAGS;
Q_Z <= R_R30;
Q_ADR <= L_ADR;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- Copyright (C) 2009, 2010 Dr. Juergen Sauermann
--
-- This code is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This code is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this code (see the file named COPYING).
-- If not, see http://www.gnu.org/licenses/.
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- Module Name: RegisterFile - Behavioral
-- Create Date: 12:43:34 10/28/2009
-- Description: a register file (16 register pairs) of a CPU.
--
-------------------------------------------------------------------------------
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.common.ALL;
entity register_file is
port ( I_CLK : in std_logic;
I_AMOD : in std_logic_vector( 5 downto 0);
I_COND : in std_logic_vector( 3 downto 0);
I_DDDDD : in std_logic_vector( 4 downto 0);
I_DIN : in std_logic_vector(15 downto 0);
I_FLAGS : in std_logic_vector( 7 downto 0);
I_IMM : in std_logic_vector(15 downto 0);
I_RRRR : in std_logic_vector( 4 downto 1);
I_WE_01 : in std_logic;
I_WE_D : in std_logic_vector( 1 downto 0);
I_WE_F : in std_logic;
I_WE_M : in std_logic;
I_WE_XYZS : in std_logic;
Q_ADR : out std_logic_vector(15 downto 0);
Q_CC : out std_logic;
Q_D : out std_logic_vector(15 downto 0);
Q_FLAGS : out std_logic_vector( 7 downto 0);
Q_R : out std_logic_vector(15 downto 0);
Q_S : out std_logic_vector( 7 downto 0);
Q_Z : out std_logic_vector(15 downto 0));
end register_file;
architecture Behavioral of register_file is
component reg_16
port ( I_CLK : in std_logic;
I_D : in std_logic_vector(15 downto 0);
I_WE : in std_logic_vector( 1 downto 0);
Q : out std_logic_vector(15 downto 0));
end component;
signal R_R00 : std_logic_vector(15 downto 0);
signal R_R02 : std_logic_vector(15 downto 0);
signal R_R04 : std_logic_vector(15 downto 0);
signal R_R06 : std_logic_vector(15 downto 0);
signal R_R08 : std_logic_vector(15 downto 0);
signal R_R10 : std_logic_vector(15 downto 0);
signal R_R12 : std_logic_vector(15 downto 0);
signal R_R14 : std_logic_vector(15 downto 0);
signal R_R16 : std_logic_vector(15 downto 0);
signal R_R18 : std_logic_vector(15 downto 0);
signal R_R20 : std_logic_vector(15 downto 0);
signal R_R22 : std_logic_vector(15 downto 0);
signal R_R24 : std_logic_vector(15 downto 0);
signal R_R26 : std_logic_vector(15 downto 0);
signal R_R28 : std_logic_vector(15 downto 0);
signal R_R30 : std_logic_vector(15 downto 0);
signal R_SP : std_logic_vector(15 downto 0); -- stack pointer
component status_reg is
port ( I_CLK : in std_logic;
I_COND : in std_logic_vector ( 3 downto 0);
I_DIN : in std_logic_vector ( 7 downto 0);
I_FLAGS : in std_logic_vector ( 7 downto 0);
I_WE_F : in std_logic;
I_WE_SR : in std_logic;
Q : out std_logic_vector ( 7 downto 0);
Q_CC : out std_logic);
end component;
signal S_FLAGS : std_logic_vector( 7 downto 0);
signal L_ADR : std_logic_vector(15 downto 0);
signal L_BASE : std_logic_vector(15 downto 0);
signal L_DDDD : std_logic_vector( 4 downto 1);
signal L_DSP : std_logic_vector(15 downto 0);
signal L_DX : std_logic_vector(15 downto 0);
signal L_DY : std_logic_vector(15 downto 0);
signal L_DZ : std_logic_vector(15 downto 0);
signal L_PRE : std_logic_vector(15 downto 0);
signal L_POST : std_logic_vector(15 downto 0);
signal L_S : std_logic_vector(15 downto 0);
signal L_WE_SP_AMOD : std_logic;
signal L_WE : std_logic_vector(31 downto 0);
signal L_WE_A : std_logic;
signal L_WE_D : std_logic_vector(31 downto 0);
signal L_WE_D2 : std_logic_vector( 1 downto 0);
signal L_WE_DD : std_logic_vector(31 downto 0);
signal L_WE_IO : std_logic_vector(31 downto 0);
signal L_WE_MISC : std_logic_vector(31 downto 0);
signal L_WE_X : std_logic;
signal L_WE_Y : std_logic;
signal L_WE_Z : std_logic;
signal L_WE_SP : std_logic_vector( 1 downto 0);
signal L_WE_SR : std_logic;
signal L_XYZS : std_logic_vector(15 downto 0);
begin
r00: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 1 downto 0), I_D => I_DIN, Q => R_R00);
r02: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 3 downto 2), I_D => I_DIN, Q => R_R02);
r04: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 5 downto 4), I_D => I_DIN, Q => R_R04);
r06: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 7 downto 6), I_D => I_DIN, Q => R_R06);
r08: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE( 9 downto 8), I_D => I_DIN, Q => R_R08);
r10: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(11 downto 10), I_D => I_DIN, Q => R_R10);
r12: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(13 downto 12), I_D => I_DIN, Q => R_R12);
r14: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(15 downto 14), I_D => I_DIN, Q => R_R14);
r16: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(17 downto 16), I_D => I_DIN, Q => R_R16);
r18: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(19 downto 18), I_D => I_DIN, Q => R_R18);
r20: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(21 downto 20), I_D => I_DIN, Q => R_R20);
r22: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(23 downto 22), I_D => I_DIN, Q => R_R22);
r24: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(25 downto 24), I_D => I_DIN, Q => R_R24);
r26: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(27 downto 26), I_D => L_DX, Q => R_R26);
r28: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(29 downto 28), I_D => L_DY, Q => R_R28);
r30: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE(31 downto 30), I_D => L_DZ, Q => R_R30);
sp: reg_16 port map(I_CLK => I_CLK, I_WE => L_WE_SP, I_D => L_DSP, Q => R_SP);
sr: status_reg
port map( I_CLK => I_CLK,
I_COND => I_COND,
I_DIN => I_DIN(7 downto 0),
I_FLAGS => I_FLAGS,
I_WE_F => I_WE_F,
I_WE_SR => L_WE_SR,
Q => S_FLAGS,
Q_CC => Q_CC);
-- The output of the register selected by L_ADR.
--
process(R_R00, R_R02, R_R04, R_R06, R_R08, R_R10, R_R12, R_R14,
R_R16, R_R18, R_R20, R_R22, R_R24, R_R26, R_R28, R_R30,
R_SP, S_FLAGS, L_ADR(6 downto 1))
begin
case L_ADR(6 downto 1) is
when "000000" => L_S <= R_R00;
when "000001" => L_S <= R_R02;
when "000010" => L_S <= R_R04;
when "000011" => L_S <= R_R06;
when "000100" => L_S <= R_R08;
when "000101" => L_S <= R_R10;
when "000110" => L_S <= R_R12;
when "000111" => L_S <= R_R14;
when "001000" => L_S <= R_R16;
when "001001" => L_S <= R_R18;
when "001010" => L_S <= R_R20;
when "001011" => L_S <= R_R22;
when "001100" => L_S <= R_R24;
when "001101" => L_S <= R_R26;
when "001110" => L_S <= R_R28;
when "001111" => L_S <= R_R30;
when "101110" => L_S <= R_SP ( 7 downto 0) & X"00"; -- SPL
when others => L_S <= S_FLAGS & R_SP (15 downto 8); -- SR/SPH
end case;
end process;
-- The output of the register pair selected by I_DDDDD.
--
process(R_R00, R_R02, R_R04, R_R06, R_R08, R_R10, R_R12, R_R14,
R_R16, R_R18, R_R20, R_R22, R_R24, R_R26, R_R28, R_R30,
I_DDDDD(4 downto 1))
begin
case I_DDDDD(4 downto 1) is
when "0000" => Q_D <= R_R00;
when "0001" => Q_D <= R_R02;
when "0010" => Q_D <= R_R04;
when "0011" => Q_D <= R_R06;
when "0100" => Q_D <= R_R08;
when "0101" => Q_D <= R_R10;
when "0110" => Q_D <= R_R12;
when "0111" => Q_D <= R_R14;
when "1000" => Q_D <= R_R16;
when "1001" => Q_D <= R_R18;
when "1010" => Q_D <= R_R20;
when "1011" => Q_D <= R_R22;
when "1100" => Q_D <= R_R24;
when "1101" => Q_D <= R_R26;
when "1110" => Q_D <= R_R28;
when others => Q_D <= R_R30;
end case;
end process;
-- The output of the register pair selected by I_RRRR.
--
process(R_R00, R_R02, R_R04, R_R06, R_R08, R_R10, R_R12, R_R14,
R_R16, R_R18, R_R20, R_R22, R_R24, R_R26, R_R28, R_R30, I_RRRR)
begin
case I_RRRR is
when "0000" => Q_R <= R_R00;
when "0001" => Q_R <= R_R02;
when "0010" => Q_R <= R_R04;
when "0011" => Q_R <= R_R06;
when "0100" => Q_R <= R_R08;
when "0101" => Q_R <= R_R10;
when "0110" => Q_R <= R_R12;
when "0111" => Q_R <= R_R14;
when "1000" => Q_R <= R_R16;
when "1001" => Q_R <= R_R18;
when "1010" => Q_R <= R_R20;
when "1011" => Q_R <= R_R22;
when "1100" => Q_R <= R_R24;
when "1101" => Q_R <= R_R26;
when "1110" => Q_R <= R_R28;
when others => Q_R <= R_R30;
end case;
end process;
-- the base value of the X/Y/Z/SP register as per I_AMOD.
--
process(I_AMOD(2 downto 0), I_IMM, R_SP, R_R26, R_R28, R_R30)
begin
case I_AMOD(2 downto 0) is
when AS_SP => L_BASE <= R_SP;
when AS_Z => L_BASE <= R_R30;
when AS_Y => L_BASE <= R_R28;
when AS_X => L_BASE <= R_R26;
when AS_IMM => L_BASE <= I_IMM;
when others => L_BASE <= X"0000";
end case;
end process;
-- the value of the X/Y/Z/SP register after a potential PRE-inc/decrement
-- (by 1 or 2) and POST-inc/decrement (by 1 or 2).
--
process(I_AMOD, I_IMM)
begin
case I_AMOD is
when AMOD_Xq | AMOD_Yq | AMOD_Zq =>
L_PRE <= I_IMM; L_POST <= X"0000";
when AMOD_Xi | AMOD_Yi | AMOD_Zi =>
L_PRE <= X"0000"; L_POST <= X"0001";
when AMOD_dX | AMOD_dY | AMOD_dZ =>
L_PRE <= X"FFFF"; L_POST <= X"FFFF";
when AMOD_iSP =>
L_PRE <= X"0001"; L_POST <= X"0001";
when AMOD_iiSP=>
L_PRE <= X"0001"; L_POST <= X"0002";
when AMOD_SPd =>
L_PRE <= X"0000"; L_POST <= X"FFFF";
when AMOD_SPdd=>
L_PRE <= X"FFFF"; L_POST <= X"FFFE";
when others =>
L_PRE <= X"0000"; L_POST <= X"0000";
end case;
end process;
L_XYZS <= L_BASE + L_POST;
L_ADR <= L_BASE + L_PRE;
L_WE_A <= I_WE_M when (L_ADR(15 downto 5) = "00000000000") else '0';
L_WE_SR <= I_WE_M when (L_ADR = X"005F") else '0';
L_WE_SP_AMOD <= I_WE_XYZS when (I_AMOD(2 downto 0) = AS_SP) else '0';
L_WE_SP(1) <= I_WE_M when (L_ADR = X"005E") else L_WE_SP_AMOD;
L_WE_SP(0) <= I_WE_M when (L_ADR = X"005D") else L_WE_SP_AMOD;
L_DX <= L_XYZS when (L_WE_MISC(26) = '1') else I_DIN;
L_DY <= L_XYZS when (L_WE_MISC(28) = '1') else I_DIN;
L_DZ <= L_XYZS when (L_WE_MISC(30) = '1') else I_DIN;
L_DSP <= L_XYZS when (I_AMOD(3 downto 0) = AM_WS) else I_DIN;
-- the WE signals for the differen registers.
--
-- case 1: write to an 8-bit register addressed by DDDDD.
--
-- I_WE_D(0) = '1' and I_DDDDD matches,
--
L_WE_D( 0) <= I_WE_D(0) when (I_DDDDD = "00000") else '0';
L_WE_D( 1) <= I_WE_D(0) when (I_DDDDD = "00001") else '0';
L_WE_D( 2) <= I_WE_D(0) when (I_DDDDD = "00010") else '0';
L_WE_D( 3) <= I_WE_D(0) when (I_DDDDD = "00011") else '0';
L_WE_D( 4) <= I_WE_D(0) when (I_DDDDD = "00100") else '0';
L_WE_D( 5) <= I_WE_D(0) when (I_DDDDD = "00101") else '0';
L_WE_D( 6) <= I_WE_D(0) when (I_DDDDD = "00110") else '0';
L_WE_D( 7) <= I_WE_D(0) when (I_DDDDD = "00111") else '0';
L_WE_D( 8) <= I_WE_D(0) when (I_DDDDD = "01000") else '0';
L_WE_D( 9) <= I_WE_D(0) when (I_DDDDD = "01001") else '0';
L_WE_D(10) <= I_WE_D(0) when (I_DDDDD = "01010") else '0';
L_WE_D(11) <= I_WE_D(0) when (I_DDDDD = "01011") else '0';
L_WE_D(12) <= I_WE_D(0) when (I_DDDDD = "01100") else '0';
L_WE_D(13) <= I_WE_D(0) when (I_DDDDD = "01101") else '0';
L_WE_D(14) <= I_WE_D(0) when (I_DDDDD = "01110") else '0';
L_WE_D(15) <= I_WE_D(0) when (I_DDDDD = "01111") else '0';
L_WE_D(16) <= I_WE_D(0) when (I_DDDDD = "10000") else '0';
L_WE_D(17) <= I_WE_D(0) when (I_DDDDD = "10001") else '0';
L_WE_D(18) <= I_WE_D(0) when (I_DDDDD = "10010") else '0';
L_WE_D(19) <= I_WE_D(0) when (I_DDDDD = "10011") else '0';
L_WE_D(20) <= I_WE_D(0) when (I_DDDDD = "10100") else '0';
L_WE_D(21) <= I_WE_D(0) when (I_DDDDD = "10101") else '0';
L_WE_D(22) <= I_WE_D(0) when (I_DDDDD = "10110") else '0';
L_WE_D(23) <= I_WE_D(0) when (I_DDDDD = "10111") else '0';
L_WE_D(24) <= I_WE_D(0) when (I_DDDDD = "11000") else '0';
L_WE_D(25) <= I_WE_D(0) when (I_DDDDD = "11001") else '0';
L_WE_D(26) <= I_WE_D(0) when (I_DDDDD = "11010") else '0';
L_WE_D(27) <= I_WE_D(0) when (I_DDDDD = "11011") else '0';
L_WE_D(28) <= I_WE_D(0) when (I_DDDDD = "11100") else '0';
L_WE_D(29) <= I_WE_D(0) when (I_DDDDD = "11101") else '0';
L_WE_D(30) <= I_WE_D(0) when (I_DDDDD = "11110") else '0';
L_WE_D(31) <= I_WE_D(0) when (I_DDDDD = "11111") else '0';
--
-- case 2: write to a 16-bit register pair addressed by DDDD.
--
-- I_WE_DD(1) = '1' and L_DDDD matches,
--
L_DDDD <= I_DDDDD(4 downto 1);
L_WE_D2 <= I_WE_D(1) & I_WE_D(1);
L_WE_DD( 1 downto 0) <= L_WE_D2 when (L_DDDD = "0000") else "00";
L_WE_DD( 3 downto 2) <= L_WE_D2 when (L_DDDD = "0001") else "00";
L_WE_DD( 5 downto 4) <= L_WE_D2 when (L_DDDD = "0010") else "00";
L_WE_DD( 7 downto 6) <= L_WE_D2 when (L_DDDD = "0011") else "00";
L_WE_DD( 9 downto 8) <= L_WE_D2 when (L_DDDD = "0100") else "00";
L_WE_DD(11 downto 10) <= L_WE_D2 when (L_DDDD = "0101") else "00";
L_WE_DD(13 downto 12) <= L_WE_D2 when (L_DDDD = "0110") else "00";
L_WE_DD(15 downto 14) <= L_WE_D2 when (L_DDDD = "0111") else "00";
L_WE_DD(17 downto 16) <= L_WE_D2 when (L_DDDD = "1000") else "00";
L_WE_DD(19 downto 18) <= L_WE_D2 when (L_DDDD = "1001") else "00";
L_WE_DD(21 downto 20) <= L_WE_D2 when (L_DDDD = "1010") else "00";
L_WE_DD(23 downto 22) <= L_WE_D2 when (L_DDDD = "1011") else "00";
L_WE_DD(25 downto 24) <= L_WE_D2 when (L_DDDD = "1100") else "00";
L_WE_DD(27 downto 26) <= L_WE_D2 when (L_DDDD = "1101") else "00";
L_WE_DD(29 downto 28) <= L_WE_D2 when (L_DDDD = "1110") else "00";
L_WE_DD(31 downto 30) <= L_WE_D2 when (L_DDDD = "1111") else "00";
--
-- case 3: write to an 8-bit register pair addressed by an I/O address.
--
-- L_WE_A = '1' and L_ADR(4 downto 0) matches
--
L_WE_IO( 0) <= L_WE_A when (L_ADR(4 downto 0) = "00000") else '0';
L_WE_IO( 1) <= L_WE_A when (L_ADR(4 downto 0) = "00001") else '0';
L_WE_IO( 2) <= L_WE_A when (L_ADR(4 downto 0) = "00010") else '0';
L_WE_IO( 3) <= L_WE_A when (L_ADR(4 downto 0) = "00011") else '0';
L_WE_IO( 4) <= L_WE_A when (L_ADR(4 downto 0) = "00100") else '0';
L_WE_IO( 5) <= L_WE_A when (L_ADR(4 downto 0) = "00101") else '0';
L_WE_IO( 6) <= L_WE_A when (L_ADR(4 downto 0) = "00110") else '0';
L_WE_IO( 7) <= L_WE_A when (L_ADR(4 downto 0) = "00111") else '0';
L_WE_IO( 8) <= L_WE_A when (L_ADR(4 downto 0) = "01000") else '0';
L_WE_IO( 9) <= L_WE_A when (L_ADR(4 downto 0) = "01001") else '0';
L_WE_IO(10) <= L_WE_A when (L_ADR(4 downto 0) = "01010") else '0';
L_WE_IO(11) <= L_WE_A when (L_ADR(4 downto 0) = "01011") else '0';
L_WE_IO(12) <= L_WE_A when (L_ADR(4 downto 0) = "01100") else '0';
L_WE_IO(13) <= L_WE_A when (L_ADR(4 downto 0) = "01101") else '0';
L_WE_IO(14) <= L_WE_A when (L_ADR(4 downto 0) = "01110") else '0';
L_WE_IO(15) <= L_WE_A when (L_ADR(4 downto 0) = "01111") else '0';
L_WE_IO(16) <= L_WE_A when (L_ADR(4 downto 0) = "10000") else '0';
L_WE_IO(17) <= L_WE_A when (L_ADR(4 downto 0) = "10001") else '0';
L_WE_IO(18) <= L_WE_A when (L_ADR(4 downto 0) = "10010") else '0';
L_WE_IO(19) <= L_WE_A when (L_ADR(4 downto 0) = "10011") else '0';
L_WE_IO(20) <= L_WE_A when (L_ADR(4 downto 0) = "10100") else '0';
L_WE_IO(21) <= L_WE_A when (L_ADR(4 downto 0) = "10101") else '0';
L_WE_IO(22) <= L_WE_A when (L_ADR(4 downto 0) = "10110") else '0';
L_WE_IO(23) <= L_WE_A when (L_ADR(4 downto 0) = "10111") else '0';
L_WE_IO(24) <= L_WE_A when (L_ADR(4 downto 0) = "11000") else '0';
L_WE_IO(25) <= L_WE_A when (L_ADR(4 downto 0) = "11001") else '0';
L_WE_IO(26) <= L_WE_A when (L_ADR(4 downto 0) = "11010") else '0';
L_WE_IO(27) <= L_WE_A when (L_ADR(4 downto 0) = "11011") else '0';
L_WE_IO(28) <= L_WE_A when (L_ADR(4 downto 0) = "11100") else '0';
L_WE_IO(29) <= L_WE_A when (L_ADR(4 downto 0) = "11101") else '0';
L_WE_IO(30) <= L_WE_A when (L_ADR(4 downto 0) = "11110") else '0';
L_WE_IO(31) <= L_WE_A when (L_ADR(4 downto 0) = "11111") else '0';
-- case 4 special cases.
-- 4a. WE_01 for register pair 0/1 (multiplication opcode).
-- 4b. I_WE_XYZS for X (register pairs 26/27) and I_AMOD matches
-- 4c. I_WE_XYZS for Y (register pairs 28/29) and I_AMOD matches
-- 4d. I_WE_XYZS for Z (register pairs 30/31) and I_AMOD matches
--
L_WE_X <= I_WE_XYZS when (I_AMOD(3 downto 0) = AM_WX) else '0';
L_WE_Y <= I_WE_XYZS when (I_AMOD(3 downto 0) = AM_WY) else '0';
L_WE_Z <= I_WE_XYZS when (I_AMOD(3 downto 0) = AM_WZ) else '0';
L_WE_MISC <= L_WE_Z & L_WE_Z & -- -Z and Z+ address modes r30
L_WE_Y & L_WE_Y & -- -Y and Y+ address modes r28
L_WE_X & L_WE_X & -- -X and X+ address modes r26
X"000000" & -- never r24 - r02
I_WE_01 & I_WE_01; -- multiplication result r00
L_WE <= L_WE_D or L_WE_DD or L_WE_IO or L_WE_MISC;
Q_S <= L_S( 7 downto 0) when (L_ADR(0) = '0') else L_S(15 downto 8);
Q_FLAGS <= S_FLAGS;
Q_Z <= R_R30;
Q_ADR <= L_ADR;
end Behavioral;
|
architecture RTL of FIFO is
begin
process
begin
if (a = '1') then
b <= '0';
end if;
-- Violations below
if(a = '1') then
b <= '0';
end if;
if (a = '1') then
b <= '0';
end if;
end process;
end architecture RTL;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2553.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p02n01i02553ent IS
END c07s03b06x00p02n01i02553ent;
ARCHITECTURE c07s03b06x00p02n01i02553arch OF c07s03b06x00p02n01i02553ent IS
BEGIN
TESTING: PROCESS
variable b : bit;
BEGIN
b := new bit;
assert FALSE
report "***FAILED TEST: c07s03b06x00p02n01i02553 - Not an access type."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p02n01i02553arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2553.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p02n01i02553ent IS
END c07s03b06x00p02n01i02553ent;
ARCHITECTURE c07s03b06x00p02n01i02553arch OF c07s03b06x00p02n01i02553ent IS
BEGIN
TESTING: PROCESS
variable b : bit;
BEGIN
b := new bit;
assert FALSE
report "***FAILED TEST: c07s03b06x00p02n01i02553 - Not an access type."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p02n01i02553arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2553.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p02n01i02553ent IS
END c07s03b06x00p02n01i02553ent;
ARCHITECTURE c07s03b06x00p02n01i02553arch OF c07s03b06x00p02n01i02553ent IS
BEGIN
TESTING: PROCESS
variable b : bit;
BEGIN
b := new bit;
assert FALSE
report "***FAILED TEST: c07s03b06x00p02n01i02553 - Not an access type."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p02n01i02553arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc64.vhd,v 1.2 2001-10-26 16:29:58 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b01x02p02n01i00064ent IS
END c04s03b01x02p02n01i00064ent;
ARCHITECTURE c04s03b01x02p02n01i00064arch OF c04s03b01x02p02n01i00064ent IS
signal C1 : Boolean := TRUE; -- No_failure_here
signal C2 : bit := '1'; -- No_failure_here
signal C3 : integer := 12345; -- No_failure_here
signal C4 : positive := 54321; -- No_failure_here
signal C5 : natural := 12121; -- No_failure_here
signal C6 : real := 1.345; -- No_failure_here
signal C7 : character := 'N'; -- No_failure_here
signal C8 : time := 100 ns; -- No_failure_here
signal C9 : String (1 to 8) := "AAAAAAAA"; -- No_failure_here
signal C10 : bit_vector(0 to 7) := "11111111"; -- No_failure_here
BEGIN
TESTING: PROCESS
BEGIN
wait for 10 ns;
assert NOT( C1 = TRUE and
C2 = '1' and
C3 = 12345 and
C4 = 54321 and
C5 = 12121 and
C6 = 1.345 and
C7 = 'N' and
C8 = 100 ns and
C9 = "AAAAAAAA" and
C10 = "11111111" )
report "***PASSED TEST:c04s03b01x02p02n01i00064"
severity NOTE;
assert ( C1 = TRUE and
C2 = '1' and
C3 = 12345 and
C4 = 54321 and
C5 = 12121 and
C6 = 1.345 and
C7 = 'N' and
C8 = 100 ns and
C9 = "AAAAAAAA" and
C10 = "11111111" )
report "***FAILED TEST: c04s03b01x02p02n01i00064 - Syntactic test for signal assignment failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b01x02p02n01i00064arch;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.