content
stringlengths 1
1.04M
⌀ |
---|
--------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- AUTHORS: Jakub Cabal <[email protected]>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/uart-for-fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity UART_TX is
Generic (
CLK_DIV_VAL : integer := 16;
PARITY_BIT : string := "none" -- type of parity: "none", "even", "odd", "mark", "space"
);
Port (
CLK : in std_logic; -- system clock
RST : in std_logic; -- high active synchronous reset
-- UART INTERFACE
UART_CLK_EN : in std_logic; -- oversampling (16x) UART clock enable
UART_TXD : out std_logic; -- serial transmit data
-- USER DATA INPUT INTERFACE
DIN : in std_logic_vector(7 downto 0); -- input data to be transmitted over UART
DIN_VLD : in std_logic; -- when DIN_VLD = 1, input data (DIN) are valid
DIN_RDY : out std_logic -- when DIN_RDY = 1, transmitter is ready and valid input data will be accepted for transmiting
);
end entity;
architecture RTL of UART_TX is
signal tx_clk_en : std_logic;
signal tx_clk_div_clr : std_logic;
signal tx_data : std_logic_vector(7 downto 0);
signal tx_bit_count : unsigned(2 downto 0);
signal tx_bit_count_en : std_logic;
signal tx_ready : std_logic;
signal tx_parity_bit : std_logic;
signal tx_data_out_sel : std_logic_vector(1 downto 0);
type state is (idle, txsync, startbit, databits, paritybit, stopbit);
signal tx_pstate : state;
signal tx_nstate : state;
begin
DIN_RDY <= tx_ready;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER CLOCK DIVIDER AND CLOCK ENABLE FLAG
-- -------------------------------------------------------------------------
tx_clk_divider_i : entity work.UART_CLK_DIV
generic map(
DIV_MAX_VAL => CLK_DIV_VAL,
DIV_MARK_POS => 1
)
port map (
CLK => CLK,
RST => RST,
CLEAR => tx_clk_div_clr,
ENABLE => UART_CLK_EN,
DIV_MARK => tx_clk_en
);
-- -------------------------------------------------------------------------
-- UART TRANSMITTER INPUT DATA REGISTER
-- -------------------------------------------------------------------------
uart_tx_input_data_reg_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (DIN_VLD = '1' AND tx_ready = '1') then
tx_data <= DIN;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER BIT COUNTER
-- -------------------------------------------------------------------------
uart_tx_bit_counter_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
tx_bit_count <= (others => '0');
elsif (tx_bit_count_en = '1' AND tx_clk_en = '1') then
if (tx_bit_count = "111") then
tx_bit_count <= (others => '0');
else
tx_bit_count <= tx_bit_count + 1;
end if;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER PARITY GENERATOR
-- -------------------------------------------------------------------------
uart_tx_parity_g : if (PARITY_BIT /= "none") generate
uart_tx_parity_gen_i: entity work.UART_PARITY
generic map (
DATA_WIDTH => 8,
PARITY_TYPE => PARITY_BIT
)
port map (
DATA_IN => tx_data,
PARITY_OUT => tx_parity_bit
);
end generate;
uart_tx_noparity_g : if (PARITY_BIT = "none") generate
tx_parity_bit <= '0';
end generate;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER OUTPUT DATA REGISTER
-- -------------------------------------------------------------------------
uart_tx_output_data_reg_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
UART_TXD <= '1';
else
case tx_data_out_sel is
when "01" => -- START BIT
UART_TXD <= '0';
when "10" => -- DATA BITS
UART_TXD <= tx_data(to_integer(tx_bit_count));
when "11" => -- PARITY BIT
UART_TXD <= tx_parity_bit;
when others => -- STOP BIT OR IDLE
UART_TXD <= '1';
end case;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER FSM
-- -------------------------------------------------------------------------
-- PRESENT STATE REGISTER
process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
tx_pstate <= idle;
else
tx_pstate <= tx_nstate;
end if;
end if;
end process;
-- NEXT STATE AND OUTPUTS LOGIC
process (tx_pstate, DIN_VLD, tx_clk_en, tx_bit_count)
begin
case tx_pstate is
when idle =>
tx_ready <= '1';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '1';
if (DIN_VLD = '1') then
tx_nstate <= txsync;
else
tx_nstate <= idle;
end if;
when txsync =>
tx_ready <= '0';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '0';
if (tx_clk_en = '1') then
tx_nstate <= startbit;
else
tx_nstate <= txsync;
end if;
when startbit =>
tx_ready <= '0';
tx_data_out_sel <= "01";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '0';
if (tx_clk_en = '1') then
tx_nstate <= databits;
else
tx_nstate <= startbit;
end if;
when databits =>
tx_ready <= '0';
tx_data_out_sel <= "10";
tx_bit_count_en <= '1';
tx_clk_div_clr <= '0';
if ((tx_clk_en = '1') AND (tx_bit_count = "111")) then
if (PARITY_BIT = "none") then
tx_nstate <= stopbit;
else
tx_nstate <= paritybit;
end if ;
else
tx_nstate <= databits;
end if;
when paritybit =>
tx_ready <= '0';
tx_data_out_sel <= "11";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '0';
if (tx_clk_en = '1') then
tx_nstate <= stopbit;
else
tx_nstate <= paritybit;
end if;
when stopbit =>
tx_ready <= '1';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '0';
if (DIN_VLD = '1') then
tx_nstate <= txsync;
elsif (tx_clk_en = '1') then
tx_nstate <= idle;
else
tx_nstate <= stopbit;
end if;
when others =>
tx_ready <= '0';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '0';
tx_nstate <= idle;
end case;
end process;
end architecture;
|
--------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- AUTHORS: Jakub Cabal <[email protected]>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/uart-for-fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity UART_TX is
Generic (
CLK_DIV_VAL : integer := 16;
PARITY_BIT : string := "none" -- type of parity: "none", "even", "odd", "mark", "space"
);
Port (
CLK : in std_logic; -- system clock
RST : in std_logic; -- high active synchronous reset
-- UART INTERFACE
UART_CLK_EN : in std_logic; -- oversampling (16x) UART clock enable
UART_TXD : out std_logic; -- serial transmit data
-- USER DATA INPUT INTERFACE
DIN : in std_logic_vector(7 downto 0); -- input data to be transmitted over UART
DIN_VLD : in std_logic; -- when DIN_VLD = 1, input data (DIN) are valid
DIN_RDY : out std_logic -- when DIN_RDY = 1, transmitter is ready and valid input data will be accepted for transmiting
);
end entity;
architecture RTL of UART_TX is
signal tx_clk_en : std_logic;
signal tx_clk_div_clr : std_logic;
signal tx_data : std_logic_vector(7 downto 0);
signal tx_bit_count : unsigned(2 downto 0);
signal tx_bit_count_en : std_logic;
signal tx_ready : std_logic;
signal tx_parity_bit : std_logic;
signal tx_data_out_sel : std_logic_vector(1 downto 0);
type state is (idle, txsync, startbit, databits, paritybit, stopbit);
signal tx_pstate : state;
signal tx_nstate : state;
begin
DIN_RDY <= tx_ready;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER CLOCK DIVIDER AND CLOCK ENABLE FLAG
-- -------------------------------------------------------------------------
tx_clk_divider_i : entity work.UART_CLK_DIV
generic map(
DIV_MAX_VAL => CLK_DIV_VAL,
DIV_MARK_POS => 1
)
port map (
CLK => CLK,
RST => RST,
CLEAR => tx_clk_div_clr,
ENABLE => UART_CLK_EN,
DIV_MARK => tx_clk_en
);
-- -------------------------------------------------------------------------
-- UART TRANSMITTER INPUT DATA REGISTER
-- -------------------------------------------------------------------------
uart_tx_input_data_reg_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (DIN_VLD = '1' AND tx_ready = '1') then
tx_data <= DIN;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER BIT COUNTER
-- -------------------------------------------------------------------------
uart_tx_bit_counter_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
tx_bit_count <= (others => '0');
elsif (tx_bit_count_en = '1' AND tx_clk_en = '1') then
if (tx_bit_count = "111") then
tx_bit_count <= (others => '0');
else
tx_bit_count <= tx_bit_count + 1;
end if;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER PARITY GENERATOR
-- -------------------------------------------------------------------------
uart_tx_parity_g : if (PARITY_BIT /= "none") generate
uart_tx_parity_gen_i: entity work.UART_PARITY
generic map (
DATA_WIDTH => 8,
PARITY_TYPE => PARITY_BIT
)
port map (
DATA_IN => tx_data,
PARITY_OUT => tx_parity_bit
);
end generate;
uart_tx_noparity_g : if (PARITY_BIT = "none") generate
tx_parity_bit <= '0';
end generate;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER OUTPUT DATA REGISTER
-- -------------------------------------------------------------------------
uart_tx_output_data_reg_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
UART_TXD <= '1';
else
case tx_data_out_sel is
when "01" => -- START BIT
UART_TXD <= '0';
when "10" => -- DATA BITS
UART_TXD <= tx_data(to_integer(tx_bit_count));
when "11" => -- PARITY BIT
UART_TXD <= tx_parity_bit;
when others => -- STOP BIT OR IDLE
UART_TXD <= '1';
end case;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER FSM
-- -------------------------------------------------------------------------
-- PRESENT STATE REGISTER
process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
tx_pstate <= idle;
else
tx_pstate <= tx_nstate;
end if;
end if;
end process;
-- NEXT STATE AND OUTPUTS LOGIC
process (tx_pstate, DIN_VLD, tx_clk_en, tx_bit_count)
begin
case tx_pstate is
when idle =>
tx_ready <= '1';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '1';
if (DIN_VLD = '1') then
tx_nstate <= txsync;
else
tx_nstate <= idle;
end if;
when txsync =>
tx_ready <= '0';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '0';
if (tx_clk_en = '1') then
tx_nstate <= startbit;
else
tx_nstate <= txsync;
end if;
when startbit =>
tx_ready <= '0';
tx_data_out_sel <= "01";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '0';
if (tx_clk_en = '1') then
tx_nstate <= databits;
else
tx_nstate <= startbit;
end if;
when databits =>
tx_ready <= '0';
tx_data_out_sel <= "10";
tx_bit_count_en <= '1';
tx_clk_div_clr <= '0';
if ((tx_clk_en = '1') AND (tx_bit_count = "111")) then
if (PARITY_BIT = "none") then
tx_nstate <= stopbit;
else
tx_nstate <= paritybit;
end if ;
else
tx_nstate <= databits;
end if;
when paritybit =>
tx_ready <= '0';
tx_data_out_sel <= "11";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '0';
if (tx_clk_en = '1') then
tx_nstate <= stopbit;
else
tx_nstate <= paritybit;
end if;
when stopbit =>
tx_ready <= '1';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '0';
if (DIN_VLD = '1') then
tx_nstate <= txsync;
elsif (tx_clk_en = '1') then
tx_nstate <= idle;
else
tx_nstate <= stopbit;
end if;
when others =>
tx_ready <= '0';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_div_clr <= '0';
tx_nstate <= idle;
end case;
end process;
end architecture;
|
component wasca is
port (
altpll_0_areset_conduit_export : in std_logic := 'X'; -- export
altpll_0_locked_conduit_export : out std_logic; -- export
altpll_0_phasedone_conduit_export : out std_logic; -- export
clk_clk : in std_logic := 'X'; -- clk
clock_116_mhz_clk : out std_logic; -- clk
external_sdram_controller_wire_addr : out std_logic_vector(12 downto 0); -- addr
external_sdram_controller_wire_ba : out std_logic_vector(1 downto 0); -- ba
external_sdram_controller_wire_cas_n : out std_logic; -- cas_n
external_sdram_controller_wire_cke : out std_logic; -- cke
external_sdram_controller_wire_cs_n : out std_logic; -- cs_n
external_sdram_controller_wire_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- dq
external_sdram_controller_wire_dqm : out std_logic_vector(1 downto 0); -- dqm
external_sdram_controller_wire_ras_n : out std_logic; -- ras_n
external_sdram_controller_wire_we_n : out std_logic; -- we_n
pio_0_external_connection_export : inout std_logic_vector(3 downto 0) := (others => 'X'); -- export
sd_mmc_controller_0_sd_card_io_sd_clk_o_pad : out std_logic; -- sd_clk_o_pad
sd_mmc_controller_0_sd_card_io_sd_cmd_dat_i : in std_logic := 'X'; -- sd_cmd_dat_i
sd_mmc_controller_0_sd_card_io_sd_cmd_oe_o : out std_logic; -- sd_cmd_oe_o
sd_mmc_controller_0_sd_card_io_sd_cmd_out_o : out std_logic; -- sd_cmd_out_o
sd_mmc_controller_0_sd_card_io_sd_dat_dat_i : in std_logic_vector(3 downto 0) := (others => 'X'); -- sd_dat_dat_i
sd_mmc_controller_0_sd_card_io_sd_dat_oe_o : out std_logic; -- sd_dat_oe_o
sd_mmc_controller_0_sd_card_io_sd_dat_out_o : out std_logic_vector(3 downto 0); -- sd_dat_out_o
sega_saturn_abus_slave_0_abus_address : in std_logic_vector(9 downto 0) := (others => 'X'); -- address
sega_saturn_abus_slave_0_abus_chipselect : in std_logic_vector(2 downto 0) := (others => 'X'); -- chipselect
sega_saturn_abus_slave_0_abus_read : in std_logic := 'X'; -- read
sega_saturn_abus_slave_0_abus_write : in std_logic_vector(1 downto 0) := (others => 'X'); -- write
sega_saturn_abus_slave_0_abus_functioncode : in std_logic_vector(1 downto 0) := (others => 'X'); -- functioncode
sega_saturn_abus_slave_0_abus_timing : in std_logic_vector(2 downto 0) := (others => 'X'); -- timing
sega_saturn_abus_slave_0_abus_waitrequest : out std_logic; -- waitrequest
sega_saturn_abus_slave_0_abus_addressstrobe : in std_logic := 'X'; -- addressstrobe
sega_saturn_abus_slave_0_abus_interrupt : out std_logic; -- interrupt
sega_saturn_abus_slave_0_abus_addressdata : inout std_logic_vector(15 downto 0) := (others => 'X'); -- addressdata
sega_saturn_abus_slave_0_abus_direction : out std_logic; -- direction
sega_saturn_abus_slave_0_abus_muxing : out std_logic_vector(1 downto 0); -- muxing
sega_saturn_abus_slave_0_abus_disableout : out std_logic; -- disableout
sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset : in std_logic := 'X'; -- saturn_reset
uart_0_external_connection_rxd : in std_logic := 'X'; -- rxd
uart_0_external_connection_txd : out std_logic -- txd
);
end component wasca;
u0 : component wasca
port map (
altpll_0_areset_conduit_export => CONNECTED_TO_altpll_0_areset_conduit_export, -- altpll_0_areset_conduit.export
altpll_0_locked_conduit_export => CONNECTED_TO_altpll_0_locked_conduit_export, -- altpll_0_locked_conduit.export
altpll_0_phasedone_conduit_export => CONNECTED_TO_altpll_0_phasedone_conduit_export, -- altpll_0_phasedone_conduit.export
clk_clk => CONNECTED_TO_clk_clk, -- clk.clk
clock_116_mhz_clk => CONNECTED_TO_clock_116_mhz_clk, -- clock_116_mhz.clk
external_sdram_controller_wire_addr => CONNECTED_TO_external_sdram_controller_wire_addr, -- external_sdram_controller_wire.addr
external_sdram_controller_wire_ba => CONNECTED_TO_external_sdram_controller_wire_ba, -- .ba
external_sdram_controller_wire_cas_n => CONNECTED_TO_external_sdram_controller_wire_cas_n, -- .cas_n
external_sdram_controller_wire_cke => CONNECTED_TO_external_sdram_controller_wire_cke, -- .cke
external_sdram_controller_wire_cs_n => CONNECTED_TO_external_sdram_controller_wire_cs_n, -- .cs_n
external_sdram_controller_wire_dq => CONNECTED_TO_external_sdram_controller_wire_dq, -- .dq
external_sdram_controller_wire_dqm => CONNECTED_TO_external_sdram_controller_wire_dqm, -- .dqm
external_sdram_controller_wire_ras_n => CONNECTED_TO_external_sdram_controller_wire_ras_n, -- .ras_n
external_sdram_controller_wire_we_n => CONNECTED_TO_external_sdram_controller_wire_we_n, -- .we_n
pio_0_external_connection_export => CONNECTED_TO_pio_0_external_connection_export, -- pio_0_external_connection.export
sd_mmc_controller_0_sd_card_io_sd_clk_o_pad => CONNECTED_TO_sd_mmc_controller_0_sd_card_io_sd_clk_o_pad, -- sd_mmc_controller_0_sd_card_io.sd_clk_o_pad
sd_mmc_controller_0_sd_card_io_sd_cmd_dat_i => CONNECTED_TO_sd_mmc_controller_0_sd_card_io_sd_cmd_dat_i, -- .sd_cmd_dat_i
sd_mmc_controller_0_sd_card_io_sd_cmd_oe_o => CONNECTED_TO_sd_mmc_controller_0_sd_card_io_sd_cmd_oe_o, -- .sd_cmd_oe_o
sd_mmc_controller_0_sd_card_io_sd_cmd_out_o => CONNECTED_TO_sd_mmc_controller_0_sd_card_io_sd_cmd_out_o, -- .sd_cmd_out_o
sd_mmc_controller_0_sd_card_io_sd_dat_dat_i => CONNECTED_TO_sd_mmc_controller_0_sd_card_io_sd_dat_dat_i, -- .sd_dat_dat_i
sd_mmc_controller_0_sd_card_io_sd_dat_oe_o => CONNECTED_TO_sd_mmc_controller_0_sd_card_io_sd_dat_oe_o, -- .sd_dat_oe_o
sd_mmc_controller_0_sd_card_io_sd_dat_out_o => CONNECTED_TO_sd_mmc_controller_0_sd_card_io_sd_dat_out_o, -- .sd_dat_out_o
sega_saturn_abus_slave_0_abus_address => CONNECTED_TO_sega_saturn_abus_slave_0_abus_address, -- sega_saturn_abus_slave_0_abus.address
sega_saturn_abus_slave_0_abus_chipselect => CONNECTED_TO_sega_saturn_abus_slave_0_abus_chipselect, -- .chipselect
sega_saturn_abus_slave_0_abus_read => CONNECTED_TO_sega_saturn_abus_slave_0_abus_read, -- .read
sega_saturn_abus_slave_0_abus_write => CONNECTED_TO_sega_saturn_abus_slave_0_abus_write, -- .write
sega_saturn_abus_slave_0_abus_functioncode => CONNECTED_TO_sega_saturn_abus_slave_0_abus_functioncode, -- .functioncode
sega_saturn_abus_slave_0_abus_timing => CONNECTED_TO_sega_saturn_abus_slave_0_abus_timing, -- .timing
sega_saturn_abus_slave_0_abus_waitrequest => CONNECTED_TO_sega_saturn_abus_slave_0_abus_waitrequest, -- .waitrequest
sega_saturn_abus_slave_0_abus_addressstrobe => CONNECTED_TO_sega_saturn_abus_slave_0_abus_addressstrobe, -- .addressstrobe
sega_saturn_abus_slave_0_abus_interrupt => CONNECTED_TO_sega_saturn_abus_slave_0_abus_interrupt, -- .interrupt
sega_saturn_abus_slave_0_abus_addressdata => CONNECTED_TO_sega_saturn_abus_slave_0_abus_addressdata, -- .addressdata
sega_saturn_abus_slave_0_abus_direction => CONNECTED_TO_sega_saturn_abus_slave_0_abus_direction, -- .direction
sega_saturn_abus_slave_0_abus_muxing => CONNECTED_TO_sega_saturn_abus_slave_0_abus_muxing, -- .muxing
sega_saturn_abus_slave_0_abus_disableout => CONNECTED_TO_sega_saturn_abus_slave_0_abus_disableout, -- .disableout
sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset => CONNECTED_TO_sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset, -- sega_saturn_abus_slave_0_conduit_saturn_reset.saturn_reset
uart_0_external_connection_rxd => CONNECTED_TO_uart_0_external_connection_rxd, -- uart_0_external_connection.rxd
uart_0_external_connection_txd => CONNECTED_TO_uart_0_external_connection_txd -- .txd
);
|
-- Vhdl test bench created from schematic /home/emmanuel/current_projects/Xilinx/Workspace/cpu_mips32/ALU_control.sch - Mon May 14 15:21:40 2012
--
-- Notes:
-- 1) This testbench template has been automatically generated using types
-- std_logic and std_logic_vector for the ports of the unit under test.
-- Xilinx recommends that these types always be used for the top-level
-- I/O of a design in order to guarantee that the testbench will bind
-- correctly to the timing (post-route) simulation model.
-- 2) To use this template as your testbench, change the filename to any
-- name of your choice with the extension .vhd, and use the "Source->Add"
-- menu in Project Navigator to import the testbench. Then
-- edit the user defined section below, adding code to generate the
-- stimulus for your design.
--
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY UNISIM;
USE UNISIM.Vcomponents.ALL;
ENTITY ALU_control_ALU_control_sch_tb IS
END ALU_control_ALU_control_sch_tb;
ARCHITECTURE behavioral OF ALU_control_ALU_control_sch_tb IS
COMPONENT ALU_control
PORT( Instruction : IN STD_LOGIC_VECTOR (5 DOWNTO 0);
Operation : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
ALUOp : IN STD_LOGIC_VECTOR (2 DOWNTO 0));
END COMPONENT;
SIGNAL Instruction : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL Operation : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL ALUOp : STD_LOGIC_VECTOR (2 DOWNTO 0);
BEGIN
UUT: ALU_control PORT MAP(
Instruction => Instruction,
Operation => Operation,
ALUOp => ALUOp
);
-- *** Test Bench - User Defined Section ***
tb : PROCESS
BEGIN
Instruction <= "000000";
-- Test lw/sw => 0010
ALUOp <= "000";
wait for 1ms;
-- Test beq => 0110
ALUOp <= "001";
wait for 1ms;
-- Test andi => 0000
ALUOp <= "100";
wait for 1ms;
-- Test ori => 0001
ALUOp <= "101";
wait for 1ms;
-- Test R instruction
ALUOp <= "010";
-- Test or => 0001
Instruction <= "100101";
wait for 1ms;
-- Test slt => 0111
Instruction <= "101010";
wait for 1ms;
-- Test xor => 0011
Instruction <= "100111";
wait for 1ms;
-- Test add => 0010
Instruction <= "100000";
wait for 1ms;
-- Test sub => 0110
Instruction <= "100010";
wait for 1ms;
-- Test and => 0000
Instruction <= "100100";
wait for 1ms;
WAIT; -- will wait forever
END PROCESS;
-- *** End Test Bench - User Defined Section ***
END;
|
library ieee;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
entity spi_reader is
port (
clock : in std_logic ;
sclk : out std_logic ;
miso : in std_logic ;
mosi : out std_logic ;
enx : out std_logic ;
reset_out : out std_logic
) ;
end entity ;
architecture arch of spi_reader is
constant RESET_CYCLES : natural := 1000 ;
constant ENX_WAIT_CYCLES : natural := 10 ;
constant WRITE_BIT_COUNT : natural := 7 ;
constant READ_BIT_COUNT : natural := 8 ;
constant TOTAL_BIT_COUNT : natural := WRITE_BIT_COUNT + READ_BIT_COUNT ;
type command_t is (COMMAND_READ, COMMAND_WRITE) ;
type fsm_t is (RESET, WAITING, FALLING_SCLK, RISING_SCLK) ;
signal fsm : fsm_t ;
constant command : command_t := COMMAND_READ ;
signal spi_address : unsigned(6 downto 0) := (others =>'0') ;
begin
reader : process(all)
variable count : natural range 0 to 1000 := 0 ;
variable address : unsigned(6 downto 0) := (others =>'0') ;
variable wdata : unsigned(7 downto 0) ;
variable rdata : unsigned(7 downto 0) ;
begin
if( rising_edge(clock) ) then
enx <= '0' ;
reset_out <= '1' ;
case fsm is
when RESET =>
reset_out <= '0' ;
spi_address <= (others =>'0') ;
if( count = 0 ) then
fsm <= WAITING ;
count := ENX_WAIT_CYCLES ;
else
count := count - 1 ;
end if ;
when WAITING =>
sclk <= '1' ;
enx <= '1' ;
if( spi_address = 127 ) then
spi_address <= (others =>'0') ;
fsm <= RESET ;
count := RESET_CYCLES ;
end if ;
if( count = 0 ) then
count := TOTAL_BIT_COUNT ;
fsm <= FALLING_SCLK ;
address := spi_address ;
spi_address <= spi_address + 1;
else
count := count - 1 ;
end if ;
when FALLING_SCLK =>
sclk <= '0' ;
fsm <= RISING_SCLK ;
if( command = COMMAND_READ ) then
if( count = TOTAL_BIT_COUNT ) then
mosi <= '0' ;
elsif( count < TOTAL_BIT_COUNT - WRITE_BIT_COUNT ) then
mosi <= '0' ;
else
mosi <= address(address'high) ;
address := shift_left(address,1) ;
end if ;
else
if( count = TOTAL_BIT_COUNT ) then
mosi <= '1' ;
elsif( count < TOTAL_BIT_COUNT - WRITE_BIT_COUNT ) then
mosi <= wdata(wdata'high) ;
wdata := shift_left(wdata,1) ;
else
mosi <= address(address'high) ;
address := shift_left(address,1) ;
end if ;
end if ;
when RISING_SCLK =>
sclk <= '1' ;
if( command = COMMAND_READ ) then
if( count < TOTAL_BIT_COUNT - WRITE_BIT_COUNT ) then
rdata := rdata(rdata'high-1 downto 0) & miso ;
end if ;
end if ;
if( count = 0 ) then
fsm <= WAITING ;
count := ENX_WAIT_CYCLES ;
else
count := count - 1 ;
fsm <= FALLING_SCLK ;
end if ;
end case ;
end if ;
end process ;
end architecture ;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.4
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity image_filter is
port (
INPUT_STREAM_TDATA : IN STD_LOGIC_VECTOR (31 downto 0);
INPUT_STREAM_TKEEP : IN STD_LOGIC_VECTOR (3 downto 0);
INPUT_STREAM_TSTRB : IN STD_LOGIC_VECTOR (3 downto 0);
INPUT_STREAM_TUSER : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TLAST : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TID : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TDEST : IN STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0);
OUTPUT_STREAM_TKEEP : OUT STD_LOGIC_VECTOR (3 downto 0);
OUTPUT_STREAM_TSTRB : OUT STD_LOGIC_VECTOR (3 downto 0);
OUTPUT_STREAM_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TID : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0);
rows : IN STD_LOGIC_VECTOR (31 downto 0);
cols : IN STD_LOGIC_VECTOR (31 downto 0);
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
INPUT_STREAM_TVALID : IN STD_LOGIC;
INPUT_STREAM_TREADY : OUT STD_LOGIC;
OUTPUT_STREAM_TVALID : OUT STD_LOGIC;
OUTPUT_STREAM_TREADY : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC );
end;
architecture behav of image_filter is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"image_filter,hls_ip_2014_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=6.666670,HLS_INPUT_ARCH=dataflow,HLS_SYN_CLOCK=5.681000,HLS_SYN_LAT=-1,HLS_SYN_TPT=-1,HLS_SYN_MEM=6,HLS_SYN_DSP=4,HLS_SYN_FF=1026,HLS_SYN_LUT=1358}";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_true : BOOLEAN := true;
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_const_logic_1 : STD_LOGIC := '1';
signal ap_rst_n_inv : STD_LOGIC;
signal image_filter_Block_proc_U0_ap_start : STD_LOGIC;
signal image_filter_Block_proc_U0_ap_done : STD_LOGIC;
signal image_filter_Block_proc_U0_ap_continue : STD_LOGIC;
signal image_filter_Block_proc_U0_ap_idle : STD_LOGIC;
signal image_filter_Block_proc_U0_ap_ready : STD_LOGIC;
signal image_filter_Block_proc_U0_rows : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_Block_proc_U0_cols : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_Block_proc_U0_ap_return_0 : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_Block_proc_U0_ap_return_1 : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_Block_proc_U0_ap_return_2 : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_Block_proc_U0_ap_return_3 : STD_LOGIC_VECTOR (11 downto 0);
signal ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel : STD_LOGIC;
signal img_0_rows_V_channel_full_n : STD_LOGIC;
signal ap_reg_ready_img_0_rows_V_channel_full_n : STD_LOGIC := '0';
signal ap_sig_ready_img_0_rows_V_channel_full_n : STD_LOGIC;
signal ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V : STD_LOGIC;
signal img_1_rows_V_full_n : STD_LOGIC;
signal ap_reg_ready_img_1_rows_V_full_n : STD_LOGIC := '0';
signal ap_sig_ready_img_1_rows_V_full_n : STD_LOGIC;
signal ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V : STD_LOGIC;
signal img_1_cols_V_full_n : STD_LOGIC;
signal ap_reg_ready_img_1_cols_V_full_n : STD_LOGIC := '0';
signal ap_sig_ready_img_1_cols_V_full_n : STD_LOGIC;
signal ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel : STD_LOGIC;
signal img_0_cols_V_channel_full_n : STD_LOGIC;
signal ap_reg_ready_img_0_cols_V_channel_full_n : STD_LOGIC := '0';
signal ap_sig_ready_img_0_cols_V_channel_full_n : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_ap_start : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_ap_done : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_ap_continue : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_ap_idle : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_ap_ready : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDATA : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TVALID : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TREADY : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TKEEP : STD_LOGIC_VECTOR (3 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TSTRB : STD_LOGIC_VECTOR (3 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TUSER : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TLAST : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TID : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDEST : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_rows_V_read : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_cols_V_read : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_full_n : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_write : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_full_n : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_write : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_full_n : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_write : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_ap_start : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_ap_done : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_ap_continue : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_ap_idle : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_ap_ready : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_rows : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_Loop_1_proc_U0_cols : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_empty_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_read : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_empty_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_read : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_empty_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_read : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_full_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_write : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_full_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_write : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_full_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_write : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_ap_start : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_ap_done : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_ap_continue : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_ap_idle : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_ap_ready : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_rows_V_read : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_Mat2AXIvideo_U0_img_cols_V_read : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_empty_n : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_read : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_empty_n : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_read : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_empty_n : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_read : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDATA : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TVALID : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TREADY : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TKEEP : STD_LOGIC_VECTOR (3 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TSTRB : STD_LOGIC_VECTOR (3 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TUSER : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TLAST : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TID : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDEST : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_hs_continue : STD_LOGIC;
signal img_0_rows_V_channel_U_ap_dummy_ce : STD_LOGIC;
signal img_0_rows_V_channel_din : STD_LOGIC_VECTOR (11 downto 0);
signal img_0_rows_V_channel_write : STD_LOGIC;
signal img_0_rows_V_channel_dout : STD_LOGIC_VECTOR (11 downto 0);
signal img_0_rows_V_channel_empty_n : STD_LOGIC;
signal img_0_rows_V_channel_read : STD_LOGIC;
signal img_0_cols_V_channel_U_ap_dummy_ce : STD_LOGIC;
signal img_0_cols_V_channel_din : STD_LOGIC_VECTOR (11 downto 0);
signal img_0_cols_V_channel_write : STD_LOGIC;
signal img_0_cols_V_channel_dout : STD_LOGIC_VECTOR (11 downto 0);
signal img_0_cols_V_channel_empty_n : STD_LOGIC;
signal img_0_cols_V_channel_read : STD_LOGIC;
signal img_1_rows_V_U_ap_dummy_ce : STD_LOGIC;
signal img_1_rows_V_din : STD_LOGIC_VECTOR (11 downto 0);
signal img_1_rows_V_write : STD_LOGIC;
signal img_1_rows_V_dout : STD_LOGIC_VECTOR (11 downto 0);
signal img_1_rows_V_empty_n : STD_LOGIC;
signal img_1_rows_V_read : STD_LOGIC;
signal img_1_cols_V_U_ap_dummy_ce : STD_LOGIC;
signal img_1_cols_V_din : STD_LOGIC_VECTOR (11 downto 0);
signal img_1_cols_V_write : STD_LOGIC;
signal img_1_cols_V_dout : STD_LOGIC_VECTOR (11 downto 0);
signal img_1_cols_V_empty_n : STD_LOGIC;
signal img_1_cols_V_read : STD_LOGIC;
signal img_0_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC;
signal img_0_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_0_V_full_n : STD_LOGIC;
signal img_0_data_stream_0_V_write : STD_LOGIC;
signal img_0_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_0_V_empty_n : STD_LOGIC;
signal img_0_data_stream_0_V_read : STD_LOGIC;
signal img_0_data_stream_1_V_U_ap_dummy_ce : STD_LOGIC;
signal img_0_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_1_V_full_n : STD_LOGIC;
signal img_0_data_stream_1_V_write : STD_LOGIC;
signal img_0_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_1_V_empty_n : STD_LOGIC;
signal img_0_data_stream_1_V_read : STD_LOGIC;
signal img_0_data_stream_2_V_U_ap_dummy_ce : STD_LOGIC;
signal img_0_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_2_V_full_n : STD_LOGIC;
signal img_0_data_stream_2_V_write : STD_LOGIC;
signal img_0_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_2_V_empty_n : STD_LOGIC;
signal img_0_data_stream_2_V_read : STD_LOGIC;
signal img_1_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC;
signal img_1_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_0_V_full_n : STD_LOGIC;
signal img_1_data_stream_0_V_write : STD_LOGIC;
signal img_1_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_0_V_empty_n : STD_LOGIC;
signal img_1_data_stream_0_V_read : STD_LOGIC;
signal img_1_data_stream_1_V_U_ap_dummy_ce : STD_LOGIC;
signal img_1_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_1_V_full_n : STD_LOGIC;
signal img_1_data_stream_1_V_write : STD_LOGIC;
signal img_1_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_1_V_empty_n : STD_LOGIC;
signal img_1_data_stream_1_V_read : STD_LOGIC;
signal img_1_data_stream_2_V_U_ap_dummy_ce : STD_LOGIC;
signal img_1_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_2_V_full_n : STD_LOGIC;
signal img_1_data_stream_2_V_write : STD_LOGIC;
signal img_1_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_2_V_empty_n : STD_LOGIC;
signal img_1_data_stream_2_V_read : STD_LOGIC;
signal ap_reg_procdone_image_filter_Block_proc_U0 : STD_LOGIC := '0';
signal ap_sig_hs_done : STD_LOGIC;
signal ap_reg_procdone_image_filter_AXIvideo2Mat_U0 : STD_LOGIC := '0';
signal ap_reg_procdone_image_filter_Loop_1_proc_U0 : STD_LOGIC := '0';
signal ap_reg_procdone_image_filter_Mat2AXIvideo_U0 : STD_LOGIC := '0';
signal ap_CS : STD_LOGIC;
signal ap_sig_top_allready : STD_LOGIC;
component image_filter_Block_proc IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
rows : IN STD_LOGIC_VECTOR (31 downto 0);
cols : IN STD_LOGIC_VECTOR (31 downto 0);
ap_return_0 : OUT STD_LOGIC_VECTOR (11 downto 0);
ap_return_1 : OUT STD_LOGIC_VECTOR (11 downto 0);
ap_return_2 : OUT STD_LOGIC_VECTOR (11 downto 0);
ap_return_3 : OUT STD_LOGIC_VECTOR (11 downto 0) );
end component;
component image_filter_AXIvideo2Mat IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
INPUT_STREAM_TDATA : IN STD_LOGIC_VECTOR (31 downto 0);
INPUT_STREAM_TVALID : IN STD_LOGIC;
INPUT_STREAM_TREADY : OUT STD_LOGIC;
INPUT_STREAM_TKEEP : IN STD_LOGIC_VECTOR (3 downto 0);
INPUT_STREAM_TSTRB : IN STD_LOGIC_VECTOR (3 downto 0);
INPUT_STREAM_TUSER : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TLAST : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TID : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TDEST : IN STD_LOGIC_VECTOR (0 downto 0);
img_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0);
img_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0);
img_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_0_V_full_n : IN STD_LOGIC;
img_data_stream_0_V_write : OUT STD_LOGIC;
img_data_stream_1_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_1_V_full_n : IN STD_LOGIC;
img_data_stream_1_V_write : OUT STD_LOGIC;
img_data_stream_2_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_2_V_full_n : IN STD_LOGIC;
img_data_stream_2_V_write : OUT STD_LOGIC );
end component;
component image_filter_Loop_1_proc IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
rows : IN STD_LOGIC_VECTOR (31 downto 0);
cols : IN STD_LOGIC_VECTOR (31 downto 0);
img_0_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_0_data_stream_0_V_empty_n : IN STD_LOGIC;
img_0_data_stream_0_V_read : OUT STD_LOGIC;
img_0_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_0_data_stream_1_V_empty_n : IN STD_LOGIC;
img_0_data_stream_1_V_read : OUT STD_LOGIC;
img_0_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_0_data_stream_2_V_empty_n : IN STD_LOGIC;
img_0_data_stream_2_V_read : OUT STD_LOGIC;
img_1_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_1_data_stream_0_V_full_n : IN STD_LOGIC;
img_1_data_stream_0_V_write : OUT STD_LOGIC;
img_1_data_stream_1_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_1_data_stream_1_V_full_n : IN STD_LOGIC;
img_1_data_stream_1_V_write : OUT STD_LOGIC;
img_1_data_stream_2_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_1_data_stream_2_V_full_n : IN STD_LOGIC;
img_1_data_stream_2_V_write : OUT STD_LOGIC );
end component;
component image_filter_Mat2AXIvideo IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
img_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0);
img_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0);
img_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_0_V_empty_n : IN STD_LOGIC;
img_data_stream_0_V_read : OUT STD_LOGIC;
img_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_1_V_empty_n : IN STD_LOGIC;
img_data_stream_1_V_read : OUT STD_LOGIC;
img_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_2_V_empty_n : IN STD_LOGIC;
img_data_stream_2_V_read : OUT STD_LOGIC;
OUTPUT_STREAM_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0);
OUTPUT_STREAM_TVALID : OUT STD_LOGIC;
OUTPUT_STREAM_TREADY : IN STD_LOGIC;
OUTPUT_STREAM_TKEEP : OUT STD_LOGIC_VECTOR (3 downto 0);
OUTPUT_STREAM_TSTRB : OUT STD_LOGIC_VECTOR (3 downto 0);
OUTPUT_STREAM_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TID : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
component FIFO_image_filter_img_0_rows_V_channel IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (11 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (11 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_0_cols_V_channel IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (11 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (11 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_1_rows_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (11 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (11 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_1_cols_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (11 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (11 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_0_data_stream_0_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_0_data_stream_1_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_0_data_stream_2_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_1_data_stream_0_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_1_data_stream_1_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_1_data_stream_2_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
begin
image_filter_Block_proc_U0 : component image_filter_Block_proc
port map (
ap_clk => ap_clk,
ap_rst => ap_rst_n_inv,
ap_start => image_filter_Block_proc_U0_ap_start,
ap_done => image_filter_Block_proc_U0_ap_done,
ap_continue => image_filter_Block_proc_U0_ap_continue,
ap_idle => image_filter_Block_proc_U0_ap_idle,
ap_ready => image_filter_Block_proc_U0_ap_ready,
rows => image_filter_Block_proc_U0_rows,
cols => image_filter_Block_proc_U0_cols,
ap_return_0 => image_filter_Block_proc_U0_ap_return_0,
ap_return_1 => image_filter_Block_proc_U0_ap_return_1,
ap_return_2 => image_filter_Block_proc_U0_ap_return_2,
ap_return_3 => image_filter_Block_proc_U0_ap_return_3);
image_filter_AXIvideo2Mat_U0 : component image_filter_AXIvideo2Mat
port map (
ap_clk => ap_clk,
ap_rst => ap_rst_n_inv,
ap_start => image_filter_AXIvideo2Mat_U0_ap_start,
ap_done => image_filter_AXIvideo2Mat_U0_ap_done,
ap_continue => image_filter_AXIvideo2Mat_U0_ap_continue,
ap_idle => image_filter_AXIvideo2Mat_U0_ap_idle,
ap_ready => image_filter_AXIvideo2Mat_U0_ap_ready,
INPUT_STREAM_TDATA => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDATA,
INPUT_STREAM_TVALID => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TVALID,
INPUT_STREAM_TREADY => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TREADY,
INPUT_STREAM_TKEEP => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TKEEP,
INPUT_STREAM_TSTRB => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TSTRB,
INPUT_STREAM_TUSER => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TUSER,
INPUT_STREAM_TLAST => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TLAST,
INPUT_STREAM_TID => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TID,
INPUT_STREAM_TDEST => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDEST,
img_rows_V_read => image_filter_AXIvideo2Mat_U0_img_rows_V_read,
img_cols_V_read => image_filter_AXIvideo2Mat_U0_img_cols_V_read,
img_data_stream_0_V_din => image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_din,
img_data_stream_0_V_full_n => image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_full_n,
img_data_stream_0_V_write => image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_write,
img_data_stream_1_V_din => image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_din,
img_data_stream_1_V_full_n => image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_full_n,
img_data_stream_1_V_write => image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_write,
img_data_stream_2_V_din => image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_din,
img_data_stream_2_V_full_n => image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_full_n,
img_data_stream_2_V_write => image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_write);
image_filter_Loop_1_proc_U0 : component image_filter_Loop_1_proc
port map (
ap_clk => ap_clk,
ap_rst => ap_rst_n_inv,
ap_start => image_filter_Loop_1_proc_U0_ap_start,
ap_done => image_filter_Loop_1_proc_U0_ap_done,
ap_continue => image_filter_Loop_1_proc_U0_ap_continue,
ap_idle => image_filter_Loop_1_proc_U0_ap_idle,
ap_ready => image_filter_Loop_1_proc_U0_ap_ready,
rows => image_filter_Loop_1_proc_U0_rows,
cols => image_filter_Loop_1_proc_U0_cols,
img_0_data_stream_0_V_dout => image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_dout,
img_0_data_stream_0_V_empty_n => image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_empty_n,
img_0_data_stream_0_V_read => image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_read,
img_0_data_stream_1_V_dout => image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_dout,
img_0_data_stream_1_V_empty_n => image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_empty_n,
img_0_data_stream_1_V_read => image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_read,
img_0_data_stream_2_V_dout => image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_dout,
img_0_data_stream_2_V_empty_n => image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_empty_n,
img_0_data_stream_2_V_read => image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_read,
img_1_data_stream_0_V_din => image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_din,
img_1_data_stream_0_V_full_n => image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_full_n,
img_1_data_stream_0_V_write => image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_write,
img_1_data_stream_1_V_din => image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_din,
img_1_data_stream_1_V_full_n => image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_full_n,
img_1_data_stream_1_V_write => image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_write,
img_1_data_stream_2_V_din => image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_din,
img_1_data_stream_2_V_full_n => image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_full_n,
img_1_data_stream_2_V_write => image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_write);
image_filter_Mat2AXIvideo_U0 : component image_filter_Mat2AXIvideo
port map (
ap_clk => ap_clk,
ap_rst => ap_rst_n_inv,
ap_start => image_filter_Mat2AXIvideo_U0_ap_start,
ap_done => image_filter_Mat2AXIvideo_U0_ap_done,
ap_continue => image_filter_Mat2AXIvideo_U0_ap_continue,
ap_idle => image_filter_Mat2AXIvideo_U0_ap_idle,
ap_ready => image_filter_Mat2AXIvideo_U0_ap_ready,
img_rows_V_read => image_filter_Mat2AXIvideo_U0_img_rows_V_read,
img_cols_V_read => image_filter_Mat2AXIvideo_U0_img_cols_V_read,
img_data_stream_0_V_dout => image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_dout,
img_data_stream_0_V_empty_n => image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_empty_n,
img_data_stream_0_V_read => image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_read,
img_data_stream_1_V_dout => image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_dout,
img_data_stream_1_V_empty_n => image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_empty_n,
img_data_stream_1_V_read => image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_read,
img_data_stream_2_V_dout => image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_dout,
img_data_stream_2_V_empty_n => image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_empty_n,
img_data_stream_2_V_read => image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_read,
OUTPUT_STREAM_TDATA => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDATA,
OUTPUT_STREAM_TVALID => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TVALID,
OUTPUT_STREAM_TREADY => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TREADY,
OUTPUT_STREAM_TKEEP => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TKEEP,
OUTPUT_STREAM_TSTRB => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TSTRB,
OUTPUT_STREAM_TUSER => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TUSER,
OUTPUT_STREAM_TLAST => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TLAST,
OUTPUT_STREAM_TID => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TID,
OUTPUT_STREAM_TDEST => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDEST);
img_0_rows_V_channel_U : component FIFO_image_filter_img_0_rows_V_channel
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_0_rows_V_channel_U_ap_dummy_ce,
if_write_ce => img_0_rows_V_channel_U_ap_dummy_ce,
if_din => img_0_rows_V_channel_din,
if_full_n => img_0_rows_V_channel_full_n,
if_write => img_0_rows_V_channel_write,
if_dout => img_0_rows_V_channel_dout,
if_empty_n => img_0_rows_V_channel_empty_n,
if_read => img_0_rows_V_channel_read);
img_0_cols_V_channel_U : component FIFO_image_filter_img_0_cols_V_channel
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_0_cols_V_channel_U_ap_dummy_ce,
if_write_ce => img_0_cols_V_channel_U_ap_dummy_ce,
if_din => img_0_cols_V_channel_din,
if_full_n => img_0_cols_V_channel_full_n,
if_write => img_0_cols_V_channel_write,
if_dout => img_0_cols_V_channel_dout,
if_empty_n => img_0_cols_V_channel_empty_n,
if_read => img_0_cols_V_channel_read);
img_1_rows_V_U : component FIFO_image_filter_img_1_rows_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_1_rows_V_U_ap_dummy_ce,
if_write_ce => img_1_rows_V_U_ap_dummy_ce,
if_din => img_1_rows_V_din,
if_full_n => img_1_rows_V_full_n,
if_write => img_1_rows_V_write,
if_dout => img_1_rows_V_dout,
if_empty_n => img_1_rows_V_empty_n,
if_read => img_1_rows_V_read);
img_1_cols_V_U : component FIFO_image_filter_img_1_cols_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_1_cols_V_U_ap_dummy_ce,
if_write_ce => img_1_cols_V_U_ap_dummy_ce,
if_din => img_1_cols_V_din,
if_full_n => img_1_cols_V_full_n,
if_write => img_1_cols_V_write,
if_dout => img_1_cols_V_dout,
if_empty_n => img_1_cols_V_empty_n,
if_read => img_1_cols_V_read);
img_0_data_stream_0_V_U : component FIFO_image_filter_img_0_data_stream_0_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_0_data_stream_0_V_U_ap_dummy_ce,
if_write_ce => img_0_data_stream_0_V_U_ap_dummy_ce,
if_din => img_0_data_stream_0_V_din,
if_full_n => img_0_data_stream_0_V_full_n,
if_write => img_0_data_stream_0_V_write,
if_dout => img_0_data_stream_0_V_dout,
if_empty_n => img_0_data_stream_0_V_empty_n,
if_read => img_0_data_stream_0_V_read);
img_0_data_stream_1_V_U : component FIFO_image_filter_img_0_data_stream_1_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_0_data_stream_1_V_U_ap_dummy_ce,
if_write_ce => img_0_data_stream_1_V_U_ap_dummy_ce,
if_din => img_0_data_stream_1_V_din,
if_full_n => img_0_data_stream_1_V_full_n,
if_write => img_0_data_stream_1_V_write,
if_dout => img_0_data_stream_1_V_dout,
if_empty_n => img_0_data_stream_1_V_empty_n,
if_read => img_0_data_stream_1_V_read);
img_0_data_stream_2_V_U : component FIFO_image_filter_img_0_data_stream_2_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_0_data_stream_2_V_U_ap_dummy_ce,
if_write_ce => img_0_data_stream_2_V_U_ap_dummy_ce,
if_din => img_0_data_stream_2_V_din,
if_full_n => img_0_data_stream_2_V_full_n,
if_write => img_0_data_stream_2_V_write,
if_dout => img_0_data_stream_2_V_dout,
if_empty_n => img_0_data_stream_2_V_empty_n,
if_read => img_0_data_stream_2_V_read);
img_1_data_stream_0_V_U : component FIFO_image_filter_img_1_data_stream_0_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_1_data_stream_0_V_U_ap_dummy_ce,
if_write_ce => img_1_data_stream_0_V_U_ap_dummy_ce,
if_din => img_1_data_stream_0_V_din,
if_full_n => img_1_data_stream_0_V_full_n,
if_write => img_1_data_stream_0_V_write,
if_dout => img_1_data_stream_0_V_dout,
if_empty_n => img_1_data_stream_0_V_empty_n,
if_read => img_1_data_stream_0_V_read);
img_1_data_stream_1_V_U : component FIFO_image_filter_img_1_data_stream_1_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_1_data_stream_1_V_U_ap_dummy_ce,
if_write_ce => img_1_data_stream_1_V_U_ap_dummy_ce,
if_din => img_1_data_stream_1_V_din,
if_full_n => img_1_data_stream_1_V_full_n,
if_write => img_1_data_stream_1_V_write,
if_dout => img_1_data_stream_1_V_dout,
if_empty_n => img_1_data_stream_1_V_empty_n,
if_read => img_1_data_stream_1_V_read);
img_1_data_stream_2_V_U : component FIFO_image_filter_img_1_data_stream_2_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_1_data_stream_2_V_U_ap_dummy_ce,
if_write_ce => img_1_data_stream_2_V_U_ap_dummy_ce,
if_din => img_1_data_stream_2_V_din,
if_full_n => img_1_data_stream_2_V_full_n,
if_write => img_1_data_stream_2_V_write,
if_dout => img_1_data_stream_2_V_dout,
if_empty_n => img_1_data_stream_2_V_empty_n,
if_read => img_1_data_stream_2_V_read);
-- ap_reg_procdone_image_filter_AXIvideo2Mat_U0 assign process. --
ap_reg_procdone_image_filter_AXIvideo2Mat_U0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_procdone_image_filter_AXIvideo2Mat_U0 <= ap_const_logic_0;
else
if ((ap_const_logic_1 = ap_sig_hs_done)) then
ap_reg_procdone_image_filter_AXIvideo2Mat_U0 <= ap_const_logic_0;
elsif ((ap_const_logic_1 = image_filter_AXIvideo2Mat_U0_ap_done)) then
ap_reg_procdone_image_filter_AXIvideo2Mat_U0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_procdone_image_filter_Block_proc_U0 assign process. --
ap_reg_procdone_image_filter_Block_proc_U0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_procdone_image_filter_Block_proc_U0 <= ap_const_logic_0;
else
if ((ap_const_logic_1 = ap_sig_hs_done)) then
ap_reg_procdone_image_filter_Block_proc_U0 <= ap_const_logic_0;
elsif ((image_filter_Block_proc_U0_ap_done = ap_const_logic_1)) then
ap_reg_procdone_image_filter_Block_proc_U0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_procdone_image_filter_Loop_1_proc_U0 assign process. --
ap_reg_procdone_image_filter_Loop_1_proc_U0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_procdone_image_filter_Loop_1_proc_U0 <= ap_const_logic_0;
else
if ((ap_const_logic_1 = ap_sig_hs_done)) then
ap_reg_procdone_image_filter_Loop_1_proc_U0 <= ap_const_logic_0;
elsif ((ap_const_logic_1 = image_filter_Loop_1_proc_U0_ap_done)) then
ap_reg_procdone_image_filter_Loop_1_proc_U0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_procdone_image_filter_Mat2AXIvideo_U0 assign process. --
ap_reg_procdone_image_filter_Mat2AXIvideo_U0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_procdone_image_filter_Mat2AXIvideo_U0 <= ap_const_logic_0;
else
if ((ap_const_logic_1 = ap_sig_hs_done)) then
ap_reg_procdone_image_filter_Mat2AXIvideo_U0 <= ap_const_logic_0;
elsif ((ap_const_logic_1 = image_filter_Mat2AXIvideo_U0_ap_done)) then
ap_reg_procdone_image_filter_Mat2AXIvideo_U0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ready_img_0_cols_V_channel_full_n assign process. --
ap_reg_ready_img_0_cols_V_channel_full_n_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_ready_img_0_cols_V_channel_full_n <= ap_const_logic_0;
else
if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then
ap_reg_ready_img_0_cols_V_channel_full_n <= ap_const_logic_0;
elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = img_0_cols_V_channel_full_n))) then
ap_reg_ready_img_0_cols_V_channel_full_n <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ready_img_0_rows_V_channel_full_n assign process. --
ap_reg_ready_img_0_rows_V_channel_full_n_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_ready_img_0_rows_V_channel_full_n <= ap_const_logic_0;
else
if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then
ap_reg_ready_img_0_rows_V_channel_full_n <= ap_const_logic_0;
elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (img_0_rows_V_channel_full_n = ap_const_logic_1))) then
ap_reg_ready_img_0_rows_V_channel_full_n <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ready_img_1_cols_V_full_n assign process. --
ap_reg_ready_img_1_cols_V_full_n_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_ready_img_1_cols_V_full_n <= ap_const_logic_0;
else
if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then
ap_reg_ready_img_1_cols_V_full_n <= ap_const_logic_0;
elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = img_1_cols_V_full_n))) then
ap_reg_ready_img_1_cols_V_full_n <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ready_img_1_rows_V_full_n assign process. --
ap_reg_ready_img_1_rows_V_full_n_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_ready_img_1_rows_V_full_n <= ap_const_logic_0;
else
if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then
ap_reg_ready_img_1_rows_V_full_n <= ap_const_logic_0;
elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = img_1_rows_V_full_n))) then
ap_reg_ready_img_1_rows_V_full_n <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_CS assign process. --
ap_CS_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
ap_CS <= ap_const_logic_0;
end if;
end process;
INPUT_STREAM_TREADY <= image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TREADY;
OUTPUT_STREAM_TDATA <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDATA;
OUTPUT_STREAM_TDEST <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDEST;
OUTPUT_STREAM_TID <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TID;
OUTPUT_STREAM_TKEEP <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TKEEP;
OUTPUT_STREAM_TLAST <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TLAST;
OUTPUT_STREAM_TSTRB <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TSTRB;
OUTPUT_STREAM_TUSER <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TUSER;
OUTPUT_STREAM_TVALID <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TVALID;
-- ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel assign process. --
ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_img_0_cols_V_channel_full_n)
begin
if ((ap_const_logic_1 = ap_reg_ready_img_0_cols_V_channel_full_n)) then
ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel <= ap_const_logic_0;
else
ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel <= image_filter_Block_proc_U0_ap_done;
end if;
end process;
-- ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel assign process. --
ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_img_0_rows_V_channel_full_n)
begin
if ((ap_reg_ready_img_0_rows_V_channel_full_n = ap_const_logic_1)) then
ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel <= ap_const_logic_0;
else
ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel <= image_filter_Block_proc_U0_ap_done;
end if;
end process;
-- ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V assign process. --
ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_img_1_cols_V_full_n)
begin
if ((ap_const_logic_1 = ap_reg_ready_img_1_cols_V_full_n)) then
ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V <= ap_const_logic_0;
else
ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V <= image_filter_Block_proc_U0_ap_done;
end if;
end process;
-- ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V assign process. --
ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_img_1_rows_V_full_n)
begin
if ((ap_const_logic_1 = ap_reg_ready_img_1_rows_V_full_n)) then
ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V <= ap_const_logic_0;
else
ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V <= image_filter_Block_proc_U0_ap_done;
end if;
end process;
ap_done <= ap_sig_hs_done;
-- ap_idle assign process. --
ap_idle_assign_proc : process(image_filter_Block_proc_U0_ap_idle, image_filter_AXIvideo2Mat_U0_ap_idle, image_filter_Loop_1_proc_U0_ap_idle, image_filter_Mat2AXIvideo_U0_ap_idle, img_0_rows_V_channel_empty_n, img_0_cols_V_channel_empty_n, img_1_rows_V_empty_n, img_1_cols_V_empty_n)
begin
if (((image_filter_Block_proc_U0_ap_idle = ap_const_logic_1) and (ap_const_logic_1 = image_filter_AXIvideo2Mat_U0_ap_idle) and (ap_const_logic_1 = image_filter_Loop_1_proc_U0_ap_idle) and (ap_const_logic_1 = image_filter_Mat2AXIvideo_U0_ap_idle) and (ap_const_logic_0 = img_0_rows_V_channel_empty_n) and (ap_const_logic_0 = img_0_cols_V_channel_empty_n) and (ap_const_logic_0 = img_1_rows_V_empty_n) and (ap_const_logic_0 = img_1_cols_V_empty_n))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_ready <= ap_sig_top_allready;
-- ap_rst_n_inv assign process. --
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
ap_sig_hs_continue <= ap_const_logic_1;
-- ap_sig_hs_done assign process. --
ap_sig_hs_done_assign_proc : process(image_filter_Mat2AXIvideo_U0_ap_done)
begin
if ((ap_const_logic_1 = image_filter_Mat2AXIvideo_U0_ap_done)) then
ap_sig_hs_done <= ap_const_logic_1;
else
ap_sig_hs_done <= ap_const_logic_0;
end if;
end process;
-- ap_sig_ready_img_0_cols_V_channel_full_n assign process. --
ap_sig_ready_img_0_cols_V_channel_full_n_assign_proc : process(img_0_cols_V_channel_full_n, ap_reg_ready_img_0_cols_V_channel_full_n)
begin
if ((ap_const_logic_0 = ap_reg_ready_img_0_cols_V_channel_full_n)) then
ap_sig_ready_img_0_cols_V_channel_full_n <= img_0_cols_V_channel_full_n;
else
ap_sig_ready_img_0_cols_V_channel_full_n <= ap_const_logic_1;
end if;
end process;
-- ap_sig_ready_img_0_rows_V_channel_full_n assign process. --
ap_sig_ready_img_0_rows_V_channel_full_n_assign_proc : process(img_0_rows_V_channel_full_n, ap_reg_ready_img_0_rows_V_channel_full_n)
begin
if ((ap_reg_ready_img_0_rows_V_channel_full_n = ap_const_logic_0)) then
ap_sig_ready_img_0_rows_V_channel_full_n <= img_0_rows_V_channel_full_n;
else
ap_sig_ready_img_0_rows_V_channel_full_n <= ap_const_logic_1;
end if;
end process;
-- ap_sig_ready_img_1_cols_V_full_n assign process. --
ap_sig_ready_img_1_cols_V_full_n_assign_proc : process(img_1_cols_V_full_n, ap_reg_ready_img_1_cols_V_full_n)
begin
if ((ap_const_logic_0 = ap_reg_ready_img_1_cols_V_full_n)) then
ap_sig_ready_img_1_cols_V_full_n <= img_1_cols_V_full_n;
else
ap_sig_ready_img_1_cols_V_full_n <= ap_const_logic_1;
end if;
end process;
-- ap_sig_ready_img_1_rows_V_full_n assign process. --
ap_sig_ready_img_1_rows_V_full_n_assign_proc : process(img_1_rows_V_full_n, ap_reg_ready_img_1_rows_V_full_n)
begin
if ((ap_const_logic_0 = ap_reg_ready_img_1_rows_V_full_n)) then
ap_sig_ready_img_1_rows_V_full_n <= img_1_rows_V_full_n;
else
ap_sig_ready_img_1_rows_V_full_n <= ap_const_logic_1;
end if;
end process;
ap_sig_top_allready <= image_filter_AXIvideo2Mat_U0_ap_ready;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDATA <= INPUT_STREAM_TDATA;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDEST <= INPUT_STREAM_TDEST;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TID <= INPUT_STREAM_TID;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TKEEP <= INPUT_STREAM_TKEEP;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TLAST <= INPUT_STREAM_TLAST;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TSTRB <= INPUT_STREAM_TSTRB;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TUSER <= INPUT_STREAM_TUSER;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TVALID <= INPUT_STREAM_TVALID;
image_filter_AXIvideo2Mat_U0_ap_continue <= ap_const_logic_1;
image_filter_AXIvideo2Mat_U0_ap_start <= (ap_start and img_0_rows_V_channel_empty_n and img_0_cols_V_channel_empty_n);
image_filter_AXIvideo2Mat_U0_img_cols_V_read <= img_0_cols_V_channel_dout;
image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_full_n <= img_0_data_stream_0_V_full_n;
image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_full_n <= img_0_data_stream_1_V_full_n;
image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_full_n <= img_0_data_stream_2_V_full_n;
image_filter_AXIvideo2Mat_U0_img_rows_V_read <= img_0_rows_V_channel_dout;
-- image_filter_Block_proc_U0_ap_continue assign process. --
image_filter_Block_proc_U0_ap_continue_assign_proc : process(ap_sig_ready_img_0_rows_V_channel_full_n, ap_sig_ready_img_1_rows_V_full_n, ap_sig_ready_img_1_cols_V_full_n, ap_sig_ready_img_0_cols_V_channel_full_n)
begin
if (((ap_sig_ready_img_0_rows_V_channel_full_n = ap_const_logic_1) and (ap_const_logic_1 = ap_sig_ready_img_1_rows_V_full_n) and (ap_const_logic_1 = ap_sig_ready_img_1_cols_V_full_n) and (ap_const_logic_1 = ap_sig_ready_img_0_cols_V_channel_full_n))) then
image_filter_Block_proc_U0_ap_continue <= ap_const_logic_1;
else
image_filter_Block_proc_U0_ap_continue <= ap_const_logic_0;
end if;
end process;
image_filter_Block_proc_U0_ap_start <= ap_start;
image_filter_Block_proc_U0_cols <= cols;
image_filter_Block_proc_U0_rows <= rows;
image_filter_Loop_1_proc_U0_ap_continue <= ap_const_logic_1;
image_filter_Loop_1_proc_U0_ap_start <= ap_start;
image_filter_Loop_1_proc_U0_cols <= cols;
image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_dout <= img_0_data_stream_0_V_dout;
image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_empty_n <= img_0_data_stream_0_V_empty_n;
image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_dout <= img_0_data_stream_1_V_dout;
image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_empty_n <= img_0_data_stream_1_V_empty_n;
image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_dout <= img_0_data_stream_2_V_dout;
image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_empty_n <= img_0_data_stream_2_V_empty_n;
image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_full_n <= img_1_data_stream_0_V_full_n;
image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_full_n <= img_1_data_stream_1_V_full_n;
image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_full_n <= img_1_data_stream_2_V_full_n;
image_filter_Loop_1_proc_U0_rows <= rows;
image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TREADY <= OUTPUT_STREAM_TREADY;
image_filter_Mat2AXIvideo_U0_ap_continue <= ap_sig_hs_continue;
image_filter_Mat2AXIvideo_U0_ap_start <= (img_1_rows_V_empty_n and img_1_cols_V_empty_n);
image_filter_Mat2AXIvideo_U0_img_cols_V_read <= img_1_cols_V_dout;
image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_dout <= img_1_data_stream_0_V_dout;
image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_empty_n <= img_1_data_stream_0_V_empty_n;
image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_dout <= img_1_data_stream_1_V_dout;
image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_empty_n <= img_1_data_stream_1_V_empty_n;
image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_dout <= img_1_data_stream_2_V_dout;
image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_empty_n <= img_1_data_stream_2_V_empty_n;
image_filter_Mat2AXIvideo_U0_img_rows_V_read <= img_1_rows_V_dout;
img_0_cols_V_channel_U_ap_dummy_ce <= ap_const_logic_1;
img_0_cols_V_channel_din <= image_filter_Block_proc_U0_ap_return_1;
img_0_cols_V_channel_read <= image_filter_AXIvideo2Mat_U0_ap_ready;
img_0_cols_V_channel_write <= ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel;
img_0_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1;
img_0_data_stream_0_V_din <= image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_din;
img_0_data_stream_0_V_read <= image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_read;
img_0_data_stream_0_V_write <= image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_write;
img_0_data_stream_1_V_U_ap_dummy_ce <= ap_const_logic_1;
img_0_data_stream_1_V_din <= image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_din;
img_0_data_stream_1_V_read <= image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_read;
img_0_data_stream_1_V_write <= image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_write;
img_0_data_stream_2_V_U_ap_dummy_ce <= ap_const_logic_1;
img_0_data_stream_2_V_din <= image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_din;
img_0_data_stream_2_V_read <= image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_read;
img_0_data_stream_2_V_write <= image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_write;
img_0_rows_V_channel_U_ap_dummy_ce <= ap_const_logic_1;
img_0_rows_V_channel_din <= image_filter_Block_proc_U0_ap_return_0;
img_0_rows_V_channel_read <= image_filter_AXIvideo2Mat_U0_ap_ready;
img_0_rows_V_channel_write <= ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel;
img_1_cols_V_U_ap_dummy_ce <= ap_const_logic_1;
img_1_cols_V_din <= image_filter_Block_proc_U0_ap_return_3;
img_1_cols_V_read <= image_filter_Mat2AXIvideo_U0_ap_ready;
img_1_cols_V_write <= ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V;
img_1_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1;
img_1_data_stream_0_V_din <= image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_din;
img_1_data_stream_0_V_read <= image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_read;
img_1_data_stream_0_V_write <= image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_write;
img_1_data_stream_1_V_U_ap_dummy_ce <= ap_const_logic_1;
img_1_data_stream_1_V_din <= image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_din;
img_1_data_stream_1_V_read <= image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_read;
img_1_data_stream_1_V_write <= image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_write;
img_1_data_stream_2_V_U_ap_dummy_ce <= ap_const_logic_1;
img_1_data_stream_2_V_din <= image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_din;
img_1_data_stream_2_V_read <= image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_read;
img_1_data_stream_2_V_write <= image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_write;
img_1_rows_V_U_ap_dummy_ce <= ap_const_logic_1;
img_1_rows_V_din <= image_filter_Block_proc_U0_ap_return_2;
img_1_rows_V_read <= image_filter_Mat2AXIvideo_U0_ap_ready;
img_1_rows_V_write <= ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V;
end behav;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.4
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity image_filter is
port (
INPUT_STREAM_TDATA : IN STD_LOGIC_VECTOR (31 downto 0);
INPUT_STREAM_TKEEP : IN STD_LOGIC_VECTOR (3 downto 0);
INPUT_STREAM_TSTRB : IN STD_LOGIC_VECTOR (3 downto 0);
INPUT_STREAM_TUSER : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TLAST : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TID : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TDEST : IN STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0);
OUTPUT_STREAM_TKEEP : OUT STD_LOGIC_VECTOR (3 downto 0);
OUTPUT_STREAM_TSTRB : OUT STD_LOGIC_VECTOR (3 downto 0);
OUTPUT_STREAM_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TID : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0);
rows : IN STD_LOGIC_VECTOR (31 downto 0);
cols : IN STD_LOGIC_VECTOR (31 downto 0);
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
INPUT_STREAM_TVALID : IN STD_LOGIC;
INPUT_STREAM_TREADY : OUT STD_LOGIC;
OUTPUT_STREAM_TVALID : OUT STD_LOGIC;
OUTPUT_STREAM_TREADY : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC );
end;
architecture behav of image_filter is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"image_filter,hls_ip_2014_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=6.666670,HLS_INPUT_ARCH=dataflow,HLS_SYN_CLOCK=5.681000,HLS_SYN_LAT=-1,HLS_SYN_TPT=-1,HLS_SYN_MEM=6,HLS_SYN_DSP=4,HLS_SYN_FF=1026,HLS_SYN_LUT=1358}";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_true : BOOLEAN := true;
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_const_logic_1 : STD_LOGIC := '1';
signal ap_rst_n_inv : STD_LOGIC;
signal image_filter_Block_proc_U0_ap_start : STD_LOGIC;
signal image_filter_Block_proc_U0_ap_done : STD_LOGIC;
signal image_filter_Block_proc_U0_ap_continue : STD_LOGIC;
signal image_filter_Block_proc_U0_ap_idle : STD_LOGIC;
signal image_filter_Block_proc_U0_ap_ready : STD_LOGIC;
signal image_filter_Block_proc_U0_rows : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_Block_proc_U0_cols : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_Block_proc_U0_ap_return_0 : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_Block_proc_U0_ap_return_1 : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_Block_proc_U0_ap_return_2 : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_Block_proc_U0_ap_return_3 : STD_LOGIC_VECTOR (11 downto 0);
signal ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel : STD_LOGIC;
signal img_0_rows_V_channel_full_n : STD_LOGIC;
signal ap_reg_ready_img_0_rows_V_channel_full_n : STD_LOGIC := '0';
signal ap_sig_ready_img_0_rows_V_channel_full_n : STD_LOGIC;
signal ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V : STD_LOGIC;
signal img_1_rows_V_full_n : STD_LOGIC;
signal ap_reg_ready_img_1_rows_V_full_n : STD_LOGIC := '0';
signal ap_sig_ready_img_1_rows_V_full_n : STD_LOGIC;
signal ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V : STD_LOGIC;
signal img_1_cols_V_full_n : STD_LOGIC;
signal ap_reg_ready_img_1_cols_V_full_n : STD_LOGIC := '0';
signal ap_sig_ready_img_1_cols_V_full_n : STD_LOGIC;
signal ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel : STD_LOGIC;
signal img_0_cols_V_channel_full_n : STD_LOGIC;
signal ap_reg_ready_img_0_cols_V_channel_full_n : STD_LOGIC := '0';
signal ap_sig_ready_img_0_cols_V_channel_full_n : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_ap_start : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_ap_done : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_ap_continue : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_ap_idle : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_ap_ready : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDATA : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TVALID : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TREADY : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TKEEP : STD_LOGIC_VECTOR (3 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TSTRB : STD_LOGIC_VECTOR (3 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TUSER : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TLAST : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TID : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDEST : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_rows_V_read : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_cols_V_read : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_full_n : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_write : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_full_n : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_write : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_full_n : STD_LOGIC;
signal image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_write : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_ap_start : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_ap_done : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_ap_continue : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_ap_idle : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_ap_ready : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_rows : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_Loop_1_proc_U0_cols : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_empty_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_read : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_empty_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_read : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_empty_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_read : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_full_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_write : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_full_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_write : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_full_n : STD_LOGIC;
signal image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_write : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_ap_start : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_ap_done : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_ap_continue : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_ap_idle : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_ap_ready : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_rows_V_read : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_Mat2AXIvideo_U0_img_cols_V_read : STD_LOGIC_VECTOR (11 downto 0);
signal image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_empty_n : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_read : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_empty_n : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_read : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_empty_n : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_read : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDATA : STD_LOGIC_VECTOR (31 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TVALID : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TREADY : STD_LOGIC;
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TKEEP : STD_LOGIC_VECTOR (3 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TSTRB : STD_LOGIC_VECTOR (3 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TUSER : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TLAST : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TID : STD_LOGIC_VECTOR (0 downto 0);
signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDEST : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_hs_continue : STD_LOGIC;
signal img_0_rows_V_channel_U_ap_dummy_ce : STD_LOGIC;
signal img_0_rows_V_channel_din : STD_LOGIC_VECTOR (11 downto 0);
signal img_0_rows_V_channel_write : STD_LOGIC;
signal img_0_rows_V_channel_dout : STD_LOGIC_VECTOR (11 downto 0);
signal img_0_rows_V_channel_empty_n : STD_LOGIC;
signal img_0_rows_V_channel_read : STD_LOGIC;
signal img_0_cols_V_channel_U_ap_dummy_ce : STD_LOGIC;
signal img_0_cols_V_channel_din : STD_LOGIC_VECTOR (11 downto 0);
signal img_0_cols_V_channel_write : STD_LOGIC;
signal img_0_cols_V_channel_dout : STD_LOGIC_VECTOR (11 downto 0);
signal img_0_cols_V_channel_empty_n : STD_LOGIC;
signal img_0_cols_V_channel_read : STD_LOGIC;
signal img_1_rows_V_U_ap_dummy_ce : STD_LOGIC;
signal img_1_rows_V_din : STD_LOGIC_VECTOR (11 downto 0);
signal img_1_rows_V_write : STD_LOGIC;
signal img_1_rows_V_dout : STD_LOGIC_VECTOR (11 downto 0);
signal img_1_rows_V_empty_n : STD_LOGIC;
signal img_1_rows_V_read : STD_LOGIC;
signal img_1_cols_V_U_ap_dummy_ce : STD_LOGIC;
signal img_1_cols_V_din : STD_LOGIC_VECTOR (11 downto 0);
signal img_1_cols_V_write : STD_LOGIC;
signal img_1_cols_V_dout : STD_LOGIC_VECTOR (11 downto 0);
signal img_1_cols_V_empty_n : STD_LOGIC;
signal img_1_cols_V_read : STD_LOGIC;
signal img_0_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC;
signal img_0_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_0_V_full_n : STD_LOGIC;
signal img_0_data_stream_0_V_write : STD_LOGIC;
signal img_0_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_0_V_empty_n : STD_LOGIC;
signal img_0_data_stream_0_V_read : STD_LOGIC;
signal img_0_data_stream_1_V_U_ap_dummy_ce : STD_LOGIC;
signal img_0_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_1_V_full_n : STD_LOGIC;
signal img_0_data_stream_1_V_write : STD_LOGIC;
signal img_0_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_1_V_empty_n : STD_LOGIC;
signal img_0_data_stream_1_V_read : STD_LOGIC;
signal img_0_data_stream_2_V_U_ap_dummy_ce : STD_LOGIC;
signal img_0_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_2_V_full_n : STD_LOGIC;
signal img_0_data_stream_2_V_write : STD_LOGIC;
signal img_0_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_0_data_stream_2_V_empty_n : STD_LOGIC;
signal img_0_data_stream_2_V_read : STD_LOGIC;
signal img_1_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC;
signal img_1_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_0_V_full_n : STD_LOGIC;
signal img_1_data_stream_0_V_write : STD_LOGIC;
signal img_1_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_0_V_empty_n : STD_LOGIC;
signal img_1_data_stream_0_V_read : STD_LOGIC;
signal img_1_data_stream_1_V_U_ap_dummy_ce : STD_LOGIC;
signal img_1_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_1_V_full_n : STD_LOGIC;
signal img_1_data_stream_1_V_write : STD_LOGIC;
signal img_1_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_1_V_empty_n : STD_LOGIC;
signal img_1_data_stream_1_V_read : STD_LOGIC;
signal img_1_data_stream_2_V_U_ap_dummy_ce : STD_LOGIC;
signal img_1_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_2_V_full_n : STD_LOGIC;
signal img_1_data_stream_2_V_write : STD_LOGIC;
signal img_1_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0);
signal img_1_data_stream_2_V_empty_n : STD_LOGIC;
signal img_1_data_stream_2_V_read : STD_LOGIC;
signal ap_reg_procdone_image_filter_Block_proc_U0 : STD_LOGIC := '0';
signal ap_sig_hs_done : STD_LOGIC;
signal ap_reg_procdone_image_filter_AXIvideo2Mat_U0 : STD_LOGIC := '0';
signal ap_reg_procdone_image_filter_Loop_1_proc_U0 : STD_LOGIC := '0';
signal ap_reg_procdone_image_filter_Mat2AXIvideo_U0 : STD_LOGIC := '0';
signal ap_CS : STD_LOGIC;
signal ap_sig_top_allready : STD_LOGIC;
component image_filter_Block_proc IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
rows : IN STD_LOGIC_VECTOR (31 downto 0);
cols : IN STD_LOGIC_VECTOR (31 downto 0);
ap_return_0 : OUT STD_LOGIC_VECTOR (11 downto 0);
ap_return_1 : OUT STD_LOGIC_VECTOR (11 downto 0);
ap_return_2 : OUT STD_LOGIC_VECTOR (11 downto 0);
ap_return_3 : OUT STD_LOGIC_VECTOR (11 downto 0) );
end component;
component image_filter_AXIvideo2Mat IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
INPUT_STREAM_TDATA : IN STD_LOGIC_VECTOR (31 downto 0);
INPUT_STREAM_TVALID : IN STD_LOGIC;
INPUT_STREAM_TREADY : OUT STD_LOGIC;
INPUT_STREAM_TKEEP : IN STD_LOGIC_VECTOR (3 downto 0);
INPUT_STREAM_TSTRB : IN STD_LOGIC_VECTOR (3 downto 0);
INPUT_STREAM_TUSER : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TLAST : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TID : IN STD_LOGIC_VECTOR (0 downto 0);
INPUT_STREAM_TDEST : IN STD_LOGIC_VECTOR (0 downto 0);
img_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0);
img_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0);
img_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_0_V_full_n : IN STD_LOGIC;
img_data_stream_0_V_write : OUT STD_LOGIC;
img_data_stream_1_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_1_V_full_n : IN STD_LOGIC;
img_data_stream_1_V_write : OUT STD_LOGIC;
img_data_stream_2_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_2_V_full_n : IN STD_LOGIC;
img_data_stream_2_V_write : OUT STD_LOGIC );
end component;
component image_filter_Loop_1_proc IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
rows : IN STD_LOGIC_VECTOR (31 downto 0);
cols : IN STD_LOGIC_VECTOR (31 downto 0);
img_0_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_0_data_stream_0_V_empty_n : IN STD_LOGIC;
img_0_data_stream_0_V_read : OUT STD_LOGIC;
img_0_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_0_data_stream_1_V_empty_n : IN STD_LOGIC;
img_0_data_stream_1_V_read : OUT STD_LOGIC;
img_0_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_0_data_stream_2_V_empty_n : IN STD_LOGIC;
img_0_data_stream_2_V_read : OUT STD_LOGIC;
img_1_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_1_data_stream_0_V_full_n : IN STD_LOGIC;
img_1_data_stream_0_V_write : OUT STD_LOGIC;
img_1_data_stream_1_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_1_data_stream_1_V_full_n : IN STD_LOGIC;
img_1_data_stream_1_V_write : OUT STD_LOGIC;
img_1_data_stream_2_V_din : OUT STD_LOGIC_VECTOR (7 downto 0);
img_1_data_stream_2_V_full_n : IN STD_LOGIC;
img_1_data_stream_2_V_write : OUT STD_LOGIC );
end component;
component image_filter_Mat2AXIvideo IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
img_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0);
img_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0);
img_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_0_V_empty_n : IN STD_LOGIC;
img_data_stream_0_V_read : OUT STD_LOGIC;
img_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_1_V_empty_n : IN STD_LOGIC;
img_data_stream_1_V_read : OUT STD_LOGIC;
img_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_2_V_empty_n : IN STD_LOGIC;
img_data_stream_2_V_read : OUT STD_LOGIC;
OUTPUT_STREAM_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0);
OUTPUT_STREAM_TVALID : OUT STD_LOGIC;
OUTPUT_STREAM_TREADY : IN STD_LOGIC;
OUTPUT_STREAM_TKEEP : OUT STD_LOGIC_VECTOR (3 downto 0);
OUTPUT_STREAM_TSTRB : OUT STD_LOGIC_VECTOR (3 downto 0);
OUTPUT_STREAM_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TID : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
component FIFO_image_filter_img_0_rows_V_channel IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (11 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (11 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_0_cols_V_channel IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (11 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (11 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_1_rows_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (11 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (11 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_1_cols_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (11 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (11 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_0_data_stream_0_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_0_data_stream_1_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_0_data_stream_2_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_1_data_stream_0_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_1_data_stream_1_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component FIFO_image_filter_img_1_data_stream_2_V IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (7 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (7 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
begin
image_filter_Block_proc_U0 : component image_filter_Block_proc
port map (
ap_clk => ap_clk,
ap_rst => ap_rst_n_inv,
ap_start => image_filter_Block_proc_U0_ap_start,
ap_done => image_filter_Block_proc_U0_ap_done,
ap_continue => image_filter_Block_proc_U0_ap_continue,
ap_idle => image_filter_Block_proc_U0_ap_idle,
ap_ready => image_filter_Block_proc_U0_ap_ready,
rows => image_filter_Block_proc_U0_rows,
cols => image_filter_Block_proc_U0_cols,
ap_return_0 => image_filter_Block_proc_U0_ap_return_0,
ap_return_1 => image_filter_Block_proc_U0_ap_return_1,
ap_return_2 => image_filter_Block_proc_U0_ap_return_2,
ap_return_3 => image_filter_Block_proc_U0_ap_return_3);
image_filter_AXIvideo2Mat_U0 : component image_filter_AXIvideo2Mat
port map (
ap_clk => ap_clk,
ap_rst => ap_rst_n_inv,
ap_start => image_filter_AXIvideo2Mat_U0_ap_start,
ap_done => image_filter_AXIvideo2Mat_U0_ap_done,
ap_continue => image_filter_AXIvideo2Mat_U0_ap_continue,
ap_idle => image_filter_AXIvideo2Mat_U0_ap_idle,
ap_ready => image_filter_AXIvideo2Mat_U0_ap_ready,
INPUT_STREAM_TDATA => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDATA,
INPUT_STREAM_TVALID => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TVALID,
INPUT_STREAM_TREADY => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TREADY,
INPUT_STREAM_TKEEP => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TKEEP,
INPUT_STREAM_TSTRB => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TSTRB,
INPUT_STREAM_TUSER => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TUSER,
INPUT_STREAM_TLAST => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TLAST,
INPUT_STREAM_TID => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TID,
INPUT_STREAM_TDEST => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDEST,
img_rows_V_read => image_filter_AXIvideo2Mat_U0_img_rows_V_read,
img_cols_V_read => image_filter_AXIvideo2Mat_U0_img_cols_V_read,
img_data_stream_0_V_din => image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_din,
img_data_stream_0_V_full_n => image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_full_n,
img_data_stream_0_V_write => image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_write,
img_data_stream_1_V_din => image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_din,
img_data_stream_1_V_full_n => image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_full_n,
img_data_stream_1_V_write => image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_write,
img_data_stream_2_V_din => image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_din,
img_data_stream_2_V_full_n => image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_full_n,
img_data_stream_2_V_write => image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_write);
image_filter_Loop_1_proc_U0 : component image_filter_Loop_1_proc
port map (
ap_clk => ap_clk,
ap_rst => ap_rst_n_inv,
ap_start => image_filter_Loop_1_proc_U0_ap_start,
ap_done => image_filter_Loop_1_proc_U0_ap_done,
ap_continue => image_filter_Loop_1_proc_U0_ap_continue,
ap_idle => image_filter_Loop_1_proc_U0_ap_idle,
ap_ready => image_filter_Loop_1_proc_U0_ap_ready,
rows => image_filter_Loop_1_proc_U0_rows,
cols => image_filter_Loop_1_proc_U0_cols,
img_0_data_stream_0_V_dout => image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_dout,
img_0_data_stream_0_V_empty_n => image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_empty_n,
img_0_data_stream_0_V_read => image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_read,
img_0_data_stream_1_V_dout => image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_dout,
img_0_data_stream_1_V_empty_n => image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_empty_n,
img_0_data_stream_1_V_read => image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_read,
img_0_data_stream_2_V_dout => image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_dout,
img_0_data_stream_2_V_empty_n => image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_empty_n,
img_0_data_stream_2_V_read => image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_read,
img_1_data_stream_0_V_din => image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_din,
img_1_data_stream_0_V_full_n => image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_full_n,
img_1_data_stream_0_V_write => image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_write,
img_1_data_stream_1_V_din => image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_din,
img_1_data_stream_1_V_full_n => image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_full_n,
img_1_data_stream_1_V_write => image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_write,
img_1_data_stream_2_V_din => image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_din,
img_1_data_stream_2_V_full_n => image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_full_n,
img_1_data_stream_2_V_write => image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_write);
image_filter_Mat2AXIvideo_U0 : component image_filter_Mat2AXIvideo
port map (
ap_clk => ap_clk,
ap_rst => ap_rst_n_inv,
ap_start => image_filter_Mat2AXIvideo_U0_ap_start,
ap_done => image_filter_Mat2AXIvideo_U0_ap_done,
ap_continue => image_filter_Mat2AXIvideo_U0_ap_continue,
ap_idle => image_filter_Mat2AXIvideo_U0_ap_idle,
ap_ready => image_filter_Mat2AXIvideo_U0_ap_ready,
img_rows_V_read => image_filter_Mat2AXIvideo_U0_img_rows_V_read,
img_cols_V_read => image_filter_Mat2AXIvideo_U0_img_cols_V_read,
img_data_stream_0_V_dout => image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_dout,
img_data_stream_0_V_empty_n => image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_empty_n,
img_data_stream_0_V_read => image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_read,
img_data_stream_1_V_dout => image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_dout,
img_data_stream_1_V_empty_n => image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_empty_n,
img_data_stream_1_V_read => image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_read,
img_data_stream_2_V_dout => image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_dout,
img_data_stream_2_V_empty_n => image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_empty_n,
img_data_stream_2_V_read => image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_read,
OUTPUT_STREAM_TDATA => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDATA,
OUTPUT_STREAM_TVALID => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TVALID,
OUTPUT_STREAM_TREADY => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TREADY,
OUTPUT_STREAM_TKEEP => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TKEEP,
OUTPUT_STREAM_TSTRB => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TSTRB,
OUTPUT_STREAM_TUSER => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TUSER,
OUTPUT_STREAM_TLAST => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TLAST,
OUTPUT_STREAM_TID => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TID,
OUTPUT_STREAM_TDEST => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDEST);
img_0_rows_V_channel_U : component FIFO_image_filter_img_0_rows_V_channel
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_0_rows_V_channel_U_ap_dummy_ce,
if_write_ce => img_0_rows_V_channel_U_ap_dummy_ce,
if_din => img_0_rows_V_channel_din,
if_full_n => img_0_rows_V_channel_full_n,
if_write => img_0_rows_V_channel_write,
if_dout => img_0_rows_V_channel_dout,
if_empty_n => img_0_rows_V_channel_empty_n,
if_read => img_0_rows_V_channel_read);
img_0_cols_V_channel_U : component FIFO_image_filter_img_0_cols_V_channel
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_0_cols_V_channel_U_ap_dummy_ce,
if_write_ce => img_0_cols_V_channel_U_ap_dummy_ce,
if_din => img_0_cols_V_channel_din,
if_full_n => img_0_cols_V_channel_full_n,
if_write => img_0_cols_V_channel_write,
if_dout => img_0_cols_V_channel_dout,
if_empty_n => img_0_cols_V_channel_empty_n,
if_read => img_0_cols_V_channel_read);
img_1_rows_V_U : component FIFO_image_filter_img_1_rows_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_1_rows_V_U_ap_dummy_ce,
if_write_ce => img_1_rows_V_U_ap_dummy_ce,
if_din => img_1_rows_V_din,
if_full_n => img_1_rows_V_full_n,
if_write => img_1_rows_V_write,
if_dout => img_1_rows_V_dout,
if_empty_n => img_1_rows_V_empty_n,
if_read => img_1_rows_V_read);
img_1_cols_V_U : component FIFO_image_filter_img_1_cols_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_1_cols_V_U_ap_dummy_ce,
if_write_ce => img_1_cols_V_U_ap_dummy_ce,
if_din => img_1_cols_V_din,
if_full_n => img_1_cols_V_full_n,
if_write => img_1_cols_V_write,
if_dout => img_1_cols_V_dout,
if_empty_n => img_1_cols_V_empty_n,
if_read => img_1_cols_V_read);
img_0_data_stream_0_V_U : component FIFO_image_filter_img_0_data_stream_0_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_0_data_stream_0_V_U_ap_dummy_ce,
if_write_ce => img_0_data_stream_0_V_U_ap_dummy_ce,
if_din => img_0_data_stream_0_V_din,
if_full_n => img_0_data_stream_0_V_full_n,
if_write => img_0_data_stream_0_V_write,
if_dout => img_0_data_stream_0_V_dout,
if_empty_n => img_0_data_stream_0_V_empty_n,
if_read => img_0_data_stream_0_V_read);
img_0_data_stream_1_V_U : component FIFO_image_filter_img_0_data_stream_1_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_0_data_stream_1_V_U_ap_dummy_ce,
if_write_ce => img_0_data_stream_1_V_U_ap_dummy_ce,
if_din => img_0_data_stream_1_V_din,
if_full_n => img_0_data_stream_1_V_full_n,
if_write => img_0_data_stream_1_V_write,
if_dout => img_0_data_stream_1_V_dout,
if_empty_n => img_0_data_stream_1_V_empty_n,
if_read => img_0_data_stream_1_V_read);
img_0_data_stream_2_V_U : component FIFO_image_filter_img_0_data_stream_2_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_0_data_stream_2_V_U_ap_dummy_ce,
if_write_ce => img_0_data_stream_2_V_U_ap_dummy_ce,
if_din => img_0_data_stream_2_V_din,
if_full_n => img_0_data_stream_2_V_full_n,
if_write => img_0_data_stream_2_V_write,
if_dout => img_0_data_stream_2_V_dout,
if_empty_n => img_0_data_stream_2_V_empty_n,
if_read => img_0_data_stream_2_V_read);
img_1_data_stream_0_V_U : component FIFO_image_filter_img_1_data_stream_0_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_1_data_stream_0_V_U_ap_dummy_ce,
if_write_ce => img_1_data_stream_0_V_U_ap_dummy_ce,
if_din => img_1_data_stream_0_V_din,
if_full_n => img_1_data_stream_0_V_full_n,
if_write => img_1_data_stream_0_V_write,
if_dout => img_1_data_stream_0_V_dout,
if_empty_n => img_1_data_stream_0_V_empty_n,
if_read => img_1_data_stream_0_V_read);
img_1_data_stream_1_V_U : component FIFO_image_filter_img_1_data_stream_1_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_1_data_stream_1_V_U_ap_dummy_ce,
if_write_ce => img_1_data_stream_1_V_U_ap_dummy_ce,
if_din => img_1_data_stream_1_V_din,
if_full_n => img_1_data_stream_1_V_full_n,
if_write => img_1_data_stream_1_V_write,
if_dout => img_1_data_stream_1_V_dout,
if_empty_n => img_1_data_stream_1_V_empty_n,
if_read => img_1_data_stream_1_V_read);
img_1_data_stream_2_V_U : component FIFO_image_filter_img_1_data_stream_2_V
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
if_read_ce => img_1_data_stream_2_V_U_ap_dummy_ce,
if_write_ce => img_1_data_stream_2_V_U_ap_dummy_ce,
if_din => img_1_data_stream_2_V_din,
if_full_n => img_1_data_stream_2_V_full_n,
if_write => img_1_data_stream_2_V_write,
if_dout => img_1_data_stream_2_V_dout,
if_empty_n => img_1_data_stream_2_V_empty_n,
if_read => img_1_data_stream_2_V_read);
-- ap_reg_procdone_image_filter_AXIvideo2Mat_U0 assign process. --
ap_reg_procdone_image_filter_AXIvideo2Mat_U0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_procdone_image_filter_AXIvideo2Mat_U0 <= ap_const_logic_0;
else
if ((ap_const_logic_1 = ap_sig_hs_done)) then
ap_reg_procdone_image_filter_AXIvideo2Mat_U0 <= ap_const_logic_0;
elsif ((ap_const_logic_1 = image_filter_AXIvideo2Mat_U0_ap_done)) then
ap_reg_procdone_image_filter_AXIvideo2Mat_U0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_procdone_image_filter_Block_proc_U0 assign process. --
ap_reg_procdone_image_filter_Block_proc_U0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_procdone_image_filter_Block_proc_U0 <= ap_const_logic_0;
else
if ((ap_const_logic_1 = ap_sig_hs_done)) then
ap_reg_procdone_image_filter_Block_proc_U0 <= ap_const_logic_0;
elsif ((image_filter_Block_proc_U0_ap_done = ap_const_logic_1)) then
ap_reg_procdone_image_filter_Block_proc_U0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_procdone_image_filter_Loop_1_proc_U0 assign process. --
ap_reg_procdone_image_filter_Loop_1_proc_U0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_procdone_image_filter_Loop_1_proc_U0 <= ap_const_logic_0;
else
if ((ap_const_logic_1 = ap_sig_hs_done)) then
ap_reg_procdone_image_filter_Loop_1_proc_U0 <= ap_const_logic_0;
elsif ((ap_const_logic_1 = image_filter_Loop_1_proc_U0_ap_done)) then
ap_reg_procdone_image_filter_Loop_1_proc_U0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_procdone_image_filter_Mat2AXIvideo_U0 assign process. --
ap_reg_procdone_image_filter_Mat2AXIvideo_U0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_procdone_image_filter_Mat2AXIvideo_U0 <= ap_const_logic_0;
else
if ((ap_const_logic_1 = ap_sig_hs_done)) then
ap_reg_procdone_image_filter_Mat2AXIvideo_U0 <= ap_const_logic_0;
elsif ((ap_const_logic_1 = image_filter_Mat2AXIvideo_U0_ap_done)) then
ap_reg_procdone_image_filter_Mat2AXIvideo_U0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ready_img_0_cols_V_channel_full_n assign process. --
ap_reg_ready_img_0_cols_V_channel_full_n_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_ready_img_0_cols_V_channel_full_n <= ap_const_logic_0;
else
if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then
ap_reg_ready_img_0_cols_V_channel_full_n <= ap_const_logic_0;
elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = img_0_cols_V_channel_full_n))) then
ap_reg_ready_img_0_cols_V_channel_full_n <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ready_img_0_rows_V_channel_full_n assign process. --
ap_reg_ready_img_0_rows_V_channel_full_n_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_ready_img_0_rows_V_channel_full_n <= ap_const_logic_0;
else
if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then
ap_reg_ready_img_0_rows_V_channel_full_n <= ap_const_logic_0;
elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (img_0_rows_V_channel_full_n = ap_const_logic_1))) then
ap_reg_ready_img_0_rows_V_channel_full_n <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ready_img_1_cols_V_full_n assign process. --
ap_reg_ready_img_1_cols_V_full_n_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_ready_img_1_cols_V_full_n <= ap_const_logic_0;
else
if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then
ap_reg_ready_img_1_cols_V_full_n <= ap_const_logic_0;
elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = img_1_cols_V_full_n))) then
ap_reg_ready_img_1_cols_V_full_n <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ready_img_1_rows_V_full_n assign process. --
ap_reg_ready_img_1_rows_V_full_n_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_ready_img_1_rows_V_full_n <= ap_const_logic_0;
else
if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then
ap_reg_ready_img_1_rows_V_full_n <= ap_const_logic_0;
elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = img_1_rows_V_full_n))) then
ap_reg_ready_img_1_rows_V_full_n <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_CS assign process. --
ap_CS_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
ap_CS <= ap_const_logic_0;
end if;
end process;
INPUT_STREAM_TREADY <= image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TREADY;
OUTPUT_STREAM_TDATA <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDATA;
OUTPUT_STREAM_TDEST <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDEST;
OUTPUT_STREAM_TID <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TID;
OUTPUT_STREAM_TKEEP <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TKEEP;
OUTPUT_STREAM_TLAST <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TLAST;
OUTPUT_STREAM_TSTRB <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TSTRB;
OUTPUT_STREAM_TUSER <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TUSER;
OUTPUT_STREAM_TVALID <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TVALID;
-- ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel assign process. --
ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_img_0_cols_V_channel_full_n)
begin
if ((ap_const_logic_1 = ap_reg_ready_img_0_cols_V_channel_full_n)) then
ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel <= ap_const_logic_0;
else
ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel <= image_filter_Block_proc_U0_ap_done;
end if;
end process;
-- ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel assign process. --
ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_img_0_rows_V_channel_full_n)
begin
if ((ap_reg_ready_img_0_rows_V_channel_full_n = ap_const_logic_1)) then
ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel <= ap_const_logic_0;
else
ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel <= image_filter_Block_proc_U0_ap_done;
end if;
end process;
-- ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V assign process. --
ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_img_1_cols_V_full_n)
begin
if ((ap_const_logic_1 = ap_reg_ready_img_1_cols_V_full_n)) then
ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V <= ap_const_logic_0;
else
ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V <= image_filter_Block_proc_U0_ap_done;
end if;
end process;
-- ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V assign process. --
ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_img_1_rows_V_full_n)
begin
if ((ap_const_logic_1 = ap_reg_ready_img_1_rows_V_full_n)) then
ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V <= ap_const_logic_0;
else
ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V <= image_filter_Block_proc_U0_ap_done;
end if;
end process;
ap_done <= ap_sig_hs_done;
-- ap_idle assign process. --
ap_idle_assign_proc : process(image_filter_Block_proc_U0_ap_idle, image_filter_AXIvideo2Mat_U0_ap_idle, image_filter_Loop_1_proc_U0_ap_idle, image_filter_Mat2AXIvideo_U0_ap_idle, img_0_rows_V_channel_empty_n, img_0_cols_V_channel_empty_n, img_1_rows_V_empty_n, img_1_cols_V_empty_n)
begin
if (((image_filter_Block_proc_U0_ap_idle = ap_const_logic_1) and (ap_const_logic_1 = image_filter_AXIvideo2Mat_U0_ap_idle) and (ap_const_logic_1 = image_filter_Loop_1_proc_U0_ap_idle) and (ap_const_logic_1 = image_filter_Mat2AXIvideo_U0_ap_idle) and (ap_const_logic_0 = img_0_rows_V_channel_empty_n) and (ap_const_logic_0 = img_0_cols_V_channel_empty_n) and (ap_const_logic_0 = img_1_rows_V_empty_n) and (ap_const_logic_0 = img_1_cols_V_empty_n))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_ready <= ap_sig_top_allready;
-- ap_rst_n_inv assign process. --
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
ap_sig_hs_continue <= ap_const_logic_1;
-- ap_sig_hs_done assign process. --
ap_sig_hs_done_assign_proc : process(image_filter_Mat2AXIvideo_U0_ap_done)
begin
if ((ap_const_logic_1 = image_filter_Mat2AXIvideo_U0_ap_done)) then
ap_sig_hs_done <= ap_const_logic_1;
else
ap_sig_hs_done <= ap_const_logic_0;
end if;
end process;
-- ap_sig_ready_img_0_cols_V_channel_full_n assign process. --
ap_sig_ready_img_0_cols_V_channel_full_n_assign_proc : process(img_0_cols_V_channel_full_n, ap_reg_ready_img_0_cols_V_channel_full_n)
begin
if ((ap_const_logic_0 = ap_reg_ready_img_0_cols_V_channel_full_n)) then
ap_sig_ready_img_0_cols_V_channel_full_n <= img_0_cols_V_channel_full_n;
else
ap_sig_ready_img_0_cols_V_channel_full_n <= ap_const_logic_1;
end if;
end process;
-- ap_sig_ready_img_0_rows_V_channel_full_n assign process. --
ap_sig_ready_img_0_rows_V_channel_full_n_assign_proc : process(img_0_rows_V_channel_full_n, ap_reg_ready_img_0_rows_V_channel_full_n)
begin
if ((ap_reg_ready_img_0_rows_V_channel_full_n = ap_const_logic_0)) then
ap_sig_ready_img_0_rows_V_channel_full_n <= img_0_rows_V_channel_full_n;
else
ap_sig_ready_img_0_rows_V_channel_full_n <= ap_const_logic_1;
end if;
end process;
-- ap_sig_ready_img_1_cols_V_full_n assign process. --
ap_sig_ready_img_1_cols_V_full_n_assign_proc : process(img_1_cols_V_full_n, ap_reg_ready_img_1_cols_V_full_n)
begin
if ((ap_const_logic_0 = ap_reg_ready_img_1_cols_V_full_n)) then
ap_sig_ready_img_1_cols_V_full_n <= img_1_cols_V_full_n;
else
ap_sig_ready_img_1_cols_V_full_n <= ap_const_logic_1;
end if;
end process;
-- ap_sig_ready_img_1_rows_V_full_n assign process. --
ap_sig_ready_img_1_rows_V_full_n_assign_proc : process(img_1_rows_V_full_n, ap_reg_ready_img_1_rows_V_full_n)
begin
if ((ap_const_logic_0 = ap_reg_ready_img_1_rows_V_full_n)) then
ap_sig_ready_img_1_rows_V_full_n <= img_1_rows_V_full_n;
else
ap_sig_ready_img_1_rows_V_full_n <= ap_const_logic_1;
end if;
end process;
ap_sig_top_allready <= image_filter_AXIvideo2Mat_U0_ap_ready;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDATA <= INPUT_STREAM_TDATA;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDEST <= INPUT_STREAM_TDEST;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TID <= INPUT_STREAM_TID;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TKEEP <= INPUT_STREAM_TKEEP;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TLAST <= INPUT_STREAM_TLAST;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TSTRB <= INPUT_STREAM_TSTRB;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TUSER <= INPUT_STREAM_TUSER;
image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TVALID <= INPUT_STREAM_TVALID;
image_filter_AXIvideo2Mat_U0_ap_continue <= ap_const_logic_1;
image_filter_AXIvideo2Mat_U0_ap_start <= (ap_start and img_0_rows_V_channel_empty_n and img_0_cols_V_channel_empty_n);
image_filter_AXIvideo2Mat_U0_img_cols_V_read <= img_0_cols_V_channel_dout;
image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_full_n <= img_0_data_stream_0_V_full_n;
image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_full_n <= img_0_data_stream_1_V_full_n;
image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_full_n <= img_0_data_stream_2_V_full_n;
image_filter_AXIvideo2Mat_U0_img_rows_V_read <= img_0_rows_V_channel_dout;
-- image_filter_Block_proc_U0_ap_continue assign process. --
image_filter_Block_proc_U0_ap_continue_assign_proc : process(ap_sig_ready_img_0_rows_V_channel_full_n, ap_sig_ready_img_1_rows_V_full_n, ap_sig_ready_img_1_cols_V_full_n, ap_sig_ready_img_0_cols_V_channel_full_n)
begin
if (((ap_sig_ready_img_0_rows_V_channel_full_n = ap_const_logic_1) and (ap_const_logic_1 = ap_sig_ready_img_1_rows_V_full_n) and (ap_const_logic_1 = ap_sig_ready_img_1_cols_V_full_n) and (ap_const_logic_1 = ap_sig_ready_img_0_cols_V_channel_full_n))) then
image_filter_Block_proc_U0_ap_continue <= ap_const_logic_1;
else
image_filter_Block_proc_U0_ap_continue <= ap_const_logic_0;
end if;
end process;
image_filter_Block_proc_U0_ap_start <= ap_start;
image_filter_Block_proc_U0_cols <= cols;
image_filter_Block_proc_U0_rows <= rows;
image_filter_Loop_1_proc_U0_ap_continue <= ap_const_logic_1;
image_filter_Loop_1_proc_U0_ap_start <= ap_start;
image_filter_Loop_1_proc_U0_cols <= cols;
image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_dout <= img_0_data_stream_0_V_dout;
image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_empty_n <= img_0_data_stream_0_V_empty_n;
image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_dout <= img_0_data_stream_1_V_dout;
image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_empty_n <= img_0_data_stream_1_V_empty_n;
image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_dout <= img_0_data_stream_2_V_dout;
image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_empty_n <= img_0_data_stream_2_V_empty_n;
image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_full_n <= img_1_data_stream_0_V_full_n;
image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_full_n <= img_1_data_stream_1_V_full_n;
image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_full_n <= img_1_data_stream_2_V_full_n;
image_filter_Loop_1_proc_U0_rows <= rows;
image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TREADY <= OUTPUT_STREAM_TREADY;
image_filter_Mat2AXIvideo_U0_ap_continue <= ap_sig_hs_continue;
image_filter_Mat2AXIvideo_U0_ap_start <= (img_1_rows_V_empty_n and img_1_cols_V_empty_n);
image_filter_Mat2AXIvideo_U0_img_cols_V_read <= img_1_cols_V_dout;
image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_dout <= img_1_data_stream_0_V_dout;
image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_empty_n <= img_1_data_stream_0_V_empty_n;
image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_dout <= img_1_data_stream_1_V_dout;
image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_empty_n <= img_1_data_stream_1_V_empty_n;
image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_dout <= img_1_data_stream_2_V_dout;
image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_empty_n <= img_1_data_stream_2_V_empty_n;
image_filter_Mat2AXIvideo_U0_img_rows_V_read <= img_1_rows_V_dout;
img_0_cols_V_channel_U_ap_dummy_ce <= ap_const_logic_1;
img_0_cols_V_channel_din <= image_filter_Block_proc_U0_ap_return_1;
img_0_cols_V_channel_read <= image_filter_AXIvideo2Mat_U0_ap_ready;
img_0_cols_V_channel_write <= ap_chn_write_image_filter_Block_proc_U0_img_0_cols_V_channel;
img_0_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1;
img_0_data_stream_0_V_din <= image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_din;
img_0_data_stream_0_V_read <= image_filter_Loop_1_proc_U0_img_0_data_stream_0_V_read;
img_0_data_stream_0_V_write <= image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_write;
img_0_data_stream_1_V_U_ap_dummy_ce <= ap_const_logic_1;
img_0_data_stream_1_V_din <= image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_din;
img_0_data_stream_1_V_read <= image_filter_Loop_1_proc_U0_img_0_data_stream_1_V_read;
img_0_data_stream_1_V_write <= image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_write;
img_0_data_stream_2_V_U_ap_dummy_ce <= ap_const_logic_1;
img_0_data_stream_2_V_din <= image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_din;
img_0_data_stream_2_V_read <= image_filter_Loop_1_proc_U0_img_0_data_stream_2_V_read;
img_0_data_stream_2_V_write <= image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_write;
img_0_rows_V_channel_U_ap_dummy_ce <= ap_const_logic_1;
img_0_rows_V_channel_din <= image_filter_Block_proc_U0_ap_return_0;
img_0_rows_V_channel_read <= image_filter_AXIvideo2Mat_U0_ap_ready;
img_0_rows_V_channel_write <= ap_chn_write_image_filter_Block_proc_U0_img_0_rows_V_channel;
img_1_cols_V_U_ap_dummy_ce <= ap_const_logic_1;
img_1_cols_V_din <= image_filter_Block_proc_U0_ap_return_3;
img_1_cols_V_read <= image_filter_Mat2AXIvideo_U0_ap_ready;
img_1_cols_V_write <= ap_chn_write_image_filter_Block_proc_U0_img_1_cols_V;
img_1_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1;
img_1_data_stream_0_V_din <= image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_din;
img_1_data_stream_0_V_read <= image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_read;
img_1_data_stream_0_V_write <= image_filter_Loop_1_proc_U0_img_1_data_stream_0_V_write;
img_1_data_stream_1_V_U_ap_dummy_ce <= ap_const_logic_1;
img_1_data_stream_1_V_din <= image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_din;
img_1_data_stream_1_V_read <= image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_read;
img_1_data_stream_1_V_write <= image_filter_Loop_1_proc_U0_img_1_data_stream_1_V_write;
img_1_data_stream_2_V_U_ap_dummy_ce <= ap_const_logic_1;
img_1_data_stream_2_V_din <= image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_din;
img_1_data_stream_2_V_read <= image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_read;
img_1_data_stream_2_V_write <= image_filter_Loop_1_proc_U0_img_1_data_stream_2_V_write;
img_1_rows_V_U_ap_dummy_ce <= ap_const_logic_1;
img_1_rows_V_din <= image_filter_Block_proc_U0_ap_return_2;
img_1_rows_V_read <= image_filter_Mat2AXIvideo_U0_ap_ready;
img_1_rows_V_write <= ap_chn_write_image_filter_Block_proc_U0_img_1_rows_V;
end behav;
|
-- ======================================================================
-- CBC-DES encryption/decryption
-- algorithm according to FIPS 46-3 specification
-- Copyright (C) 2007 Torsten Meissner
-------------------------------------------------------------------------
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
-- ======================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.des_pkg.all;
entity cbctdes is
port (
reset_i : in std_logic; -- low active async reset
clk_i : in std_logic; -- clock
start_i : in std_logic; -- start cbc
mode_i : in std_logic; -- des-modus: 0 = encrypt, 1 = decrypt
key1_i : in std_logic_vector(0 TO 63); -- key input
key2_i : in std_logic_vector(0 TO 63); -- key input
key3_i : in std_logic_vector(0 TO 63); -- key input
iv_i : in std_logic_vector(0 to 63); -- iv input
data_i : in std_logic_vector(0 TO 63); -- data input
valid_i : in std_logic; -- input key/data valid flag
ready_o : out std_logic; -- ready to encrypt/decrypt
data_o : out std_logic_vector(0 TO 63); -- data output
valid_o : out std_logic -- output data valid flag
);
end entity cbctdes;
architecture rtl of cbctdes is
component tdes is
port (
reset_i : in std_logic; -- async reset
clk_i : in std_logic; -- clock
mode_i : in std_logic; -- tdes-modus: 0 = encrypt, 1 = decrypt
key1_i : in std_logic_vector(0 TO 63); -- key input
key2_i : in std_logic_vector(0 TO 63); -- key input
key3_i : in std_logic_vector(0 TO 63); -- key input
data_i : in std_logic_vector(0 TO 63); -- data input
valid_i : in std_logic; -- input key/data valid flag
data_o : out std_logic_vector(0 TO 63); -- data output
valid_o : out std_logic; -- output data valid flag
ready_o : out std_logic
);
end component tdes;
signal s_mode : std_logic;
signal s_des_mode : std_logic;
signal s_start : std_logic;
signal s_key1 : std_logic_vector(0 to 63);
signal s_key2 : std_logic_vector(0 to 63);
signal s_key3 : std_logic_vector(0 to 63);
signal s_tdes_key1 : std_logic_vector(0 to 63);
signal s_tdes_key2 : std_logic_vector(0 to 63);
signal s_tdes_key3 : std_logic_vector(0 to 63);
signal s_iv : std_logic_vector(0 to 63);
signal s_datain : std_logic_vector(0 to 63);
signal s_datain_d : std_logic_vector(0 to 63);
signal s_des_datain : std_logic_vector(0 to 63);
signal s_validin : std_logic;
signal s_des_dataout : std_logic_vector(0 to 63);
signal s_dataout : std_logic_vector(0 to 63);
signal s_validout : std_logic;
signal s_ready : std_logic;
signal s_readyout : std_logic;
begin
s_des_datain <= iv_i xor data_i when mode_i = '0' and start_i = '1' else
s_dataout xor data_i when s_mode = '0' and start_i = '0' else
data_i;
data_o <= s_iv xor s_des_dataout when s_mode = '1' and s_start = '1' else
s_datain_d xor s_des_dataout when s_mode = '1' and s_start = '0' else
s_des_dataout;
s_tdes_key1 <= key1_i when start_i = '1' else s_key1;
s_tdes_key2 <= key2_i when start_i = '1' else s_key2;
s_tdes_key3 <= key3_i when start_i = '1' else s_key3;
s_des_mode <= mode_i when start_i = '1' else s_mode;
ready_o <= s_ready;
s_validin <= valid_i and s_ready;
valid_o <= s_validout;
inputregister : process(clk_i, reset_i) is
begin
if(reset_i = '0') then
s_mode <= '0';
s_start <= '0';
s_key1 <= (others => '0');
s_key2 <= (others => '0');
s_key3 <= (others => '0');
s_iv <= (others => '0');
s_datain <= (others => '0');
s_datain_d <= (others => '0');
elsif(rising_edge(clk_i)) then
if(valid_i = '1' and s_ready = '1') then
s_start <= start_i;
s_datain <= data_i;
s_datain_d <= s_datain;
end if;
if(valid_i = '1' and s_ready = '1' and start_i = '1') then
s_mode <= mode_i;
s_key1 <= key1_i;
s_key2 <= key2_i;
s_key3 <= key3_i;
s_iv <= iv_i;
end if;
end if;
end process inputregister;
outputregister : process(clk_i, reset_i) is
begin
if(reset_i = '0') then
s_ready <= '1';
s_dataout <= (others => '0');
elsif(rising_edge(clk_i)) then
if(valid_i = '1' and s_ready = '1' and s_readyout = '1') then
s_ready <= '0';
end if;
if(s_validout = '1') then
s_ready <= '1';
s_dataout <= s_des_dataout;
end if;
end if;
end process outputregister;
i_tdes : tdes
port map (
reset_i => reset_i,
clk_i => clk_i,
mode_i => s_des_mode,
key1_i => s_tdes_key1,
key2_i => s_tdes_key2,
key3_i => s_tdes_key3,
data_i => s_des_datain,
valid_i => s_validin,
data_o => s_des_dataout,
valid_o => s_validout,
ready_o => s_readyout
);
end architecture rtl;
|
-------------------------------------------------------------------------------
-- Title : Entity for simulation model of MAX6682 temperature sensor
-- Project :
-------------------------------------------------------------------------------
-- File : max6682-e.vhd
-- Author : Johann Glaser
-- Company :
-- Created : 2011-04-14
-- Last update: 2011-04-14
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2011
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2011-04-14 1.0 glasejoh Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity MAX6682_Model is
port (
ChipSelect_n_i : in std_logic;
SCLK_i : in std_logic;
SO_o : out std_logic;
Value_i : in std_logic_vector(10 downto 0));
end MAX6682_Model;
architecture behavior of MAX6682_Model is
begin -- behavior
SPIProc: process (ChipSelect_n_i,SCLK_i,Value_i)
variable Value : std_logic_vector(10 downto 0);
begin -- process SPIProc
if ChipSelect_n_i = '1' then
Value := Value_i;
SO_o <= 'Z';
else
--falling_edge(ChipSelect_n_i) then
--wait for 30 ns; -- t_DV <= 35 ns : CS Fall to Output Data Valid
if falling_edge(SCLK_i) then
--wait for 30 ns; -- t_DO <= 35 ns : SCK Fall to Output Data Valid
Value := Value(Value'high-1 downto 0) & 'Z';
end if;
SO_o <= Value(Value'high);
end if;
end process SPIProc;
end behavior;
|
-------------------------------------------------------------------------------
-- Title : Entity for simulation model of MAX6682 temperature sensor
-- Project :
-------------------------------------------------------------------------------
-- File : max6682-e.vhd
-- Author : Johann Glaser
-- Company :
-- Created : 2011-04-14
-- Last update: 2011-04-14
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2011
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2011-04-14 1.0 glasejoh Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity MAX6682_Model is
port (
ChipSelect_n_i : in std_logic;
SCLK_i : in std_logic;
SO_o : out std_logic;
Value_i : in std_logic_vector(10 downto 0));
end MAX6682_Model;
architecture behavior of MAX6682_Model is
begin -- behavior
SPIProc: process (ChipSelect_n_i,SCLK_i,Value_i)
variable Value : std_logic_vector(10 downto 0);
begin -- process SPIProc
if ChipSelect_n_i = '1' then
Value := Value_i;
SO_o <= 'Z';
else
--falling_edge(ChipSelect_n_i) then
--wait for 30 ns; -- t_DV <= 35 ns : CS Fall to Output Data Valid
if falling_edge(SCLK_i) then
--wait for 30 ns; -- t_DO <= 35 ns : SCK Fall to Output Data Valid
Value := Value(Value'high-1 downto 0) & 'Z';
end if;
SO_o <= Value(Value'high);
end if;
end process SPIProc;
end behavior;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
------------------------------------------------------------
-- This file is automatically generated!
-- Here are the parameters:
-- network size x:2
-- network size y:2
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.TB_Package.all;
USE ieee.numeric_std.ALL;
use IEEE.math_real."ceil";
use IEEE.math_real."log2";
entity tb_network_2x2 is
end tb_network_2x2;
architecture behavior of tb_network_2x2 is
-- Declaring network component
component network_2x2 is
generic (DATA_WIDTH: integer := 32; DATA_WIDTH_LV: integer := 11);
port (reset: in std_logic;
clk: in std_logic;
Rxy_reconf: in std_logic_vector(7 downto 0);
Reconfig : in std_logic;
--------------
RX_L_0: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_0, valid_out_L_0: out std_logic;
credit_in_L_0, valid_in_L_0: in std_logic;
TX_L_0: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_1: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_1, valid_out_L_1: out std_logic;
credit_in_L_1, valid_in_L_1: in std_logic;
TX_L_1: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_2: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_2, valid_out_L_2: out std_logic;
credit_in_L_2, valid_in_L_2: in std_logic;
TX_L_2: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_3: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_3, valid_out_L_3: out std_logic;
credit_in_L_3, valid_in_L_3: in std_logic;
TX_L_3: out std_logic_vector (DATA_WIDTH-1 downto 0)
);
end component;
component flit_tracker is
generic (
DATA_WIDTH: integer := 32;
tracker_file: string :="track.txt"
);
port (
clk: in std_logic;
RX: in std_logic_vector (DATA_WIDTH-1 downto 0);
valid_in : in std_logic
);
end component;
-- generating bulk signals...
signal RX_L_0, TX_L_0: std_logic_vector (31 downto 0);
signal credit_counter_out_0: std_logic_vector (1 downto 0);
signal credit_out_L_0, credit_in_L_0, valid_in_L_0, valid_out_L_0: std_logic;
signal RX_L_1, TX_L_1: std_logic_vector (31 downto 0);
signal credit_counter_out_1: std_logic_vector (1 downto 0);
signal credit_out_L_1, credit_in_L_1, valid_in_L_1, valid_out_L_1: std_logic;
signal RX_L_2, TX_L_2: std_logic_vector (31 downto 0);
signal credit_counter_out_2: std_logic_vector (1 downto 0);
signal credit_out_L_2, credit_in_L_2, valid_in_L_2, valid_out_L_2: std_logic;
signal RX_L_3, TX_L_3: std_logic_vector (31 downto 0);
signal credit_counter_out_3: std_logic_vector (1 downto 0);
signal credit_out_L_3, credit_in_L_3, valid_in_L_3, valid_out_L_3: std_logic;
--------------
signal Rxy_reconf: std_logic_vector (7 downto 0) := "01111101";
signal Reconfig: std_logic := '0';
constant clk_period : time := 1 ns;
signal reset, not_reset, clk: std_logic :='0';
begin
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
reset <= '1' after 1 ns;
-- instantiating the network
-- instantiating the flit trackers
F_T_0_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track0_T.txt"
)
port map (
clk => clk, RX => TX_L_0,
valid_in => valid_out_L_0
);
F_T_1_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track1_T.txt"
)
port map (
clk => clk, RX => TX_L_1,
valid_in => valid_out_L_1
);
F_T_2_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track2_T.txt"
)
port map (
clk => clk, RX => TX_L_2,
valid_in => valid_out_L_2
);
F_T_3_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track3_T.txt"
)
port map (
clk => clk, RX => TX_L_3,
valid_in => valid_out_L_3
);
NoC: network_2x2 generic map (DATA_WIDTH => 32, DATA_WIDTH_LV => 11)
port map (reset, clk, Rxy_reconf, Reconfig,
RX_L_0, credit_out_L_0, valid_out_L_0, credit_in_L_0, valid_in_L_0, TX_L_0,
RX_L_1, credit_out_L_1, valid_out_L_1, credit_in_L_1, valid_in_L_1, TX_L_1,
RX_L_2, credit_out_L_2, valid_out_L_2, credit_in_L_2, valid_in_L_2, TX_L_2,
RX_L_3, credit_out_L_3, valid_out_L_3, credit_in_L_3, valid_in_L_3, TX_L_3
);
not_reset <= not reset;
-- connecting the packet generators
credit_counter_control(clk, credit_out_L_0, valid_in_L_0, credit_counter_out_0);
gen_random_packet(2, 100, 0, 33, 8, 8, 2000 ns, clk, credit_counter_out_0, valid_in_L_0, RX_L_0);
credit_counter_control(clk, credit_out_L_1, valid_in_L_1, credit_counter_out_1);
gen_random_packet(2, 100, 1, 15, 8, 8, 2000 ns, clk, credit_counter_out_1, valid_in_L_1, RX_L_1);
credit_counter_control(clk, credit_out_L_2, valid_in_L_2, credit_counter_out_2);
gen_random_packet(2, 100, 2, 6, 8, 8, 2000 ns, clk, credit_counter_out_2, valid_in_L_2, RX_L_2);
credit_counter_control(clk, credit_out_L_3, valid_in_L_3, credit_counter_out_3);
gen_random_packet(2, 100, 3, 30, 8, 8, 2000 ns, clk, credit_counter_out_3, valid_in_L_3, RX_L_3);
-- connecting the packet receivers
get_packet(32, 5, 0, clk, credit_in_L_0, valid_out_L_0, TX_L_0);
get_packet(32, 5, 1, clk, credit_in_L_1, valid_out_L_1, TX_L_1);
get_packet(32, 5, 2, clk, credit_in_L_2, valid_out_L_2, TX_L_2);
get_packet(32, 5, 3, clk, credit_in_L_3, valid_out_L_3, TX_L_3);
end;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
------------------------------------------------------------
-- This file is automatically generated!
-- Here are the parameters:
-- network size x:2
-- network size y:2
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.TB_Package.all;
USE ieee.numeric_std.ALL;
use IEEE.math_real."ceil";
use IEEE.math_real."log2";
entity tb_network_2x2 is
end tb_network_2x2;
architecture behavior of tb_network_2x2 is
-- Declaring network component
component network_2x2 is
generic (DATA_WIDTH: integer := 32; DATA_WIDTH_LV: integer := 11);
port (reset: in std_logic;
clk: in std_logic;
Rxy_reconf: in std_logic_vector(7 downto 0);
Reconfig : in std_logic;
--------------
RX_L_0: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_0, valid_out_L_0: out std_logic;
credit_in_L_0, valid_in_L_0: in std_logic;
TX_L_0: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_1: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_1, valid_out_L_1: out std_logic;
credit_in_L_1, valid_in_L_1: in std_logic;
TX_L_1: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_2: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_2, valid_out_L_2: out std_logic;
credit_in_L_2, valid_in_L_2: in std_logic;
TX_L_2: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_3: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_3, valid_out_L_3: out std_logic;
credit_in_L_3, valid_in_L_3: in std_logic;
TX_L_3: out std_logic_vector (DATA_WIDTH-1 downto 0)
);
end component;
component flit_tracker is
generic (
DATA_WIDTH: integer := 32;
tracker_file: string :="track.txt"
);
port (
clk: in std_logic;
RX: in std_logic_vector (DATA_WIDTH-1 downto 0);
valid_in : in std_logic
);
end component;
-- generating bulk signals...
signal RX_L_0, TX_L_0: std_logic_vector (31 downto 0);
signal credit_counter_out_0: std_logic_vector (1 downto 0);
signal credit_out_L_0, credit_in_L_0, valid_in_L_0, valid_out_L_0: std_logic;
signal RX_L_1, TX_L_1: std_logic_vector (31 downto 0);
signal credit_counter_out_1: std_logic_vector (1 downto 0);
signal credit_out_L_1, credit_in_L_1, valid_in_L_1, valid_out_L_1: std_logic;
signal RX_L_2, TX_L_2: std_logic_vector (31 downto 0);
signal credit_counter_out_2: std_logic_vector (1 downto 0);
signal credit_out_L_2, credit_in_L_2, valid_in_L_2, valid_out_L_2: std_logic;
signal RX_L_3, TX_L_3: std_logic_vector (31 downto 0);
signal credit_counter_out_3: std_logic_vector (1 downto 0);
signal credit_out_L_3, credit_in_L_3, valid_in_L_3, valid_out_L_3: std_logic;
--------------
signal Rxy_reconf: std_logic_vector (7 downto 0) := "01111101";
signal Reconfig: std_logic := '0';
constant clk_period : time := 1 ns;
signal reset, not_reset, clk: std_logic :='0';
begin
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
reset <= '1' after 1 ns;
-- instantiating the network
-- instantiating the flit trackers
F_T_0_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track0_T.txt"
)
port map (
clk => clk, RX => TX_L_0,
valid_in => valid_out_L_0
);
F_T_1_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track1_T.txt"
)
port map (
clk => clk, RX => TX_L_1,
valid_in => valid_out_L_1
);
F_T_2_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track2_T.txt"
)
port map (
clk => clk, RX => TX_L_2,
valid_in => valid_out_L_2
);
F_T_3_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track3_T.txt"
)
port map (
clk => clk, RX => TX_L_3,
valid_in => valid_out_L_3
);
NoC: network_2x2 generic map (DATA_WIDTH => 32, DATA_WIDTH_LV => 11)
port map (reset, clk, Rxy_reconf, Reconfig,
RX_L_0, credit_out_L_0, valid_out_L_0, credit_in_L_0, valid_in_L_0, TX_L_0,
RX_L_1, credit_out_L_1, valid_out_L_1, credit_in_L_1, valid_in_L_1, TX_L_1,
RX_L_2, credit_out_L_2, valid_out_L_2, credit_in_L_2, valid_in_L_2, TX_L_2,
RX_L_3, credit_out_L_3, valid_out_L_3, credit_in_L_3, valid_in_L_3, TX_L_3
);
not_reset <= not reset;
-- connecting the packet generators
credit_counter_control(clk, credit_out_L_0, valid_in_L_0, credit_counter_out_0);
gen_random_packet(2, 100, 0, 33, 8, 8, 2000 ns, clk, credit_counter_out_0, valid_in_L_0, RX_L_0);
credit_counter_control(clk, credit_out_L_1, valid_in_L_1, credit_counter_out_1);
gen_random_packet(2, 100, 1, 15, 8, 8, 2000 ns, clk, credit_counter_out_1, valid_in_L_1, RX_L_1);
credit_counter_control(clk, credit_out_L_2, valid_in_L_2, credit_counter_out_2);
gen_random_packet(2, 100, 2, 6, 8, 8, 2000 ns, clk, credit_counter_out_2, valid_in_L_2, RX_L_2);
credit_counter_control(clk, credit_out_L_3, valid_in_L_3, credit_counter_out_3);
gen_random_packet(2, 100, 3, 30, 8, 8, 2000 ns, clk, credit_counter_out_3, valid_in_L_3, RX_L_3);
-- connecting the packet receivers
get_packet(32, 5, 0, clk, credit_in_L_0, valid_out_L_0, TX_L_0);
get_packet(32, 5, 1, clk, credit_in_L_1, valid_out_L_1, TX_L_1);
get_packet(32, 5, 2, clk, credit_in_L_2, valid_out_L_2, TX_L_2);
get_packet(32, 5, 3, clk, credit_in_L_3, valid_out_L_3, TX_L_3);
end;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
------------------------------------------------------------
-- This file is automatically generated!
-- Here are the parameters:
-- network size x:2
-- network size y:2
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.TB_Package.all;
USE ieee.numeric_std.ALL;
use IEEE.math_real."ceil";
use IEEE.math_real."log2";
entity tb_network_2x2 is
end tb_network_2x2;
architecture behavior of tb_network_2x2 is
-- Declaring network component
component network_2x2 is
generic (DATA_WIDTH: integer := 32; DATA_WIDTH_LV: integer := 11);
port (reset: in std_logic;
clk: in std_logic;
Rxy_reconf: in std_logic_vector(7 downto 0);
Reconfig : in std_logic;
--------------
RX_L_0: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_0, valid_out_L_0: out std_logic;
credit_in_L_0, valid_in_L_0: in std_logic;
TX_L_0: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_1: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_1, valid_out_L_1: out std_logic;
credit_in_L_1, valid_in_L_1: in std_logic;
TX_L_1: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_2: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_2, valid_out_L_2: out std_logic;
credit_in_L_2, valid_in_L_2: in std_logic;
TX_L_2: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_3: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_3, valid_out_L_3: out std_logic;
credit_in_L_3, valid_in_L_3: in std_logic;
TX_L_3: out std_logic_vector (DATA_WIDTH-1 downto 0)
);
end component;
component flit_tracker is
generic (
DATA_WIDTH: integer := 32;
tracker_file: string :="track.txt"
);
port (
clk: in std_logic;
RX: in std_logic_vector (DATA_WIDTH-1 downto 0);
valid_in : in std_logic
);
end component;
-- generating bulk signals...
signal RX_L_0, TX_L_0: std_logic_vector (31 downto 0);
signal credit_counter_out_0: std_logic_vector (1 downto 0);
signal credit_out_L_0, credit_in_L_0, valid_in_L_0, valid_out_L_0: std_logic;
signal RX_L_1, TX_L_1: std_logic_vector (31 downto 0);
signal credit_counter_out_1: std_logic_vector (1 downto 0);
signal credit_out_L_1, credit_in_L_1, valid_in_L_1, valid_out_L_1: std_logic;
signal RX_L_2, TX_L_2: std_logic_vector (31 downto 0);
signal credit_counter_out_2: std_logic_vector (1 downto 0);
signal credit_out_L_2, credit_in_L_2, valid_in_L_2, valid_out_L_2: std_logic;
signal RX_L_3, TX_L_3: std_logic_vector (31 downto 0);
signal credit_counter_out_3: std_logic_vector (1 downto 0);
signal credit_out_L_3, credit_in_L_3, valid_in_L_3, valid_out_L_3: std_logic;
--------------
signal Rxy_reconf: std_logic_vector (7 downto 0) := "01111101";
signal Reconfig: std_logic := '0';
constant clk_period : time := 1 ns;
signal reset, not_reset, clk: std_logic :='0';
begin
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
reset <= '1' after 1 ns;
-- instantiating the network
-- instantiating the flit trackers
F_T_0_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track0_T.txt"
)
port map (
clk => clk, RX => TX_L_0,
valid_in => valid_out_L_0
);
F_T_1_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track1_T.txt"
)
port map (
clk => clk, RX => TX_L_1,
valid_in => valid_out_L_1
);
F_T_2_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track2_T.txt"
)
port map (
clk => clk, RX => TX_L_2,
valid_in => valid_out_L_2
);
F_T_3_T: flit_tracker generic map (
DATA_WIDTH => 32,
tracker_file =>"traces/track3_T.txt"
)
port map (
clk => clk, RX => TX_L_3,
valid_in => valid_out_L_3
);
NoC: network_2x2 generic map (DATA_WIDTH => 32, DATA_WIDTH_LV => 11)
port map (reset, clk, Rxy_reconf, Reconfig,
RX_L_0, credit_out_L_0, valid_out_L_0, credit_in_L_0, valid_in_L_0, TX_L_0,
RX_L_1, credit_out_L_1, valid_out_L_1, credit_in_L_1, valid_in_L_1, TX_L_1,
RX_L_2, credit_out_L_2, valid_out_L_2, credit_in_L_2, valid_in_L_2, TX_L_2,
RX_L_3, credit_out_L_3, valid_out_L_3, credit_in_L_3, valid_in_L_3, TX_L_3
);
not_reset <= not reset;
-- connecting the packet generators
credit_counter_control(clk, credit_out_L_0, valid_in_L_0, credit_counter_out_0);
gen_random_packet(2, 100, 0, 33, 8, 8, 2000 ns, clk, credit_counter_out_0, valid_in_L_0, RX_L_0);
credit_counter_control(clk, credit_out_L_1, valid_in_L_1, credit_counter_out_1);
gen_random_packet(2, 100, 1, 15, 8, 8, 2000 ns, clk, credit_counter_out_1, valid_in_L_1, RX_L_1);
credit_counter_control(clk, credit_out_L_2, valid_in_L_2, credit_counter_out_2);
gen_random_packet(2, 100, 2, 6, 8, 8, 2000 ns, clk, credit_counter_out_2, valid_in_L_2, RX_L_2);
credit_counter_control(clk, credit_out_L_3, valid_in_L_3, credit_counter_out_3);
gen_random_packet(2, 100, 3, 30, 8, 8, 2000 ns, clk, credit_counter_out_3, valid_in_L_3, RX_L_3);
-- connecting the packet receivers
get_packet(32, 5, 0, clk, credit_in_L_0, valid_out_L_0, TX_L_0);
get_packet(32, 5, 1, clk, credit_in_L_1, valid_out_L_1, TX_L_1);
get_packet(32, 5, 2, clk, credit_in_L_2, valid_out_L_2, TX_L_2);
get_packet(32, 5, 3, clk, credit_in_L_3, valid_out_L_3, TX_L_3);
end;
|
-- megafunction wizard: %LPM_COMPARE%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: LPM_COMPARE
-- ============================================================
-- File Name: lpm_compare15.vhd
-- Megafunction Name(s):
-- LPM_COMPARE
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.1.0 Build 162 10/23/2013 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_compare15 IS
PORT
(
dataa : IN STD_LOGIC_VECTOR (8 DOWNTO 0);
ageb : OUT STD_LOGIC
);
END lpm_compare15;
ARCHITECTURE SYN OF lpm_compare15 IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1_bv : BIT_VECTOR (8 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (8 DOWNTO 0);
COMPONENT lpm_compare
GENERIC (
lpm_hint : STRING;
lpm_representation : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
ageb : OUT STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (8 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (8 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire1_bv(8 DOWNTO 0) <= "111100000";
sub_wire1 <= To_stdlogicvector(sub_wire1_bv);
ageb <= sub_wire0;
LPM_COMPARE_component : LPM_COMPARE
GENERIC MAP (
lpm_hint => "ONE_INPUT_IS_CONSTANT=YES",
lpm_representation => "UNSIGNED",
lpm_type => "LPM_COMPARE",
lpm_width => 9
)
PORT MAP (
dataa => dataa,
datab => sub_wire1,
ageb => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AeqB NUMERIC "0"
-- Retrieval info: PRIVATE: AgeB NUMERIC "1"
-- Retrieval info: PRIVATE: AgtB NUMERIC "0"
-- Retrieval info: PRIVATE: AleB NUMERIC "0"
-- Retrieval info: PRIVATE: AltB NUMERIC "0"
-- Retrieval info: PRIVATE: AneB NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
-- Retrieval info: PRIVATE: Latency NUMERIC "0"
-- Retrieval info: PRIVATE: PortBValue NUMERIC "480"
-- Retrieval info: PRIVATE: Radix NUMERIC "10"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SignedCompare NUMERIC "0"
-- Retrieval info: PRIVATE: aclr NUMERIC "0"
-- Retrieval info: PRIVATE: clken NUMERIC "0"
-- Retrieval info: PRIVATE: isPortBConstant NUMERIC "1"
-- Retrieval info: PRIVATE: nBit NUMERIC "9"
-- Retrieval info: PRIVATE: new_diagram STRING "1"
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=YES"
-- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COMPARE"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "9"
-- Retrieval info: USED_PORT: ageb 0 0 0 0 OUTPUT NODEFVAL "ageb"
-- Retrieval info: USED_PORT: dataa 0 0 9 0 INPUT NODEFVAL "dataa[8..0]"
-- Retrieval info: CONNECT: @dataa 0 0 9 0 dataa 0 0 9 0
-- Retrieval info: CONNECT: @datab 0 0 9 0 480 0 0 9 0
-- Retrieval info: CONNECT: ageb 0 0 0 0 @ageb 0 0 0 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare15.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare15.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare15.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare15.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare15_inst.vhd FALSE
-- Retrieval info: LIB_FILE: lpm
|
--
-- LinearTableMul.vhd
--
-- Copyright (c) 2006 Mitsutaka Okazaki ([email protected])
-- All rights reserved.
--
-- Redistribution and use of this source code or any derivative works, are
-- permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
-- 3. Redistributions may not be sold, nor may they be used in a commercial
-- product or activity without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
-- CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
--
-- modified by t.hara
--
-- ----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;
entity LinearTableMul is
port (
i0 : in std_logic_vector( 5 downto 0 ); -- ³µ 6bit (¬ 6bit)
i1 : in std_logic_vector( 9 downto 0 ); -- t«10bit (® 10bit)
o : out std_logic_vector( 9 downto 0 ) -- t«10bit (® 10bit)
);
end entity;
architecture rtl of LinearTableMul is
signal w_mul : std_logic_vector( 16 downto 0 ); -- t«17bit (®16bit)
begin
w_mul <= ('0' & i0) * i1;
o <= w_mul( 15 downto 6 ); -- MSBJbg, ¬ºÊ 6bitJbg
end architecture;
|
entity file1 is
end entity;
architecture test of file1 is
type char_file is file of character;
file f1 : char_file;
type string_file is file of string;
file f2 : string_file;
file f3 : string_file open WRITE_MODE is "test2.txt";
begin
process is
variable c : character;
variable s : string(1 to 3);
variable len : natural;
variable status : file_open_status;
begin
file_open(f1, "test.txt", WRITE_MODE);
write(f1, 'x');
write(f1, 'y');
write(f1, LF);
file_close(f1);
file_open(f1, "test.txt");
read(f1, c);
assert c = 'x';
read(f1, c);
assert c = 'y';
read(f1, c);
assert c = LF;
assert endfile(f1);
file_close(f1);
file_open(f2, "test.txt", READ_MODE);
read(f2, s, len);
assert s = "xy" & LF;
assert len = 3;
file_close(f2);
write(f3, "hello");
file_close(f3);
file_open(status, f3, "test2.txt", READ_MODE);
assert status = OPEN_OK;
read(f3, s, len);
assert len = 3;
assert s = "hel";
file_close(f3);
file_open(status, f3, "not_here", READ_MODE);
assert status = NAME_ERROR;
wait;
end process;
end architecture;
|
entity file1 is
end entity;
architecture test of file1 is
type char_file is file of character;
file f1 : char_file;
type string_file is file of string;
file f2 : string_file;
file f3 : string_file open WRITE_MODE is "test2.txt";
begin
process is
variable c : character;
variable s : string(1 to 3);
variable len : natural;
variable status : file_open_status;
begin
file_open(f1, "test.txt", WRITE_MODE);
write(f1, 'x');
write(f1, 'y');
write(f1, LF);
file_close(f1);
file_open(f1, "test.txt");
read(f1, c);
assert c = 'x';
read(f1, c);
assert c = 'y';
read(f1, c);
assert c = LF;
assert endfile(f1);
file_close(f1);
file_open(f2, "test.txt", READ_MODE);
read(f2, s, len);
assert s = "xy" & LF;
assert len = 3;
file_close(f2);
write(f3, "hello");
file_close(f3);
file_open(status, f3, "test2.txt", READ_MODE);
assert status = OPEN_OK;
read(f3, s, len);
assert len = 3;
assert s = "hel";
file_close(f3);
file_open(status, f3, "not_here", READ_MODE);
assert status = NAME_ERROR;
wait;
end process;
end architecture;
|
entity file1 is
end entity;
architecture test of file1 is
type char_file is file of character;
file f1 : char_file;
type string_file is file of string;
file f2 : string_file;
file f3 : string_file open WRITE_MODE is "test2.txt";
begin
process is
variable c : character;
variable s : string(1 to 3);
variable len : natural;
variable status : file_open_status;
begin
file_open(f1, "test.txt", WRITE_MODE);
write(f1, 'x');
write(f1, 'y');
write(f1, LF);
file_close(f1);
file_open(f1, "test.txt");
read(f1, c);
assert c = 'x';
read(f1, c);
assert c = 'y';
read(f1, c);
assert c = LF;
assert endfile(f1);
file_close(f1);
file_open(f2, "test.txt", READ_MODE);
read(f2, s, len);
assert s = "xy" & LF;
assert len = 3;
file_close(f2);
write(f3, "hello");
file_close(f3);
file_open(status, f3, "test2.txt", READ_MODE);
assert status = OPEN_OK;
read(f3, s, len);
assert len = 3;
assert s = "hel";
file_close(f3);
file_open(status, f3, "not_here", READ_MODE);
assert status = NAME_ERROR;
wait;
end process;
end architecture;
|
entity file1 is
end entity;
architecture test of file1 is
type char_file is file of character;
file f1 : char_file;
type string_file is file of string;
file f2 : string_file;
file f3 : string_file open WRITE_MODE is "test2.txt";
begin
process is
variable c : character;
variable s : string(1 to 3);
variable len : natural;
variable status : file_open_status;
begin
file_open(f1, "test.txt", WRITE_MODE);
write(f1, 'x');
write(f1, 'y');
write(f1, LF);
file_close(f1);
file_open(f1, "test.txt");
read(f1, c);
assert c = 'x';
read(f1, c);
assert c = 'y';
read(f1, c);
assert c = LF;
assert endfile(f1);
file_close(f1);
file_open(f2, "test.txt", READ_MODE);
read(f2, s, len);
assert s = "xy" & LF;
assert len = 3;
file_close(f2);
write(f3, "hello");
file_close(f3);
file_open(status, f3, "test2.txt", READ_MODE);
assert status = OPEN_OK;
read(f3, s, len);
assert len = 3;
assert s = "hel";
file_close(f3);
file_open(status, f3, "not_here", READ_MODE);
assert status = NAME_ERROR;
wait;
end process;
end architecture;
|
entity file1 is
end entity;
architecture test of file1 is
type char_file is file of character;
file f1 : char_file;
type string_file is file of string;
file f2 : string_file;
file f3 : string_file open WRITE_MODE is "test2.txt";
begin
process is
variable c : character;
variable s : string(1 to 3);
variable len : natural;
variable status : file_open_status;
begin
file_open(f1, "test.txt", WRITE_MODE);
write(f1, 'x');
write(f1, 'y');
write(f1, LF);
file_close(f1);
file_open(f1, "test.txt");
read(f1, c);
assert c = 'x';
read(f1, c);
assert c = 'y';
read(f1, c);
assert c = LF;
assert endfile(f1);
file_close(f1);
file_open(f2, "test.txt", READ_MODE);
read(f2, s, len);
assert s = "xy" & LF;
assert len = 3;
file_close(f2);
write(f3, "hello");
file_close(f3);
file_open(status, f3, "test2.txt", READ_MODE);
assert status = OPEN_OK;
read(f3, s, len);
assert len = 3;
assert s = "hel";
file_close(f3);
file_open(status, f3, "not_here", READ_MODE);
assert status = NAME_ERROR;
wait;
end process;
end architecture;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1660.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s01b00x00p02n01i01660ent IS
END c09s01b00x00p02n01i01660ent;
ARCHITECTURE c09s01b00x00p02n01i01660arch OF c09s01b00x00p02n01i01660ent IS
BEGIN
B:block
signal D: BIT;
begin
D <= '1';
end; -- Failure_here
-- The reserved word block expected.
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s01b00x00p02n01i01660 - The reserved word block expected."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s01b00x00p02n01i01660arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1660.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s01b00x00p02n01i01660ent IS
END c09s01b00x00p02n01i01660ent;
ARCHITECTURE c09s01b00x00p02n01i01660arch OF c09s01b00x00p02n01i01660ent IS
BEGIN
B:block
signal D: BIT;
begin
D <= '1';
end; -- Failure_here
-- The reserved word block expected.
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s01b00x00p02n01i01660 - The reserved word block expected."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s01b00x00p02n01i01660arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1660.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s01b00x00p02n01i01660ent IS
END c09s01b00x00p02n01i01660ent;
ARCHITECTURE c09s01b00x00p02n01i01660arch OF c09s01b00x00p02n01i01660ent IS
BEGIN
B:block
signal D: BIT;
begin
D <= '1';
end; -- Failure_here
-- The reserved word block expected.
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s01b00x00p02n01i01660 - The reserved word block expected."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s01b00x00p02n01i01660arch;
|
library ieee;
use ieee.std_logic_1164.all;
-- for LHI instr. which loads the 16 bit immediate values imm16 into the most significant
-- half of an integer register and clears the least significant half (i.e. imm16 ## 0^16)
entity concat16 is
port(
-- inputs
string16 : in std_logic_vector(15 downto 0);
-- outputs
string32 : out std_logic_vector(31 downto 0) -- this goes to lhi_mux21
);
end concat16;
architecture rtl of concat16 is
begin
string32 <= string16 & X"0000";
end rtl;
|
-- NEED RESULT: ARCH00422: Dynamic elaboration passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00422
--
-- AUTHOR:
--
-- D. Hyman
--
-- TEST OBJECTIVES:
--
-- 12.5 (1)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00422)
-- ENT00422_Test_Bench(ARCH00422_Test_Bench)
--
-- REVISION HISTORY:
--
-- 31-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00422 of E00000 is
function f ( x,y : integer ) return integer is
constant cx : integer := x+2 ;
constant cy : integer := 2*y ;
begin
return cx + cy ;
end f ;
begin
P :
process
begin
test_report ( "ARCH00422" ,
"Dynamic elaboration" ,
f(10, 4) = (10+2) + (2*4)
) ;
wait ;
end process P ;
end ARCH00422 ;
entity ENT00422_Test_Bench is
end ENT00422_Test_Bench ;
architecture ARCH00422_Test_Bench of ENT00422_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00422 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00422_Test_Bench ;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc557.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:29 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:26 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:02 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00557ent IS
END c03s04b01x00p01n01i00557ent;
ARCHITECTURE c03s04b01x00p01n01i00557arch OF c03s04b01x00p01n01i00557ent IS
type bit_file is file of bit;
BEGIN
TESTING: PROCESS
file filein : bit_file open write_mode is "iofile.08";
BEGIN
for i in 1 to 100 loop
write(filein,'1');
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p01n01i00557 - The output file will be verified by test s010204.vhd."
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00557arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc557.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:29 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:26 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:02 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00557ent IS
END c03s04b01x00p01n01i00557ent;
ARCHITECTURE c03s04b01x00p01n01i00557arch OF c03s04b01x00p01n01i00557ent IS
type bit_file is file of bit;
BEGIN
TESTING: PROCESS
file filein : bit_file open write_mode is "iofile.08";
BEGIN
for i in 1 to 100 loop
write(filein,'1');
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p01n01i00557 - The output file will be verified by test s010204.vhd."
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00557arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc557.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:29 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:26 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:02 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00557ent IS
END c03s04b01x00p01n01i00557ent;
ARCHITECTURE c03s04b01x00p01n01i00557arch OF c03s04b01x00p01n01i00557ent IS
type bit_file is file of bit;
BEGIN
TESTING: PROCESS
file filein : bit_file open write_mode is "iofile.08";
BEGIN
for i in 1 to 100 loop
write(filein,'1');
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p01n01i00557 - The output file will be verified by test s010204.vhd."
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00557arch;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity gen_multiplexer is
generic(
PORT_SIZE: natural := 1;
PORT_QUANT: natural := 2); --Port quantity, la cantidad de puertos de entrada
port (
data_in: in
data_out: out std_logic_vector(PORT_SIZE-1 downto 0);
);
end;
architecture contBCD_arq of contBCD is
begin
--El comportamiento se puede hacer de forma logica o por diagrama karnaugh.
process(clk,rst)
variable count: integer range 0 to 10;
begin
if rst = '1' then
s <= (others => '0');
co <= '0';
elsif rising_edge(clk) then
if ena = '1' then
count:=count + 1;
if count = 9 then
co <= '1';
elsif count = 10 then
count := 0;
co <= '0';
else
co <= '0';
end if;
end if;
end if;
s <= std_logic_vector(TO_UNSIGNED(count,4));
end process;
end; |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity memmux04 is
port (ad : std_logic_vector (1 downto 0);
val : std_logic;
dat : std_logic_vector (3 downto 0);
res : out std_logic_vector (3 downto 0));
end memmux04;
architecture behav of memmux04 is
begin
process (ad, val)
variable hi, lo : natural;
variable t : std_logic_vector(3 downto 0);
begin
lo := to_integer(unsigned(ad));
t := dat;
t (lo) := val;
res <= t;
end process;
end behav;
|
library IEEE;
use ieee.std_logic_1164.all;
entity registers is
port(
readRegister1, readRegister2, writeRegister : in std_logic_vector(4 downto 0);
writeData : in std_logic_vector(31 downto 0);
clk, rst, pre, regWrite : in std_logic;
readData1, readData2 : out std_logic_vector(31 downto 0)
);
end registers;
architecture behav of registers is
signal in0, in1, in2, in3, in4, in5, in6, in7, in8, in9, in10, in11, in12, in13, in14, in15, in16, in17, in18, in19, in20, in21, in22, in23, in24, in25, in26, in27, in28, in29, in30, in31 : std_logic_vector(31 downto 0);
signal out0, out1, out2, out3, out4, out5, out6, out7, out8, out9, out10, out11, out12, out13, out14, out15, out16, out17, out18, out19, out20, out21, out22, out23, out24, out25, out26, out27, out28, out29, out30, out31 : std_logic_vector(31 downto 0);
signal ce0, ce1, ce2, ce3, ce4, ce5, ce6, ce7, ce8, ce9, ce10, ce11, ce12, ce13, ce14, ce15, ce16, ce17, ce18, ce19, ce20, ce21, ce22, ce23, ce24, ce25, ce26, ce27, ce28, ce29, ce30, ce31 : std_logic;
begin
DEMUX : entity work.one_to_thirty_two_demux(behav) port map(writeData, writeRegister, out0, out1, out2, out3, out4, out5, out6, out7, out8, out9, out10, out11, out12, out13, out14, out15, out16, out17, out18, out19, out20, out21, out22, out23, out24, out25, out26, out27, out28, out29, out30, out31, ce0, ce1, ce2, ce3, ce4, ce5, ce6, ce7, ce8, ce9, ce10, ce11, ce12, ce13, ce14, ce15, ce16, ce17, ce18, ce19, ce20, ce21, ce22, ce23, ce24, ce25, ce26, ce27, ce28, ce29, ce30, ce31);
MUXA : entity work.thirty_two_to_one_mux(behav) port map(in0, in1, in2, in3, in4, in5, in6, in7, in8, in9, in10, in11, in12, in13, in14, in15, in16, in17, in18, in19, in20, in21, in22, in23, in24, in25, in26, in27, in28, in29, in30, in31, readRegister1, readData1);
MUXB : entity work.thirty_two_to_one_mux(behav) port map(in0, in1, in2, in3, in4, in5, in6, in7, in8, in9, in10, in11, in12, in13, in14, in15, in16, in17, in18, in19, in20, in21, in22, in23, in24, in25, in26, in27, in28, in29, in30, in31, readRegister2, readData2);
REG0 : entity work.thirty_two_bit_register(behav) port map(out0, clk, rst, pre, ce0, in0);
REG1 : entity work.thirty_two_bit_register(behav) port map(out1, clk, rst, pre, ce1, in1);
REG2 : entity work.thirty_two_bit_register(behav) port map(out2, clk, rst, pre, ce2, in2);
REG3 : entity work.thirty_two_bit_register(behav) port map(out3, clk, rst, pre, ce3, in3);
REG4 : entity work.thirty_two_bit_register(behav) port map(out4, clk, rst, pre, ce4, in4);
REG5 : entity work.thirty_two_bit_register(behav) port map(out5, clk, rst, pre, ce5, in5);
REG6 : entity work.thirty_two_bit_register(behav) port map(out6, clk, rst, pre, ce6, in6);
REG7 : entity work.thirty_two_bit_register(behav) port map(out7, clk, rst, pre, ce7, in7);
REG8 : entity work.thirty_two_bit_register(behav) port map(out8, clk, rst, pre, ce8, in8);
REG9 : entity work.thirty_two_bit_register(behav) port map(out9, clk, rst, pre, ce9, in9);
REG10 : entity work.thirty_two_bit_register(behav) port map(out10, clk, rst, pre, ce10, in10);
REG11 : entity work.thirty_two_bit_register(behav) port map(out11, clk, rst, pre, ce11, in11);
REG12 : entity work.thirty_two_bit_register(behav) port map(out12, clk, rst, pre, ce12, in12);
REG13 : entity work.thirty_two_bit_register(behav) port map(out13, clk, rst, pre, ce13, in13);
REG14 : entity work.thirty_two_bit_register(behav) port map(out14, clk, rst, pre, ce14, in14);
REG15 : entity work.thirty_two_bit_register(behav) port map(out15, clk, rst, pre, ce15, in15);
REG16 : entity work.thirty_two_bit_register(behav) port map(out16, clk, rst, pre, ce16, in16);
REG17 : entity work.thirty_two_bit_register(behav) port map(out17, clk, rst, pre, ce17, in17);
REG18 : entity work.thirty_two_bit_register(behav) port map(out18, clk, rst, pre, ce18, in18);
REG19 : entity work.thirty_two_bit_register(behav) port map(out19, clk, rst, pre, ce19, in19);
REG20 : entity work.thirty_two_bit_register(behav) port map(out20, clk, rst, pre, ce20, in20);
REG21 : entity work.thirty_two_bit_register(behav) port map(out21, clk, rst, pre, ce21, in21);
REG22 : entity work.thirty_two_bit_register(behav) port map(out22, clk, rst, pre, ce22, in22);
REG23 : entity work.thirty_two_bit_register(behav) port map(out23, clk, rst, pre, ce23, in23);
REG24 : entity work.thirty_two_bit_register(behav) port map(out24, clk, rst, pre, ce24, in24);
REG25 : entity work.thirty_two_bit_register(behav) port map(out25, clk, rst, pre, ce25, in25);
REG26 : entity work.thirty_two_bit_register(behav) port map(out26, clk, rst, pre, ce26, in26);
REG27 : entity work.thirty_two_bit_register(behav) port map(out27, clk, rst, pre, ce27, in27);
REG28 : entity work.thirty_two_bit_register(behav) port map(out28, clk, rst, pre, ce28, in28);
REG29 : entity work.thirty_two_bit_register(behav) port map(out29, clk, rst, pre, ce29, in29);
REG30 : entity work.thirty_two_bit_register(behav) port map(out30, clk, rst, pre, ce30, in30);
REG31 : entity work.thirty_two_bit_register(behav) port map(out31, clk, rst, pre, ce31, in31);
end behav; |
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: leon3cg
-- File: leon3cg.vhd
-- Author: Jan Andersson, Aeroflex Gaisler
-- Description: Top-level LEON3 component with clock gating
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.leon3.all;
entity leon3cg is
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 31 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2; -- power-down
svt : integer range 0 to 1 := 1; -- single vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0; -- support SMP systems
cached : integer := 0; -- cacheability table
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0;
rex : integer range 0 to 1 := 0;
altwin : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic; -- AHB clock (free-running)
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
gclk : in std_ulogic -- gated clock
);
end;
architecture rtl of leon3cg is
signal gnd, vcc : std_logic;
signal fpuo : grfpu_out_type;
begin
gnd <= '0'; vcc <= '1';
fpuo <= grfpu_out_none;
leon3x0 : leon3x
generic map (
hindex => hindex,
fabtech => fabtech,
memtech => memtech,
nwindows => nwindows,
dsu => dsu,
fpu => fpu,
v8 => v8,
cp => cp,
mac => mac,
pclow => pclow,
notag => notag,
nwp => nwp,
icen => icen,
irepl => irepl,
isets => isets,
ilinesize => ilinesize,
isetsize => isetsize,
isetlock => isetlock,
dcen => dcen,
drepl => drepl,
dsets => dsets,
dlinesize => dlinesize,
dsetsize => dsetsize,
dsetlock => dsetlock,
dsnoop => dsnoop,
ilram => ilram,
ilramsize => ilramsize,
ilramstart => ilramstart,
dlram => dlram,
dlramsize => dlramsize,
dlramstart => dlramstart,
mmuen => mmuen,
itlbnum => itlbnum,
dtlbnum => dtlbnum,
tlb_type => tlb_type,
tlb_rep => tlb_rep,
lddel => lddel,
disas => disas,
tbuf => tbuf,
pwd => pwd,
svt => svt,
rstaddr => rstaddr,
smp => smp,
iuft => 0,
fpft => 0,
cmft => 0,
iuinj => 0,
ceinj => 0,
cached => cached,
clk2x => 0,
netlist => 0,
scantest => scantest,
mmupgsz => mmupgsz,
bp => bp,
npasi => npasi,
pwrpsr => pwrpsr,
rex => rex,
altwin => altwin)
port map (
clk => gnd,
gclk2 => gclk,
gfclk2 => clk,
clk2 => clk,
rstn => rstn,
ahbi => ahbi,
ahbo => ahbo,
ahbsi => ahbsi,
ahbso => ahbso,
irqi => irqi,
irqo => irqo,
dbgi => dbgi,
dbgo => dbgo,
fpui => open,
fpuo => fpuo,
clken => vcc
);
end;
|
--------------------------------------------------------------------------------
-- file name : glb_stellar_cmd.vhd
--
-- author : e. barhorst
--
-- company : 4dsp
--
-- item : number
--
-- units : entity
-- arch_itecture
--
-- language : vhdl
--
--------------------------------------------------------------------------------
-- description
-- ===========
--
--
-- notes:
--------------------------------------------------------------------------------
--
-- disclaimer: limited warranty and disclaimer. these designs are
-- provided to you as is. 4dsp specifically disclaims any
-- implied warranties of merchantability, non-infringement, or
-- fitness for a particular purpose. 4dsp does not warrant that
-- the functions contained in these designs will meet your
-- requirements, or that the operation of these designs will be
-- uninterrupted or error free, or that defects in the designs
-- will be corrected. furthermore, 4dsp does not warrant or
-- make any representations regarding use or the results of the
-- use of the designs in terms of correctness, accuracy,
-- reliability, or otherwise.
--
-- limitation of liability. in no event will 4dsp or its
-- licensors be liable for any loss of data, lost profits, cost
-- or procurement of substitute goods or services, or for any
-- special, incidental, consequential, or indirect damages
-- arising from the use or operation of the designs or
-- accompanying documentation, however caused and on any theory
-- of liability. this limitation will apply even if 4dsp
-- has been advised of the possibility of such damage. this
-- limitation shall apply not-withstanding the failure of the
-- essential purpose of any limited remedies herein.
--
-- from
-- ver pcb mod date changes
-- === ======= ======== =======
--
-- 0.0 0 19-01-2009 new version
-- 31-08-2009 added the mailbox input port
----------------------------------------------
--
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Specify libraries
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
--------------------------------------------------------------------------------
-- Entity declaration
--------------------------------------------------------------------------------
entity stellar_cmd is
generic (
START_ADDR : std_logic_vector(27 downto 0) := x"0000000";
STOP_ADDR : std_logic_vector(27 downto 0) := x"0000010"
);
port (
reset : in std_logic;
-- Command interface
clk_cmd : in std_logic; --cmd_in and cmd_out are synchronous to this clock;
out_cmd : out std_logic_vector(63 downto 0);
out_cmd_val : out std_logic;
in_cmd : in std_logic_vector(63 downto 0);
in_cmd_val : in std_logic;
cmd_always_ack : in std_logic;
-- Register interface
clk_reg : in std_logic; --register interface is synchronous to this clock
out_reg : out std_logic_vector(31 downto 0);--caries the out register data
out_reg_val : out std_logic; --the out_reg has valid data (pulse)
out_reg_val_ack : out std_logic; --the out_reg has valid data and expects and acknowledge back (pulse)
out_reg_addr : out std_logic_vector(27 downto 0);--out register address
in_reg : in std_logic_vector(31 downto 0);--requested register data is placed on this bus
in_reg_val : in std_logic; --pulse to indicate requested register is valid
in_reg_req : out std_logic; --pulse to request data
in_reg_addr : out std_logic_vector(27 downto 0);--requested address
--write acknowledge interface
wr_ack : in std_logic := '0'; --pulse to indicate write is done
-- Mailbox interface
mbx_in_reg : in std_logic_vector(31 downto 0);--value of the mailbox to send
mbx_in_val : in std_logic --pulse to indicate mailbox is valid
);
end entity stellar_cmd;
--------------------------------------------------------------------------------
-- Architecture declaration
--------------------------------------------------------------------------------
architecture arch_stellar_cmd of stellar_cmd is
-----------------------------------------------------------------------------------
-- Constant declarations
-----------------------------------------------------------------------------------
constant CMD_WR : std_logic_vector(3 downto 0) := x"1";
constant CMD_RD : std_logic_vector(3 downto 0) := x"2";
constant CMD_RD_ACK : std_logic_vector(3 downto 0) := x"4";
constant CMD_WR_ACK : std_logic_vector(3 downto 0) := x"5";
constant CMD_WR_EXPECTS_ACK : std_logic_vector(3 downto 0) := x"6";
-----------------------------------------------------------------------------------
-- Dignal declarations
-----------------------------------------------------------------------------------
signal register_wr : std_logic;
signal register_wr_ack : std_logic;
signal register_rd : std_logic;
signal out_cmd_val_sig : std_logic;
signal in_reg_addr_sig : std_logic_vector(27 downto 0);
signal out_reg_addr_sig : std_logic_vector(27 downto 0);
signal mbx_in_val_sig : std_logic;
signal mbx_received : std_logic;
signal wr_ack_sig : std_logic;
-----------------------------------------------------------------------------------
-- Component declarations
-----------------------------------------------------------------------------------
component pulse2pulse
port (
in_clk : in std_logic;
out_clk : in std_logic;
rst : in std_logic;
pulsein : in std_logic;
inbusy : out std_logic;
pulseout : out std_logic
);
end component;
-----------------------------------------------------------------------------------
-- Begin
-----------------------------------------------------------------------------------
begin
-----------------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------------
p2p0: pulse2pulse
port map (
in_clk => clk_cmd,
out_clk => clk_reg,
rst => reset,
pulsein => register_wr,
inbusy => open,
pulseout => out_reg_val
);
p2p1: pulse2pulse
port map (
in_clk => clk_cmd,
out_clk => clk_reg,
rst => reset,
pulsein => register_rd,
inbusy => open,
pulseout => in_reg_req
);
p2p2: pulse2pulse
port map (
in_clk => clk_reg,
out_clk => clk_cmd,
rst => reset,
pulsein => in_reg_val,
inbusy => open,
pulseout => out_cmd_val_sig
);
p2p3: pulse2pulse
port map (
in_clk => clk_reg,
out_clk => clk_cmd ,
rst => reset,
pulsein => mbx_in_val,
inbusy => open,
pulseout => mbx_in_val_sig
);
p2p4: pulse2pulse
port map (
in_clk => clk_reg,
out_clk => clk_cmd ,
rst => reset,
pulsein => wr_ack,
inbusy => open,
pulseout => wr_ack_sig
);
p2p5: pulse2pulse
port map (
in_clk => clk_cmd,
out_clk => clk_reg,
rst => reset,
pulsein => register_wr_ack,
inbusy => open,
pulseout => out_reg_val_ack
);
-----------------------------------------------------------------------------------
-- Synchronous processes
-----------------------------------------------------------------------------------
in_reg_proc: process (reset, clk_cmd)
begin
if (reset = '1') then
in_reg_addr_sig <= (others => '0');
register_rd <= '0';
mbx_received <= '0';
out_cmd <= (others => '0');
out_cmd_val <= '0';
elsif (clk_cmd'event and clk_cmd = '1') then
--register the requested address when the address is in the modules range
if (in_cmd_val = '1' and in_cmd(63 downto 60) = CMD_RD and in_cmd(59 downto 32) >= start_addr and in_cmd(59 downto 32) <= stop_addr) then
in_reg_addr_sig <= in_cmd(59 downto 32)-start_addr;
end if;
--generate the read req pulse when the address is in the modules range
if ((in_cmd_val = '1' and in_cmd(63 downto 60) = CMD_RD and in_cmd(59 downto 32) >= start_addr and in_cmd(59 downto 32) <= stop_addr) or cmd_always_ack = '1') then
register_rd <= '1';
else
register_rd <= '0';
end if;
--mailbox has less priority then command acknowledge
--create the output packet
if (out_cmd_val_sig = '1' and mbx_in_val_sig = '1') then
mbx_received <= '1';
elsif( mbx_received = '1' and out_cmd_val_sig = '0') then
mbx_received <= '0';
end if;
if (out_cmd_val_sig = '1') then
out_cmd(31 downto 0) <= in_reg;
out_cmd(59 downto 32) <= in_reg_addr_sig+start_addr;
out_cmd(63 downto 60) <= CMD_RD_ACK;
elsif (mbx_in_val_sig = '1' or mbx_received = '1') then
out_cmd(31 downto 0) <= mbx_in_reg;
out_cmd(59 downto 32) <= start_addr;
out_cmd(63 downto 60) <= (others=>'0');
elsif (wr_ack_sig = '1' ) then
out_cmd(31 downto 0) <= mbx_in_reg;
out_cmd(59 downto 32) <= out_reg_addr_sig+start_addr;
out_cmd(63 downto 60) <= CMD_WR_ACK;
else
out_cmd(63 downto 0) <= (others=>'0');
end if;
if (out_cmd_val_sig = '1') then
out_cmd_val <= '1';
elsif (mbx_in_val_sig = '1' or mbx_received = '1') then
out_cmd_val <= '1';
elsif (wr_ack_sig = '1') then
out_cmd_val <= '1';
else
out_cmd_val <= '0';
end if;
end if;
end process;
out_reg_proc: process(reset, clk_cmd)
begin
if (reset = '1') then
out_reg_addr_sig <= (others => '0');
out_reg <= (others => '0');
register_wr <= '0';
register_wr_ack <= '0';
elsif(clk_cmd'event and clk_cmd = '1') then
--register the requested address when the address is in the modules range
if (in_cmd_val = '1' and (in_cmd(63 downto 60) = CMD_WR or in_cmd(63 downto 60) = CMD_WR_EXPECTS_ACK)
and in_cmd(59 downto 32) >= start_addr and in_cmd(59 downto 32) <= stop_addr) then
out_reg_addr_sig <= in_cmd(59 downto 32) - start_addr;
out_reg <= in_cmd(31 downto 0);
end if;
--generate the write req pulse when the address is in the modules range
if (in_cmd_val = '1' and (in_cmd(63 downto 60) = CMD_WR or in_cmd(63 downto 60) = CMD_WR_EXPECTS_ACK)
and in_cmd(59 downto 32) >= start_addr and in_cmd(59 downto 32) <= stop_addr) then
register_wr <= '1';
else
register_wr <= '0';
end if;
--generate the write requests ack pulse when the address is in the modules range and command is write that expects an ack
if (in_cmd_val = '1' and in_cmd(63 downto 60) = CMD_WR_EXPECTS_ACK and in_cmd(59 downto 32) >= start_addr and in_cmd(59 downto 32) <= stop_addr) then
register_wr_ack <= '1';
else
register_wr_ack <= '0';
end if;
end if;
end process;
-----------------------------------------------------------------------------------
-- Asynchronous mapping
-----------------------------------------------------------------------------------
in_reg_addr <= in_reg_addr_sig;
out_reg_addr <= out_reg_addr_sig;
-----------------------------------------------------------------------------------
-- End
-----------------------------------------------------------------------------------
end architecture arch_stellar_cmd;
|
--------------------------------------------------------------------------------
-- Generated from template tb_template.vhdl by hexconv.pl
--------------------------------------------------------------------------------
-- Light8080 simulation test bench.
--------------------------------------------------------------------------------
-- Source for the 8080 program is in asm\tb0.asm
--------------------------------------------------------------------------------
--
-- This test bench provides a simulated CPU system to test programs. This test
-- bench does not do any assertions or checks, all assertions are left to the
-- software.
--
-- The simulated environment has 2KB of RAM, mirror-mapped to all the memory
-- map of the 8080, initialized with the test program object code. See the perl
-- script 'util\hexconv.pl' and BAT files in the asm directory.
--
-- Besides, it provides some means to trigger hardware irq from software,
-- including the specification of the instructions fed to the CPU as interrupt
-- vectors during inta cycles.
--
-- We will simulate 8 possible irq sources. The software can trigger any one of
-- them by writing at registers 0x010 and 0x011. Register 0x010 holds the irq
-- source to be triggered (0 to 7) and register 0x011 holds the number of clock
-- cycles that will elapse from the end of the instruction that writes to the
-- register to the assertion of intr.
--
-- When the interrupt is acknowledged and inta is asserted, the test bench reads
-- the value at register 0x010 as the irq source, and feeds an instruction to
-- the CPU starting from the RAM address 0040h+source*4.
-- That is, address range 0040h-005fh is reserved for the simulated 'interrupt
-- vectors', a total of 4 bytes for each of the 8 sources. This allows the
-- software to easily test different interrupt vectors without any hand
-- assembly. All of this is strictly simulation-only stuff.
--
--
-- Upon completion, the software must write a value to register 0x020. Writing
-- a 0x055 means 'success', writing a 0x0aa means 'failure'. Success and
-- failure conditions are defined by the software.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.ALL;
entity light8080_tb0 is
end entity light8080_tb0;
architecture behavior of light8080_tb0 is
--------------------------------------------------------------------------------
-- Simulation parameters
-- T: simulated clock period
constant T : time := 100 ns;
-- MAX_SIM_LENGTH: maximum simulation time
constant MAX_SIM_LENGTH : time := T*7000; -- enough for the tb0
--------------------------------------------------------------------------------
-- Component Declaration for the Unit Under Test (UUT)
component light8080
port (
addr_out : out std_logic_vector(15 downto 0);
inta : out std_logic;
inte : out std_logic;
halt : out std_logic;
intr : in std_logic;
vma : out std_logic;
io : out std_logic;
rd : out std_logic;
wr : out std_logic;
fetch : out std_logic;
data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0);
clk : in std_logic;
reset : in std_logic );
end component;
signal data_i : std_logic_vector(7 downto 0) := (others=>'0');
signal vma_o : std_logic;
signal rd_o : std_logic;
signal wr_o : std_logic;
signal io_o : std_logic;
signal data_o : std_logic_vector(7 downto 0);
signal data_mem : std_logic_vector(7 downto 0);
signal addr_o : std_logic_vector(15 downto 0);
signal fetch_o : std_logic;
signal inta_o : std_logic;
signal inte_o : std_logic;
signal intr_i : std_logic := '0';
signal halt_o : std_logic;
signal reset : std_logic := '0';
signal clk : std_logic := '1';
signal done : std_logic := '0';
type t_rom is array(0 to 2047) of std_logic_vector(7 downto 0);
signal rom : t_rom := (
X"31",X"f3",X"05",X"3e",X"77",X"e6",X"00",X"ca",
X"0d",X"00",X"cd",X"e0",X"04",X"d2",X"13",X"00",
X"cd",X"e0",X"04",X"ea",X"19",X"00",X"cd",X"e0",
X"04",X"f2",X"1f",X"00",X"cd",X"e0",X"04",X"c2",
X"2e",X"00",X"da",X"2e",X"00",X"e2",X"2e",X"00",
X"fa",X"2e",X"00",X"c3",X"31",X"00",X"cd",X"e0",
X"04",X"c6",X"06",X"c2",X"39",X"00",X"cd",X"e0",
X"04",X"da",X"42",X"00",X"e2",X"42",X"00",X"f2",
X"45",X"00",X"cd",X"e0",X"04",X"c6",X"70",X"e2",
X"4d",X"00",X"cd",X"e0",X"04",X"fa",X"56",X"00",
X"ca",X"56",X"00",X"d2",X"59",X"00",X"cd",X"e0",
X"04",X"c6",X"81",X"fa",X"61",X"00",X"cd",X"e0",
X"04",X"ca",X"6a",X"00",X"da",X"6a",X"00",X"e2",
X"6d",X"00",X"cd",X"e0",X"04",X"c6",X"fe",X"da",
X"75",X"00",X"cd",X"e0",X"04",X"ca",X"7e",X"00",
X"e2",X"7e",X"00",X"fa",X"81",X"00",X"cd",X"e0",
X"04",X"fe",X"00",X"da",X"99",X"00",X"ca",X"99",
X"00",X"fe",X"f5",X"da",X"99",X"00",X"c2",X"99",
X"00",X"fe",X"ff",X"ca",X"99",X"00",X"da",X"9c",
X"00",X"cd",X"e0",X"04",X"ce",X"0a",X"ce",X"0a",
X"fe",X"0b",X"ca",X"a8",X"00",X"cd",X"e0",X"04",
X"d6",X"0c",X"d6",X"0f",X"fe",X"f0",X"ca",X"b4",
X"00",X"cd",X"e0",X"04",X"de",X"f1",X"de",X"0e",
X"fe",X"f0",X"ca",X"c0",X"00",X"cd",X"e0",X"04",
X"e6",X"55",X"fe",X"50",X"ca",X"ca",X"00",X"cd",
X"e0",X"04",X"f6",X"3a",X"fe",X"7a",X"ca",X"d4",
X"00",X"cd",X"e0",X"04",X"ee",X"0f",X"fe",X"75",
X"ca",X"de",X"00",X"cd",X"e0",X"04",X"e6",X"00",
X"dc",X"e0",X"04",X"e4",X"e0",X"04",X"fc",X"e0",
X"04",X"c4",X"e0",X"04",X"fe",X"00",X"ca",X"f4",
X"00",X"cd",X"e0",X"04",X"d6",X"77",X"d4",X"e0",
X"04",X"ec",X"e0",X"04",X"f4",X"e0",X"04",X"cc",
X"e0",X"04",X"fe",X"89",X"ca",X"0a",X"01",X"cd",
X"e0",X"04",X"e6",X"ff",X"e4",X"17",X"01",X"fe",
X"d9",X"ca",X"74",X"01",X"cd",X"e0",X"04",X"e8",
X"c6",X"10",X"ec",X"23",X"01",X"c6",X"02",X"e0",
X"cd",X"e0",X"04",X"e0",X"c6",X"20",X"fc",X"2f",
X"01",X"c6",X"04",X"e8",X"cd",X"e0",X"04",X"f0",
X"c6",X"80",X"f4",X"3b",X"01",X"c6",X"80",X"f8",
X"cd",X"e0",X"04",X"f8",X"c6",X"40",X"d4",X"47",
X"01",X"c6",X"40",X"f0",X"cd",X"e0",X"04",X"d8",
X"c6",X"8f",X"dc",X"53",X"01",X"d6",X"02",X"d0",
X"cd",X"e0",X"04",X"d0",X"c6",X"f7",X"c4",X"5f",
X"01",X"c6",X"fe",X"d8",X"cd",X"e0",X"04",X"c8",
X"c6",X"01",X"cc",X"6b",X"01",X"c6",X"d0",X"c0",
X"cd",X"e0",X"04",X"c0",X"c6",X"47",X"fe",X"47",
X"c8",X"cd",X"e0",X"04",X"3e",X"77",X"3c",X"47",
X"04",X"48",X"0d",X"51",X"5a",X"63",X"6c",X"7d",
X"3d",X"4f",X"59",X"6b",X"45",X"50",X"62",X"7c",
X"57",X"14",X"6a",X"4d",X"0c",X"61",X"44",X"05",
X"58",X"7b",X"5f",X"1c",X"43",X"60",X"24",X"4c",
X"69",X"55",X"15",X"7a",X"67",X"25",X"54",X"42",
X"68",X"2c",X"5d",X"1d",X"4b",X"79",X"6f",X"2d",
X"65",X"5c",X"53",X"4a",X"41",X"78",X"fe",X"77",
X"c4",X"e0",X"04",X"af",X"06",X"01",X"0e",X"03",
X"16",X"07",X"1e",X"0f",X"26",X"1f",X"2e",X"3f",
X"80",X"81",X"82",X"83",X"84",X"85",X"87",X"fe",
X"f0",X"c4",X"e0",X"04",X"90",X"91",X"92",X"93",
X"94",X"95",X"fe",X"78",X"c4",X"e0",X"04",X"97",
X"c4",X"e0",X"04",X"3e",X"80",X"87",X"06",X"01",
X"0e",X"02",X"16",X"03",X"1e",X"04",X"26",X"05",
X"2e",X"06",X"88",X"06",X"80",X"80",X"80",X"89",
X"80",X"80",X"8a",X"80",X"80",X"8b",X"80",X"80",
X"8c",X"80",X"80",X"8d",X"80",X"80",X"8f",X"fe",
X"37",X"c4",X"e0",X"04",X"3e",X"80",X"87",X"06",
X"01",X"98",X"06",X"ff",X"80",X"99",X"80",X"9a",
X"80",X"9b",X"80",X"9c",X"80",X"9d",X"fe",X"e0",
X"c4",X"e0",X"04",X"3e",X"80",X"87",X"9f",X"fe",
X"ff",X"c4",X"e0",X"04",X"3e",X"ff",X"06",X"fe",
X"0e",X"fc",X"16",X"ef",X"1e",X"7f",X"26",X"f4",
X"2e",X"bf",X"a7",X"a1",X"a2",X"a3",X"a4",X"a5",
X"a7",X"fe",X"24",X"c4",X"e0",X"04",X"af",X"06",
X"01",X"0e",X"02",X"16",X"04",X"1e",X"08",X"26",
X"10",X"2e",X"20",X"b0",X"b1",X"b2",X"b3",X"b4",
X"b5",X"b7",X"fe",X"3f",X"c4",X"e0",X"04",X"3e",
X"00",X"26",X"8f",X"2e",X"4f",X"a8",X"a9",X"aa",
X"ab",X"ac",X"ad",X"fe",X"cf",X"c4",X"e0",X"04",
X"af",X"c4",X"e0",X"04",X"06",X"44",X"0e",X"45",
X"16",X"46",X"1e",X"47",X"26",X"04",X"2e",X"ec",
X"70",X"06",X"00",X"46",X"3e",X"44",X"b8",X"c4",
X"e0",X"04",X"72",X"16",X"00",X"56",X"3e",X"46",
X"ba",X"c4",X"e0",X"04",X"73",X"1e",X"00",X"5e",
X"3e",X"47",X"bb",X"c4",X"e0",X"04",X"74",X"26",
X"04",X"2e",X"ec",X"66",X"3e",X"04",X"bc",X"c4",
X"e0",X"04",X"75",X"26",X"04",X"2e",X"ec",X"6e",
X"3e",X"ec",X"bd",X"c4",X"e0",X"04",X"26",X"04",
X"2e",X"ec",X"3e",X"32",X"77",X"be",X"c4",X"e0",
X"04",X"86",X"fe",X"64",X"c4",X"e0",X"04",X"af",
X"7e",X"fe",X"32",X"c4",X"e0",X"04",X"26",X"04",
X"2e",X"ec",X"7e",X"96",X"c4",X"e0",X"04",X"3e",
X"80",X"87",X"8e",X"fe",X"33",X"c4",X"e0",X"04",
X"3e",X"80",X"87",X"9e",X"fe",X"cd",X"c4",X"e0",
X"04",X"a6",X"c4",X"e0",X"04",X"3e",X"25",X"b6",
X"fe",X"37",X"c4",X"e0",X"04",X"ae",X"fe",X"05",
X"c4",X"e0",X"04",X"36",X"55",X"34",X"35",X"86",
X"fe",X"5a",X"c4",X"e0",X"04",X"01",X"ff",X"12",
X"11",X"ff",X"12",X"21",X"ff",X"12",X"03",X"13",
X"23",X"3e",X"13",X"b8",X"c4",X"e0",X"04",X"ba",
X"c4",X"e0",X"04",X"bc",X"c4",X"e0",X"04",X"3e",
X"00",X"b9",X"c4",X"e0",X"04",X"bb",X"c4",X"e0",
X"04",X"bd",X"c4",X"e0",X"04",X"0b",X"1b",X"2b",
X"3e",X"12",X"b8",X"c4",X"e0",X"04",X"ba",X"c4",
X"e0",X"04",X"bc",X"c4",X"e0",X"04",X"3e",X"ff",
X"b9",X"c4",X"e0",X"04",X"bb",X"c4",X"e0",X"04",
X"bd",X"c4",X"e0",X"04",X"32",X"ec",X"04",X"af",
X"3a",X"ec",X"04",X"fe",X"ff",X"c4",X"e0",X"04",
X"2a",X"ea",X"04",X"22",X"ec",X"04",X"3a",X"ea",
X"04",X"47",X"3a",X"ec",X"04",X"b8",X"c4",X"e0",
X"04",X"3a",X"eb",X"04",X"47",X"3a",X"ed",X"04",
X"b8",X"c4",X"e0",X"04",X"3e",X"aa",X"32",X"ec",
X"04",X"44",X"4d",X"af",X"0a",X"fe",X"aa",X"c4",
X"e0",X"04",X"3c",X"02",X"3a",X"ec",X"04",X"fe",
X"ab",X"c4",X"e0",X"04",X"3e",X"77",X"32",X"ec",
X"04",X"2a",X"ea",X"04",X"11",X"00",X"00",X"eb",
X"af",X"1a",X"fe",X"77",X"c4",X"e0",X"04",X"af",
X"84",X"85",X"c4",X"e0",X"04",X"3e",X"cc",X"12",
X"3a",X"ec",X"04",X"fe",X"cc",X"12",X"3a",X"ec",
X"04",X"fe",X"cc",X"c4",X"e0",X"04",X"21",X"77",
X"77",X"29",X"3e",X"ee",X"bc",X"c4",X"e0",X"04",
X"bd",X"c4",X"e0",X"04",X"21",X"55",X"55",X"01",
X"ff",X"ff",X"09",X"3e",X"55",X"d4",X"e0",X"04",
X"bc",X"c4",X"e0",X"04",X"3e",X"54",X"bd",X"c4",
X"e0",X"04",X"21",X"aa",X"aa",X"11",X"33",X"33",
X"19",X"3e",X"dd",X"bc",X"c4",X"e0",X"04",X"bd",
X"c4",X"e0",X"04",X"37",X"d4",X"e0",X"04",X"3f",
X"dc",X"e0",X"04",X"3e",X"aa",X"2f",X"fe",X"55",
X"c4",X"e0",X"04",X"b7",X"27",X"fe",X"55",X"c4",
X"e0",X"04",X"3e",X"88",X"87",X"27",X"fe",X"76",
X"c4",X"e0",X"04",X"af",X"3e",X"aa",X"27",X"d4",
X"e0",X"04",X"fe",X"10",X"c4",X"e0",X"04",X"af",
X"3e",X"9a",X"27",X"d4",X"e0",X"04",X"c4",X"e0",
X"04",X"37",X"3e",X"42",X"07",X"dc",X"e0",X"04",
X"07",X"d4",X"e0",X"04",X"fe",X"09",X"c4",X"e0",
X"04",X"0f",X"d4",X"e0",X"04",X"0f",X"fe",X"42",
X"c4",X"e0",X"04",X"17",X"17",X"d4",X"e0",X"04",
X"fe",X"08",X"c4",X"e0",X"04",X"1f",X"1f",X"dc",
X"e0",X"04",X"fe",X"02",X"c4",X"e0",X"04",X"01",
X"34",X"12",X"11",X"aa",X"aa",X"21",X"55",X"55",
X"af",X"c5",X"d5",X"e5",X"f5",X"01",X"00",X"00",
X"11",X"00",X"00",X"21",X"00",X"00",X"3e",X"c0",
X"c6",X"f0",X"f1",X"e1",X"d1",X"c1",X"dc",X"e0",
X"04",X"c4",X"e0",X"04",X"e4",X"e0",X"04",X"fc",
X"e0",X"04",X"3e",X"12",X"b8",X"c4",X"e0",X"04",
X"3e",X"34",X"b9",X"c4",X"e0",X"04",X"3e",X"aa",
X"ba",X"c4",X"e0",X"04",X"bb",X"c4",X"e0",X"04",
X"3e",X"55",X"bc",X"c4",X"e0",X"04",X"bd",X"c4",
X"e0",X"04",X"21",X"00",X"00",X"39",X"22",X"f1",
X"04",X"31",X"f0",X"04",X"3b",X"3b",X"33",X"3b",
X"3e",X"55",X"32",X"ee",X"04",X"2f",X"32",X"ef",
X"04",X"c1",X"b8",X"c4",X"e0",X"04",X"2f",X"b9",
X"c4",X"e0",X"04",X"21",X"f0",X"04",X"f9",X"21",
X"33",X"77",X"3b",X"3b",X"e3",X"3a",X"ef",X"04",
X"fe",X"77",X"c4",X"e0",X"04",X"3a",X"ee",X"04",
X"fe",X"33",X"c4",X"e0",X"04",X"3e",X"55",X"bd",
X"c4",X"e0",X"04",X"2f",X"bc",X"c4",X"e0",X"04",
X"2a",X"f1",X"04",X"f9",X"21",X"e5",X"04",X"e9",
X"3e",X"aa",X"d3",X"20",X"76",X"3e",X"55",X"d3",
X"20",X"76",X"ec",X"04",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
signal irq_vector_byte: std_logic_vector(7 downto 0);
signal irq_source : integer range 0 to 7;
signal cycles_to_intr : integer range -10 to 255;
signal int_vector_index : integer range 0 to 3;
signal addr_vector_table: integer range 0 to 65535;
begin
-- Instantiate the Unit Under Test (UUT)
uut: light8080 PORT MAP(
clk => clk,
reset => reset,
vma => vma_o,
rd => rd_o,
wr => wr_o,
io => io_o,
fetch => fetch_o,
addr_out => addr_o,
data_in => data_i,
data_out => data_o,
intr => intr_i,
inte => inte_o,
inta => inta_o,
halt => halt_o
);
-- clock: run clock until test is done
clock:
process(done, clk)
begin
if done = '0' then
clk <= not clk after T/2;
end if;
end process clock;
-- Drive reset and done
main_test:
process
begin
-- Assert reset for at least one full clk period
reset <= '1';
wait until clk = '1';
wait for T/2;
reset <= '0';
-- Remember to 'cut away' the preceding 3 clk semiperiods from
-- the wait statement...
wait for (MAX_SIM_LENGTH - T*1.5);
-- Maximum sim time elapsed, assume the program ran away and
-- stop the clk process asserting 'done' (which will stop the simulation)
done <= '1';
assert (done = '1')
report "Test timed out."
severity failure;
wait;
end process main_test;
-- Synchronous RAM; 2KB mirrored everywhere
synchronous_ram:
process(clk)
begin
if (clk'event and clk='1') then
data_mem <= rom(conv_integer(addr_o(10 downto 0)));
if wr_o = '1' and addr_o(15 downto 11)="00000" then
rom(conv_integer(addr_o(10 downto 0))) <= data_o;
end if;
end if;
end process synchronous_ram;
irq_trigger_register:
process(clk)
begin
if (clk'event and clk='1') then
if reset='1' then
cycles_to_intr <= -10; -- meaning no interrupt pending
intr_i <= '0';
else
if io_o='1' and wr_o='1' and addr_o(7 downto 0)=X"11" then
cycles_to_intr <= conv_integer(data_o) + 1;
else
if cycles_to_intr >= 0 then
cycles_to_intr <= cycles_to_intr - 1;
end if;
if cycles_to_intr = 0 then
intr_i <= '1';
else
intr_i <= '0';
end if;
end if;
end if;
end if;
end process irq_trigger_register;
irq_source_register:
process(clk)
begin
if (clk'event and clk='1') then
if reset='1' then
irq_source <= 0;
else
if io_o='1' and wr_o='1' and addr_o(7 downto 0)=X"10" then
irq_source <= conv_integer(data_o(2 downto 0));
end if;
end if;
end if;
end process irq_source_register;
-- 'interrupt vector' logic.
irq_vector_table:
process(clk)
begin
if (clk'event and clk='1') then
if vma_o = '1' and rd_o='1' then
if inta_o = '1' then
int_vector_index <= int_vector_index + 1;
else
int_vector_index <= 0;
end if;
end if;
-- this is the address of the byte we'll feed to the CPU
addr_vector_table <= 64+irq_source*4+int_vector_index;
end if;
end process irq_vector_table;
irq_vector_byte <= rom(addr_vector_table);
data_i <= data_mem when inta_o='0' else irq_vector_byte;
test_outcome_register:
process(clk)
variable outcome : std_logic_vector(7 downto 0);
begin
if (clk'event and clk='1') then
if io_o='1' and wr_o='1' and addr_o(7 downto 0)=X"20" then
assert (data_o /= X"55") report "Software reports SUCCESS" severity failure;
assert (data_o /= X"aa") report "Software reports FAILURE" severity failure;
assert ((data_o = X"aa") or (data_o = X"55"))
report "Software reports unexpected outcome value."
severity failure;
end if;
end if;
end process test_outcome_register;
end;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY mux IS
GENERIC (N : POSITIVE := 8);
PORT(
a, b, c, d, e, f : IN std_logic_vector(N-1 DOWNTO 0);
sel : IN std_logic_vector(3 DOWNTO 0);
S : OUT std_logic_vector(N-1 DOWNTO 0)
);
END ENTITY mux;
ARCHITECTURE Behavior OF mux IS
SIGNAL Qs : STD_LOGIC_VECTOR(N-1 DOWNTO 0);
BEGIN
mux : PROCESS(sel)
BEGIN
CASE sel IS
when "0011" =>
Qs <= a;
when "0100" =>
Qs <= b;
when "0101" =>
Qs <= c;
when "0110" =>
Qs <= d;
when "0111" =>
Qs <= e;
when "1000" =>
Qs <= f;
when others =>
NULL;
END CASE;
END PROCESS mux;
S <= Qs;
END ARCHITECTURE Behavior;
|
-- NEED RESULT: ARCH00690: Allocators with generic scalar qualified expression passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00690
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 7.3.6 (3)
-- 7.3.6 (6)
--
-- DESIGN UNIT ORDERING:
--
-- GENERIC_STANDARD_TYPES(ARCH00690)
-- ENT00690_Test_Bench(ARCH00690_Test_Bench)
--
-- REVISION HISTORY:
--
-- 08-SEP-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00690 of GENERIC_STANDARD_TYPES is
begin
process
variable correct : boolean := true ;
type a_boolean is access boolean ;
variable va_boolean_1, va_boolean_2 : a_boolean
:= new boolean ;
type a_bit is access bit ;
variable va_bit_1, va_bit_2 : a_bit
:= new bit ;
type a_severity_level is access severity_level ;
variable va_severity_level_1, va_severity_level_2 : a_severity_level
:= new severity_level ;
type a_character is access character ;
variable va_character_1, va_character_2 : a_character
:= new character ;
type a_t_enum1 is access t_enum1 ;
variable va_t_enum1_1, va_t_enum1_2 : a_t_enum1
:= new t_enum1 ;
type a_st_enum1 is access st_enum1 ;
variable va_st_enum1_1, va_st_enum1_2 : a_st_enum1
:= new st_enum1 ;
type a_integer is access integer ;
variable va_integer_1, va_integer_2 : a_integer
:= new integer ;
type a_t_int1 is access t_int1 ;
variable va_t_int1_1, va_t_int1_2 : a_t_int1
:= new t_int1 ;
type a_st_int1 is access st_int1 ;
variable va_st_int1_1, va_st_int1_2 : a_st_int1
:= new st_int1 ;
type a_time is access time ;
variable va_time_1, va_time_2 : a_time
:= new time ;
type a_t_phys1 is access t_phys1 ;
variable va_t_phys1_1, va_t_phys1_2 : a_t_phys1
:= new t_phys1 ;
type a_st_phys1 is access st_phys1 ;
variable va_st_phys1_1, va_st_phys1_2 : a_st_phys1
:= new st_phys1 ;
type a_real is access real ;
variable va_real_1, va_real_2 : a_real
:= new real ;
type a_t_real1 is access t_real1 ;
variable va_t_real1_1, va_t_real1_2 : a_t_real1
:= new t_real1 ;
type a_st_real1 is access st_real1 ;
variable va_st_real1_1, va_st_real1_2 : a_st_real1
:= new st_real1 ;
begin
va_boolean_1 := new boolean ' (c_boolean_1) ;
va_bit_1 := new bit ' (c_bit_1) ;
va_severity_level_1 := new severity_level ' (c_severity_level_1) ;
va_character_1 := new character ' (c_character_1) ;
va_t_enum1_1 := new t_enum1 ' (c_t_enum1_1) ;
va_st_enum1_1 := new st_enum1 ' (c_st_enum1_1) ;
va_integer_1 := new integer ' (c_integer_1) ;
va_t_int1_1 := new t_int1 ' (c_t_int1_1) ;
va_st_int1_1 := new st_int1 ' (c_st_int1_1) ;
va_time_1 := new time ' (c_time_1) ;
va_t_phys1_1 := new t_phys1 ' (c_t_phys1_1) ;
va_st_phys1_1 := new st_phys1 ' (c_st_phys1_1) ;
va_real_1 := new real ' (c_real_1) ;
va_t_real1_1 := new t_real1 ' (c_t_real1_1) ;
va_st_real1_1 := new st_real1 ' (c_st_real1_1) ;
correct := correct and
va_boolean_1.all = c_boolean_1 ;
correct := correct and
va_bit_1.all = c_bit_1 ;
correct := correct and
va_severity_level_1.all = c_severity_level_1 ;
correct := correct and
va_character_1.all = c_character_1 ;
correct := correct and
va_t_enum1_1.all = c_t_enum1_1 ;
correct := correct and
va_st_enum1_1.all = c_st_enum1_1 ;
correct := correct and
va_integer_1.all = c_integer_1 ;
correct := correct and
va_t_int1_1.all = c_t_int1_1 ;
correct := correct and
va_st_int1_1.all = c_st_int1_1 ;
correct := correct and
va_time_1.all = c_time_1 ;
correct := correct and
va_t_phys1_1.all = c_t_phys1_1 ;
correct := correct and
va_st_phys1_1.all = c_st_phys1_1 ;
correct := correct and
va_real_1.all = c_real_1 ;
correct := correct and
va_t_real1_1.all = c_t_real1_1 ;
correct := correct and
va_st_real1_1.all = c_st_real1_1 ;
test_report ( "ARCH00690" ,
"Allocators with generic scalar qualified expression" ,
correct) ;
wait ;
end process ;
end ARCH00690 ;
--
entity ENT00690_Test_Bench is
end ENT00690_Test_Bench ;
--
architecture ARCH00690_Test_Bench of ENT00690_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.GENERIC_STANDARD_TYPES ( ARCH00690 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00690_Test_Bench ;
|
library ieee;
use ieee.std_logic_1164.all;
entity slice03 is
port (di : std_logic_vector(7 downto 0);
do : out std_logic_vector (3 downto 0));
end slice03;
architecture behav of slice03 is
begin
do <= di (7 downto 4)(7 downto 4);
end behav;
|
-------------------------------------------------------------------------------
--
-- File: SyncAsync.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 15 December 2017
--
-------------------------------------------------------------------------------
--MIT License
--
--Copyright (c) 2016 Digilent
--
--Permission is hereby granted, free of charge, to any person obtaining a copy
--of this software and associated documentation files (the "Software"), to deal
--in the Software without restriction, including without limitation the rights
--to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
--copies of the Software, and to permit persons to whom the Software is
--furnished to do so, subject to the following conditions:
--
--The above copyright notice and this permission notice shall be included in all
--copies or substantial portions of the Software.
--
--THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
--IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
--FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
--AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
--LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
--OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
--SOFTWARE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module synchronizes the asynchronous signal (aIn) with the OutClk clock
-- domain and provides it on oOut. The number of FFs in the synchronizer chain
-- can be configured with kStages. The reset value for oOut can be configured
-- with kResetTo. The asynchronous reset (aReset) is always active-high.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SyncAsync is
Generic (
kResetTo : std_logic := '0'; --value when reset and upon init
kStages : natural := 2; --double sync by default
kResetPolarity : std_logic := '1'); --aReset active-high by default
Port (
aReset : in STD_LOGIC; -- active-high/active-low asynchronous reset
aIn : in STD_LOGIC;
OutClk : in STD_LOGIC;
oOut : out STD_LOGIC);
end SyncAsync;
architecture Behavioral of SyncAsync is
signal oSyncStages : std_logic_vector(kStages-1 downto 0) := (others => kResetTo);
attribute ASYNC_REG : string;
attribute ASYNC_REG of oSyncStages: signal is "TRUE";
begin
Sync: process (OutClk, aReset)
begin
if (aReset = kResetPolarity) then
oSyncStages <= (others => kResetTo);
elsif Rising_Edge(OutClk) then
oSyncStages <= oSyncStages(oSyncStages'high-1 downto 0) & aIn;
end if;
end process Sync;
oOut <= oSyncStages(oSyncStages'high);
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- File: SyncAsync.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 15 December 2017
--
-------------------------------------------------------------------------------
--MIT License
--
--Copyright (c) 2016 Digilent
--
--Permission is hereby granted, free of charge, to any person obtaining a copy
--of this software and associated documentation files (the "Software"), to deal
--in the Software without restriction, including without limitation the rights
--to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
--copies of the Software, and to permit persons to whom the Software is
--furnished to do so, subject to the following conditions:
--
--The above copyright notice and this permission notice shall be included in all
--copies or substantial portions of the Software.
--
--THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
--IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
--FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
--AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
--LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
--OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
--SOFTWARE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module synchronizes the asynchronous signal (aIn) with the OutClk clock
-- domain and provides it on oOut. The number of FFs in the synchronizer chain
-- can be configured with kStages. The reset value for oOut can be configured
-- with kResetTo. The asynchronous reset (aReset) is always active-high.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SyncAsync is
Generic (
kResetTo : std_logic := '0'; --value when reset and upon init
kStages : natural := 2; --double sync by default
kResetPolarity : std_logic := '1'); --aReset active-high by default
Port (
aReset : in STD_LOGIC; -- active-high/active-low asynchronous reset
aIn : in STD_LOGIC;
OutClk : in STD_LOGIC;
oOut : out STD_LOGIC);
end SyncAsync;
architecture Behavioral of SyncAsync is
signal oSyncStages : std_logic_vector(kStages-1 downto 0) := (others => kResetTo);
attribute ASYNC_REG : string;
attribute ASYNC_REG of oSyncStages: signal is "TRUE";
begin
Sync: process (OutClk, aReset)
begin
if (aReset = kResetPolarity) then
oSyncStages <= (others => kResetTo);
elsif Rising_Edge(OutClk) then
oSyncStages <= oSyncStages(oSyncStages'high-1 downto 0) & aIn;
end if;
end process Sync;
oOut <= oSyncStages(oSyncStages'high);
end Behavioral;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity allocator_credit_counter_logic_pseudo_checkers is
port (
-- flow control
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
credit_counter_N_out, credit_counter_E_out, credit_counter_W_out, credit_counter_S_out, credit_counter_L_out : in std_logic_vector(1 downto 0);
valid_N, valid_E, valid_W, valid_S, valid_L: in std_logic; -- ?? Not sure yet ! grant or valid !
credit_counter_N_in, credit_counter_E_in, credit_counter_W_in, credit_counter_S_in, credit_counter_L_in : in std_logic_vector(1 downto 0);
-- Checker outputs
err_credit_in_N_grant_N_credit_counter_N_in_credit_counter_N_out_equal,
err_credit_in_N_credit_counter_N_out_increment,
err_not_credit_in_N_credit_counter_N_out_max_credit_counter_N_in_not_change,
err_grant_N_credit_counter_N_out_decrement,
err_not_grant_N_or_credit_counter_N_out_zero_credit_counter_N_in_not_change,
err_not_credit_in_N_not_grant_N_credit_counter_N_in_credit_counter_N_out_equal,
err_credit_in_E_grant_E_credit_counter_E_in_credit_counter_E_out_equal,
err_credit_in_E_credit_counter_E_out_increment,
err_not_credit_in_E_credit_counter_E_out_max_credit_counter_E_in_not_change,
err_grant_E_credit_counter_E_out_decrement,
err_not_grant_E_or_credit_counter_E_out_zero_credit_counter_E_in_not_change,
err_not_credit_in_E_not_grant_E_credit_counter_E_in_credit_counter_E_out_equal,
err_credit_in_W_grant_W_credit_counter_W_in_credit_counter_W_out_equal,
err_credit_in_W_credit_counter_W_out_increment,
err_not_credit_in_W_credit_counter_W_out_max_credit_counter_W_in_not_change,
err_grant_W_credit_counter_W_out_decrement,
err_not_grant_W_or_credit_counter_W_out_zero_credit_counter_W_in_not_change,
err_not_credit_in_W_not_grant_W_credit_counter_W_in_credit_counter_W_out_equal,
err_credit_in_S_grant_S_credit_counter_S_in_credit_counter_S_out_equal,
err_credit_in_S_credit_counter_S_out_increment,
err_not_credit_in_S_credit_counter_S_out_max_credit_counter_S_in_not_change,
err_grant_S_credit_counter_S_out_decrement,
err_not_grant_S_or_credit_counter_S_out_zero_credit_counter_S_in_not_change,
err_not_credit_in_S_not_grant_S_credit_counter_S_in_credit_counter_S_out_equal,
err_credit_in_L_grant_L_credit_counter_L_in_credit_counter_L_out_equal,
err_credit_in_L_credit_counter_L_out_increment,
err_not_credit_in_L_credit_counter_L_out_max_credit_counter_L_in_not_change,
err_grant_L_credit_counter_L_out_decrement,
err_not_grant_L_or_credit_counter_L_out_zero_credit_counter_L_in_not_change,
err_not_credit_in_L_not_grant_L_credit_counter_L_in_credit_counter_L_out_equal : out std_logic
);
end allocator_credit_counter_logic_pseudo_checkers;
architecture behavior of allocator_credit_counter_logic_pseudo_checkers is
begin
-- The combionational part
----------------------------------------------------------------
-- Checkers for the process handling the credit counters
-- North credit counter
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '1' and valid_N = '1' and credit_counter_N_in /= credit_counter_N_out) then
err_credit_in_N_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '1';
else
err_credit_in_N_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '0';
end if;
end process;
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '1' and valid_N = '0' and credit_counter_N_out < 3 and credit_counter_N_in /= credit_counter_N_out + 1) then
err_credit_in_N_credit_counter_N_out_increment <= '1';
else
err_credit_in_N_credit_counter_N_out_increment <= '0';
end if;
end process;
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '1' and valid_N = '0' and credit_counter_N_out = 3 and credit_counter_N_in /= credit_counter_N_out) then
err_not_credit_in_N_credit_counter_N_out_max_credit_counter_N_in_not_change <= '1';
else
err_not_credit_in_N_credit_counter_N_out_max_credit_counter_N_in_not_change <= '0';
end if;
end process;
process (valid_N, credit_in_N, credit_counter_N_in, credit_counter_N_out)
begin
if (valid_N = '1' and credit_in_N = '0' and credit_counter_N_out > 0 and credit_counter_N_in /= credit_counter_N_out - 1) then
err_grant_N_credit_counter_N_out_decrement <= '1';
else
err_grant_N_credit_counter_N_out_decrement <= '0';
end if;
end process;
process (valid_N, credit_in_N, credit_counter_N_in, credit_counter_N_out)
begin
if (valid_N = '1' and credit_in_N = '0' and credit_counter_N_out = 0 and credit_counter_N_in /= credit_counter_N_out) then
err_not_grant_N_or_credit_counter_N_out_zero_credit_counter_N_in_not_change <= '1';
else
err_not_grant_N_or_credit_counter_N_out_zero_credit_counter_N_in_not_change <= '0';
end if;
end process;
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '0' and valid_N = '0' and credit_counter_N_in /= credit_counter_N_out) then
err_not_credit_in_N_not_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '1';
else
err_not_credit_in_N_not_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '0';
end if;
end process;
-- East credit counter
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '1' and valid_E = '1' and credit_counter_E_in /= credit_counter_E_out) then
err_credit_in_E_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '1';
else
err_credit_in_E_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '0';
end if;
end process;
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '1' and valid_E = '0' and credit_counter_E_out < 3 and credit_counter_E_in /= credit_counter_E_out + 1) then
err_credit_in_E_credit_counter_E_out_increment <= '1';
else
err_credit_in_E_credit_counter_E_out_increment <= '0';
end if;
end process;
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '1' and valid_E = '0' and credit_counter_E_out = 3 and credit_counter_E_in /= credit_counter_E_out) then
err_not_credit_in_E_credit_counter_E_out_max_credit_counter_E_in_not_change <= '1';
else
err_not_credit_in_E_credit_counter_E_out_max_credit_counter_E_in_not_change <= '0';
end if;
end process;
process (valid_E, credit_in_E, credit_counter_E_in, credit_counter_E_out)
begin
if (valid_E = '1' and credit_in_E = '0' and credit_counter_E_out > 0 and credit_counter_E_in /= credit_counter_E_out - 1) then
err_grant_E_credit_counter_E_out_decrement <= '1';
else
err_grant_E_credit_counter_E_out_decrement <= '0';
end if;
end process;
process (valid_E, credit_in_E, credit_counter_E_in, credit_counter_E_out)
begin
if (valid_E = '1' and credit_in_E = '0' and credit_counter_E_out = 0 and credit_counter_E_in /= credit_counter_E_out) then
err_not_grant_E_or_credit_counter_E_out_zero_credit_counter_E_in_not_change <= '1';
else
err_not_grant_E_or_credit_counter_E_out_zero_credit_counter_E_in_not_change <= '0';
end if;
end process;
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '0' and valid_E = '0' and credit_counter_E_in /= credit_counter_E_out) then
err_not_credit_in_E_not_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '1';
else
err_not_credit_in_E_not_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '0';
end if;
end process;
-- West credit counter
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if (credit_in_W = '1' and valid_W = '1' and credit_counter_W_in /= credit_counter_W_out) then
err_credit_in_W_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '1';
else
err_credit_in_W_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '0';
end if;
end process;
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if (credit_in_W = '1' and valid_W = '0' and credit_counter_W_out < 3 and credit_counter_W_in /= credit_counter_W_out + 1) then
err_credit_in_W_credit_counter_W_out_increment <= '1';
else
err_credit_in_W_credit_counter_W_out_increment <= '0';
end if;
end process;
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if ( (credit_in_W = '1' and valid_W = '0' and credit_counter_W_out = 3) and credit_counter_W_in /= credit_counter_W_out) then
err_not_credit_in_W_credit_counter_W_out_max_credit_counter_W_in_not_change <= '1';
else
err_not_credit_in_W_credit_counter_W_out_max_credit_counter_W_in_not_change <= '0';
end if;
end process;
process (valid_W, credit_in_W, credit_counter_W_in, credit_counter_W_out)
begin
if (valid_W = '1' and credit_in_W = '0' and credit_counter_W_out > 0 and credit_counter_W_in /= credit_counter_W_out - 1) then
err_grant_W_credit_counter_W_out_decrement <= '1';
else
err_grant_W_credit_counter_W_out_decrement <= '0';
end if;
end process;
process (valid_W, credit_in_W, credit_counter_W_in, credit_counter_W_out)
begin
if ( valid_W = '1' and credit_in_W = '0' and credit_counter_W_out = 0 and credit_counter_W_in /= credit_counter_W_out) then
err_not_grant_W_or_credit_counter_W_out_zero_credit_counter_W_in_not_change <= '1';
else
err_not_grant_W_or_credit_counter_W_out_zero_credit_counter_W_in_not_change <= '0';
end if;
end process;
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if (credit_in_W = '0' and valid_W = '0' and credit_counter_W_in /= credit_counter_W_out) then
err_not_credit_in_W_not_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '1';
else
err_not_credit_in_W_not_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '0';
end if;
end process;
-- South credit counter
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if (credit_in_S = '1' and valid_S = '1' and credit_counter_S_in /= credit_counter_S_out) then
err_credit_in_S_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '1';
else
err_credit_in_S_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '0';
end if;
end process;
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if (credit_in_S = '1' and valid_S = '0' and credit_counter_S_out < 3 and credit_counter_S_in /= credit_counter_S_out + 1) then
err_credit_in_S_credit_counter_S_out_increment <= '1';
else
err_credit_in_S_credit_counter_S_out_increment <= '0';
end if;
end process;
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if ( credit_in_S = '1' and valid_S = '0' and credit_counter_S_out = 3 and credit_counter_S_in /= credit_counter_S_out) then
err_not_credit_in_S_credit_counter_S_out_max_credit_counter_S_in_not_change <= '1';
else
err_not_credit_in_S_credit_counter_S_out_max_credit_counter_S_in_not_change <= '0';
end if;
end process;
process (valid_S, credit_in_S, credit_counter_S_in, credit_counter_S_out)
begin
if (valid_S = '1' and credit_in_S = '0' and credit_counter_S_out > 0 and credit_counter_S_in /= credit_counter_S_out - 1) then
err_grant_S_credit_counter_S_out_decrement <= '1';
else
err_grant_S_credit_counter_S_out_decrement <= '0';
end if;
end process;
process (valid_S, credit_in_S, credit_counter_S_in, credit_counter_S_out)
begin
if (valid_S = '1' and credit_in_S = '0' and credit_counter_S_out = 0 and credit_counter_S_in /= credit_counter_S_out) then
err_not_grant_S_or_credit_counter_S_out_zero_credit_counter_S_in_not_change <= '1';
else
err_not_grant_S_or_credit_counter_S_out_zero_credit_counter_S_in_not_change <= '0';
end if;
end process;
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if (credit_in_S = '0' and valid_S = '0' and credit_counter_S_in /= credit_counter_S_out) then
err_not_credit_in_S_not_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '1';
else
err_not_credit_in_S_not_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '0';
end if;
end process;
-- Local credit counter
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '1' and valid_L = '1' and credit_counter_L_in /= credit_counter_L_out) then
err_credit_in_L_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '1';
else
err_credit_in_L_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '0';
end if;
end process;
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '1' and valid_L = '0' and credit_counter_L_out < 3 and credit_counter_L_in /= credit_counter_L_out + 1) then
err_credit_in_L_credit_counter_L_out_increment <= '1';
else
err_credit_in_L_credit_counter_L_out_increment <= '0';
end if;
end process;
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '1' and valid_L = '0' and credit_counter_L_out = 3 and credit_counter_L_in /= credit_counter_L_out) then
err_not_credit_in_L_credit_counter_L_out_max_credit_counter_L_in_not_change <= '1';
else
err_not_credit_in_L_credit_counter_L_out_max_credit_counter_L_in_not_change <= '0';
end if;
end process;
process (valid_L, credit_in_L, credit_counter_L_in, credit_counter_L_out)
begin
if (valid_L = '1' and credit_in_L = '0' and credit_counter_L_out > 0 and credit_counter_L_in /= credit_counter_L_out - 1) then
err_grant_L_credit_counter_L_out_decrement <= '1';
else
err_grant_L_credit_counter_L_out_decrement <= '0';
end if;
end process;
process (valid_L, credit_in_L, credit_counter_L_in, credit_counter_L_out)
begin
if (valid_L = '1' and credit_in_L = '0' and credit_counter_L_out = 0 and credit_counter_L_in /= credit_counter_L_out) then
err_not_grant_L_or_credit_counter_L_out_zero_credit_counter_L_in_not_change <= '1';
else
err_not_grant_L_or_credit_counter_L_out_zero_credit_counter_L_in_not_change <= '0';
end if;
end process;
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '0' and valid_L = '0' and credit_counter_L_in /= credit_counter_L_out) then
err_not_credit_in_L_not_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '1';
else
err_not_credit_in_L_not_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '0';
end if;
end process;
END;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity allocator_credit_counter_logic_pseudo_checkers is
port (
-- flow control
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
credit_counter_N_out, credit_counter_E_out, credit_counter_W_out, credit_counter_S_out, credit_counter_L_out : in std_logic_vector(1 downto 0);
valid_N, valid_E, valid_W, valid_S, valid_L: in std_logic; -- ?? Not sure yet ! grant or valid !
credit_counter_N_in, credit_counter_E_in, credit_counter_W_in, credit_counter_S_in, credit_counter_L_in : in std_logic_vector(1 downto 0);
-- Checker outputs
err_credit_in_N_grant_N_credit_counter_N_in_credit_counter_N_out_equal,
err_credit_in_N_credit_counter_N_out_increment,
err_not_credit_in_N_credit_counter_N_out_max_credit_counter_N_in_not_change,
err_grant_N_credit_counter_N_out_decrement,
err_not_grant_N_or_credit_counter_N_out_zero_credit_counter_N_in_not_change,
err_not_credit_in_N_not_grant_N_credit_counter_N_in_credit_counter_N_out_equal,
err_credit_in_E_grant_E_credit_counter_E_in_credit_counter_E_out_equal,
err_credit_in_E_credit_counter_E_out_increment,
err_not_credit_in_E_credit_counter_E_out_max_credit_counter_E_in_not_change,
err_grant_E_credit_counter_E_out_decrement,
err_not_grant_E_or_credit_counter_E_out_zero_credit_counter_E_in_not_change,
err_not_credit_in_E_not_grant_E_credit_counter_E_in_credit_counter_E_out_equal,
err_credit_in_W_grant_W_credit_counter_W_in_credit_counter_W_out_equal,
err_credit_in_W_credit_counter_W_out_increment,
err_not_credit_in_W_credit_counter_W_out_max_credit_counter_W_in_not_change,
err_grant_W_credit_counter_W_out_decrement,
err_not_grant_W_or_credit_counter_W_out_zero_credit_counter_W_in_not_change,
err_not_credit_in_W_not_grant_W_credit_counter_W_in_credit_counter_W_out_equal,
err_credit_in_S_grant_S_credit_counter_S_in_credit_counter_S_out_equal,
err_credit_in_S_credit_counter_S_out_increment,
err_not_credit_in_S_credit_counter_S_out_max_credit_counter_S_in_not_change,
err_grant_S_credit_counter_S_out_decrement,
err_not_grant_S_or_credit_counter_S_out_zero_credit_counter_S_in_not_change,
err_not_credit_in_S_not_grant_S_credit_counter_S_in_credit_counter_S_out_equal,
err_credit_in_L_grant_L_credit_counter_L_in_credit_counter_L_out_equal,
err_credit_in_L_credit_counter_L_out_increment,
err_not_credit_in_L_credit_counter_L_out_max_credit_counter_L_in_not_change,
err_grant_L_credit_counter_L_out_decrement,
err_not_grant_L_or_credit_counter_L_out_zero_credit_counter_L_in_not_change,
err_not_credit_in_L_not_grant_L_credit_counter_L_in_credit_counter_L_out_equal : out std_logic
);
end allocator_credit_counter_logic_pseudo_checkers;
architecture behavior of allocator_credit_counter_logic_pseudo_checkers is
begin
-- The combionational part
----------------------------------------------------------------
-- Checkers for the process handling the credit counters
-- North credit counter
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '1' and valid_N = '1' and credit_counter_N_in /= credit_counter_N_out) then
err_credit_in_N_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '1';
else
err_credit_in_N_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '0';
end if;
end process;
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '1' and valid_N = '0' and credit_counter_N_out < 3 and credit_counter_N_in /= credit_counter_N_out + 1) then
err_credit_in_N_credit_counter_N_out_increment <= '1';
else
err_credit_in_N_credit_counter_N_out_increment <= '0';
end if;
end process;
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '1' and valid_N = '0' and credit_counter_N_out = 3 and credit_counter_N_in /= credit_counter_N_out) then
err_not_credit_in_N_credit_counter_N_out_max_credit_counter_N_in_not_change <= '1';
else
err_not_credit_in_N_credit_counter_N_out_max_credit_counter_N_in_not_change <= '0';
end if;
end process;
process (valid_N, credit_in_N, credit_counter_N_in, credit_counter_N_out)
begin
if (valid_N = '1' and credit_in_N = '0' and credit_counter_N_out > 0 and credit_counter_N_in /= credit_counter_N_out - 1) then
err_grant_N_credit_counter_N_out_decrement <= '1';
else
err_grant_N_credit_counter_N_out_decrement <= '0';
end if;
end process;
process (valid_N, credit_in_N, credit_counter_N_in, credit_counter_N_out)
begin
if (valid_N = '1' and credit_in_N = '0' and credit_counter_N_out = 0 and credit_counter_N_in /= credit_counter_N_out) then
err_not_grant_N_or_credit_counter_N_out_zero_credit_counter_N_in_not_change <= '1';
else
err_not_grant_N_or_credit_counter_N_out_zero_credit_counter_N_in_not_change <= '0';
end if;
end process;
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '0' and valid_N = '0' and credit_counter_N_in /= credit_counter_N_out) then
err_not_credit_in_N_not_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '1';
else
err_not_credit_in_N_not_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '0';
end if;
end process;
-- East credit counter
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '1' and valid_E = '1' and credit_counter_E_in /= credit_counter_E_out) then
err_credit_in_E_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '1';
else
err_credit_in_E_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '0';
end if;
end process;
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '1' and valid_E = '0' and credit_counter_E_out < 3 and credit_counter_E_in /= credit_counter_E_out + 1) then
err_credit_in_E_credit_counter_E_out_increment <= '1';
else
err_credit_in_E_credit_counter_E_out_increment <= '0';
end if;
end process;
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '1' and valid_E = '0' and credit_counter_E_out = 3 and credit_counter_E_in /= credit_counter_E_out) then
err_not_credit_in_E_credit_counter_E_out_max_credit_counter_E_in_not_change <= '1';
else
err_not_credit_in_E_credit_counter_E_out_max_credit_counter_E_in_not_change <= '0';
end if;
end process;
process (valid_E, credit_in_E, credit_counter_E_in, credit_counter_E_out)
begin
if (valid_E = '1' and credit_in_E = '0' and credit_counter_E_out > 0 and credit_counter_E_in /= credit_counter_E_out - 1) then
err_grant_E_credit_counter_E_out_decrement <= '1';
else
err_grant_E_credit_counter_E_out_decrement <= '0';
end if;
end process;
process (valid_E, credit_in_E, credit_counter_E_in, credit_counter_E_out)
begin
if (valid_E = '1' and credit_in_E = '0' and credit_counter_E_out = 0 and credit_counter_E_in /= credit_counter_E_out) then
err_not_grant_E_or_credit_counter_E_out_zero_credit_counter_E_in_not_change <= '1';
else
err_not_grant_E_or_credit_counter_E_out_zero_credit_counter_E_in_not_change <= '0';
end if;
end process;
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '0' and valid_E = '0' and credit_counter_E_in /= credit_counter_E_out) then
err_not_credit_in_E_not_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '1';
else
err_not_credit_in_E_not_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '0';
end if;
end process;
-- West credit counter
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if (credit_in_W = '1' and valid_W = '1' and credit_counter_W_in /= credit_counter_W_out) then
err_credit_in_W_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '1';
else
err_credit_in_W_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '0';
end if;
end process;
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if (credit_in_W = '1' and valid_W = '0' and credit_counter_W_out < 3 and credit_counter_W_in /= credit_counter_W_out + 1) then
err_credit_in_W_credit_counter_W_out_increment <= '1';
else
err_credit_in_W_credit_counter_W_out_increment <= '0';
end if;
end process;
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if ( (credit_in_W = '1' and valid_W = '0' and credit_counter_W_out = 3) and credit_counter_W_in /= credit_counter_W_out) then
err_not_credit_in_W_credit_counter_W_out_max_credit_counter_W_in_not_change <= '1';
else
err_not_credit_in_W_credit_counter_W_out_max_credit_counter_W_in_not_change <= '0';
end if;
end process;
process (valid_W, credit_in_W, credit_counter_W_in, credit_counter_W_out)
begin
if (valid_W = '1' and credit_in_W = '0' and credit_counter_W_out > 0 and credit_counter_W_in /= credit_counter_W_out - 1) then
err_grant_W_credit_counter_W_out_decrement <= '1';
else
err_grant_W_credit_counter_W_out_decrement <= '0';
end if;
end process;
process (valid_W, credit_in_W, credit_counter_W_in, credit_counter_W_out)
begin
if ( valid_W = '1' and credit_in_W = '0' and credit_counter_W_out = 0 and credit_counter_W_in /= credit_counter_W_out) then
err_not_grant_W_or_credit_counter_W_out_zero_credit_counter_W_in_not_change <= '1';
else
err_not_grant_W_or_credit_counter_W_out_zero_credit_counter_W_in_not_change <= '0';
end if;
end process;
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if (credit_in_W = '0' and valid_W = '0' and credit_counter_W_in /= credit_counter_W_out) then
err_not_credit_in_W_not_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '1';
else
err_not_credit_in_W_not_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '0';
end if;
end process;
-- South credit counter
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if (credit_in_S = '1' and valid_S = '1' and credit_counter_S_in /= credit_counter_S_out) then
err_credit_in_S_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '1';
else
err_credit_in_S_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '0';
end if;
end process;
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if (credit_in_S = '1' and valid_S = '0' and credit_counter_S_out < 3 and credit_counter_S_in /= credit_counter_S_out + 1) then
err_credit_in_S_credit_counter_S_out_increment <= '1';
else
err_credit_in_S_credit_counter_S_out_increment <= '0';
end if;
end process;
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if ( credit_in_S = '1' and valid_S = '0' and credit_counter_S_out = 3 and credit_counter_S_in /= credit_counter_S_out) then
err_not_credit_in_S_credit_counter_S_out_max_credit_counter_S_in_not_change <= '1';
else
err_not_credit_in_S_credit_counter_S_out_max_credit_counter_S_in_not_change <= '0';
end if;
end process;
process (valid_S, credit_in_S, credit_counter_S_in, credit_counter_S_out)
begin
if (valid_S = '1' and credit_in_S = '0' and credit_counter_S_out > 0 and credit_counter_S_in /= credit_counter_S_out - 1) then
err_grant_S_credit_counter_S_out_decrement <= '1';
else
err_grant_S_credit_counter_S_out_decrement <= '0';
end if;
end process;
process (valid_S, credit_in_S, credit_counter_S_in, credit_counter_S_out)
begin
if (valid_S = '1' and credit_in_S = '0' and credit_counter_S_out = 0 and credit_counter_S_in /= credit_counter_S_out) then
err_not_grant_S_or_credit_counter_S_out_zero_credit_counter_S_in_not_change <= '1';
else
err_not_grant_S_or_credit_counter_S_out_zero_credit_counter_S_in_not_change <= '0';
end if;
end process;
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if (credit_in_S = '0' and valid_S = '0' and credit_counter_S_in /= credit_counter_S_out) then
err_not_credit_in_S_not_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '1';
else
err_not_credit_in_S_not_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '0';
end if;
end process;
-- Local credit counter
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '1' and valid_L = '1' and credit_counter_L_in /= credit_counter_L_out) then
err_credit_in_L_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '1';
else
err_credit_in_L_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '0';
end if;
end process;
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '1' and valid_L = '0' and credit_counter_L_out < 3 and credit_counter_L_in /= credit_counter_L_out + 1) then
err_credit_in_L_credit_counter_L_out_increment <= '1';
else
err_credit_in_L_credit_counter_L_out_increment <= '0';
end if;
end process;
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '1' and valid_L = '0' and credit_counter_L_out = 3 and credit_counter_L_in /= credit_counter_L_out) then
err_not_credit_in_L_credit_counter_L_out_max_credit_counter_L_in_not_change <= '1';
else
err_not_credit_in_L_credit_counter_L_out_max_credit_counter_L_in_not_change <= '0';
end if;
end process;
process (valid_L, credit_in_L, credit_counter_L_in, credit_counter_L_out)
begin
if (valid_L = '1' and credit_in_L = '0' and credit_counter_L_out > 0 and credit_counter_L_in /= credit_counter_L_out - 1) then
err_grant_L_credit_counter_L_out_decrement <= '1';
else
err_grant_L_credit_counter_L_out_decrement <= '0';
end if;
end process;
process (valid_L, credit_in_L, credit_counter_L_in, credit_counter_L_out)
begin
if (valid_L = '1' and credit_in_L = '0' and credit_counter_L_out = 0 and credit_counter_L_in /= credit_counter_L_out) then
err_not_grant_L_or_credit_counter_L_out_zero_credit_counter_L_in_not_change <= '1';
else
err_not_grant_L_or_credit_counter_L_out_zero_credit_counter_L_in_not_change <= '0';
end if;
end process;
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '0' and valid_L = '0' and credit_counter_L_in /= credit_counter_L_out) then
err_not_credit_in_L_not_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '1';
else
err_not_credit_in_L_not_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '0';
end if;
end process;
END;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity allocator_credit_counter_logic_pseudo_checkers is
port (
-- flow control
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
credit_counter_N_out, credit_counter_E_out, credit_counter_W_out, credit_counter_S_out, credit_counter_L_out : in std_logic_vector(1 downto 0);
valid_N, valid_E, valid_W, valid_S, valid_L: in std_logic; -- ?? Not sure yet ! grant or valid !
credit_counter_N_in, credit_counter_E_in, credit_counter_W_in, credit_counter_S_in, credit_counter_L_in : in std_logic_vector(1 downto 0);
-- Checker outputs
err_credit_in_N_grant_N_credit_counter_N_in_credit_counter_N_out_equal,
err_credit_in_N_credit_counter_N_out_increment,
err_not_credit_in_N_credit_counter_N_out_max_credit_counter_N_in_not_change,
err_grant_N_credit_counter_N_out_decrement,
err_not_grant_N_or_credit_counter_N_out_zero_credit_counter_N_in_not_change,
err_not_credit_in_N_not_grant_N_credit_counter_N_in_credit_counter_N_out_equal,
err_credit_in_E_grant_E_credit_counter_E_in_credit_counter_E_out_equal,
err_credit_in_E_credit_counter_E_out_increment,
err_not_credit_in_E_credit_counter_E_out_max_credit_counter_E_in_not_change,
err_grant_E_credit_counter_E_out_decrement,
err_not_grant_E_or_credit_counter_E_out_zero_credit_counter_E_in_not_change,
err_not_credit_in_E_not_grant_E_credit_counter_E_in_credit_counter_E_out_equal,
err_credit_in_W_grant_W_credit_counter_W_in_credit_counter_W_out_equal,
err_credit_in_W_credit_counter_W_out_increment,
err_not_credit_in_W_credit_counter_W_out_max_credit_counter_W_in_not_change,
err_grant_W_credit_counter_W_out_decrement,
err_not_grant_W_or_credit_counter_W_out_zero_credit_counter_W_in_not_change,
err_not_credit_in_W_not_grant_W_credit_counter_W_in_credit_counter_W_out_equal,
err_credit_in_S_grant_S_credit_counter_S_in_credit_counter_S_out_equal,
err_credit_in_S_credit_counter_S_out_increment,
err_not_credit_in_S_credit_counter_S_out_max_credit_counter_S_in_not_change,
err_grant_S_credit_counter_S_out_decrement,
err_not_grant_S_or_credit_counter_S_out_zero_credit_counter_S_in_not_change,
err_not_credit_in_S_not_grant_S_credit_counter_S_in_credit_counter_S_out_equal,
err_credit_in_L_grant_L_credit_counter_L_in_credit_counter_L_out_equal,
err_credit_in_L_credit_counter_L_out_increment,
err_not_credit_in_L_credit_counter_L_out_max_credit_counter_L_in_not_change,
err_grant_L_credit_counter_L_out_decrement,
err_not_grant_L_or_credit_counter_L_out_zero_credit_counter_L_in_not_change,
err_not_credit_in_L_not_grant_L_credit_counter_L_in_credit_counter_L_out_equal : out std_logic
);
end allocator_credit_counter_logic_pseudo_checkers;
architecture behavior of allocator_credit_counter_logic_pseudo_checkers is
begin
-- The combionational part
----------------------------------------------------------------
-- Checkers for the process handling the credit counters
-- North credit counter
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '1' and valid_N = '1' and credit_counter_N_in /= credit_counter_N_out) then
err_credit_in_N_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '1';
else
err_credit_in_N_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '0';
end if;
end process;
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '1' and valid_N = '0' and credit_counter_N_out < 3 and credit_counter_N_in /= credit_counter_N_out + 1) then
err_credit_in_N_credit_counter_N_out_increment <= '1';
else
err_credit_in_N_credit_counter_N_out_increment <= '0';
end if;
end process;
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '1' and valid_N = '0' and credit_counter_N_out = 3 and credit_counter_N_in /= credit_counter_N_out) then
err_not_credit_in_N_credit_counter_N_out_max_credit_counter_N_in_not_change <= '1';
else
err_not_credit_in_N_credit_counter_N_out_max_credit_counter_N_in_not_change <= '0';
end if;
end process;
process (valid_N, credit_in_N, credit_counter_N_in, credit_counter_N_out)
begin
if (valid_N = '1' and credit_in_N = '0' and credit_counter_N_out > 0 and credit_counter_N_in /= credit_counter_N_out - 1) then
err_grant_N_credit_counter_N_out_decrement <= '1';
else
err_grant_N_credit_counter_N_out_decrement <= '0';
end if;
end process;
process (valid_N, credit_in_N, credit_counter_N_in, credit_counter_N_out)
begin
if (valid_N = '1' and credit_in_N = '0' and credit_counter_N_out = 0 and credit_counter_N_in /= credit_counter_N_out) then
err_not_grant_N_or_credit_counter_N_out_zero_credit_counter_N_in_not_change <= '1';
else
err_not_grant_N_or_credit_counter_N_out_zero_credit_counter_N_in_not_change <= '0';
end if;
end process;
process (credit_in_N, valid_N, credit_counter_N_in, credit_counter_N_out)
begin
if (credit_in_N = '0' and valid_N = '0' and credit_counter_N_in /= credit_counter_N_out) then
err_not_credit_in_N_not_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '1';
else
err_not_credit_in_N_not_grant_N_credit_counter_N_in_credit_counter_N_out_equal <= '0';
end if;
end process;
-- East credit counter
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '1' and valid_E = '1' and credit_counter_E_in /= credit_counter_E_out) then
err_credit_in_E_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '1';
else
err_credit_in_E_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '0';
end if;
end process;
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '1' and valid_E = '0' and credit_counter_E_out < 3 and credit_counter_E_in /= credit_counter_E_out + 1) then
err_credit_in_E_credit_counter_E_out_increment <= '1';
else
err_credit_in_E_credit_counter_E_out_increment <= '0';
end if;
end process;
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '1' and valid_E = '0' and credit_counter_E_out = 3 and credit_counter_E_in /= credit_counter_E_out) then
err_not_credit_in_E_credit_counter_E_out_max_credit_counter_E_in_not_change <= '1';
else
err_not_credit_in_E_credit_counter_E_out_max_credit_counter_E_in_not_change <= '0';
end if;
end process;
process (valid_E, credit_in_E, credit_counter_E_in, credit_counter_E_out)
begin
if (valid_E = '1' and credit_in_E = '0' and credit_counter_E_out > 0 and credit_counter_E_in /= credit_counter_E_out - 1) then
err_grant_E_credit_counter_E_out_decrement <= '1';
else
err_grant_E_credit_counter_E_out_decrement <= '0';
end if;
end process;
process (valid_E, credit_in_E, credit_counter_E_in, credit_counter_E_out)
begin
if (valid_E = '1' and credit_in_E = '0' and credit_counter_E_out = 0 and credit_counter_E_in /= credit_counter_E_out) then
err_not_grant_E_or_credit_counter_E_out_zero_credit_counter_E_in_not_change <= '1';
else
err_not_grant_E_or_credit_counter_E_out_zero_credit_counter_E_in_not_change <= '0';
end if;
end process;
process (credit_in_E, valid_E, credit_counter_E_in, credit_counter_E_out)
begin
if (credit_in_E = '0' and valid_E = '0' and credit_counter_E_in /= credit_counter_E_out) then
err_not_credit_in_E_not_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '1';
else
err_not_credit_in_E_not_grant_E_credit_counter_E_in_credit_counter_E_out_equal <= '0';
end if;
end process;
-- West credit counter
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if (credit_in_W = '1' and valid_W = '1' and credit_counter_W_in /= credit_counter_W_out) then
err_credit_in_W_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '1';
else
err_credit_in_W_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '0';
end if;
end process;
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if (credit_in_W = '1' and valid_W = '0' and credit_counter_W_out < 3 and credit_counter_W_in /= credit_counter_W_out + 1) then
err_credit_in_W_credit_counter_W_out_increment <= '1';
else
err_credit_in_W_credit_counter_W_out_increment <= '0';
end if;
end process;
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if ( (credit_in_W = '1' and valid_W = '0' and credit_counter_W_out = 3) and credit_counter_W_in /= credit_counter_W_out) then
err_not_credit_in_W_credit_counter_W_out_max_credit_counter_W_in_not_change <= '1';
else
err_not_credit_in_W_credit_counter_W_out_max_credit_counter_W_in_not_change <= '0';
end if;
end process;
process (valid_W, credit_in_W, credit_counter_W_in, credit_counter_W_out)
begin
if (valid_W = '1' and credit_in_W = '0' and credit_counter_W_out > 0 and credit_counter_W_in /= credit_counter_W_out - 1) then
err_grant_W_credit_counter_W_out_decrement <= '1';
else
err_grant_W_credit_counter_W_out_decrement <= '0';
end if;
end process;
process (valid_W, credit_in_W, credit_counter_W_in, credit_counter_W_out)
begin
if ( valid_W = '1' and credit_in_W = '0' and credit_counter_W_out = 0 and credit_counter_W_in /= credit_counter_W_out) then
err_not_grant_W_or_credit_counter_W_out_zero_credit_counter_W_in_not_change <= '1';
else
err_not_grant_W_or_credit_counter_W_out_zero_credit_counter_W_in_not_change <= '0';
end if;
end process;
process (credit_in_W, valid_W, credit_counter_W_in, credit_counter_W_out)
begin
if (credit_in_W = '0' and valid_W = '0' and credit_counter_W_in /= credit_counter_W_out) then
err_not_credit_in_W_not_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '1';
else
err_not_credit_in_W_not_grant_W_credit_counter_W_in_credit_counter_W_out_equal <= '0';
end if;
end process;
-- South credit counter
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if (credit_in_S = '1' and valid_S = '1' and credit_counter_S_in /= credit_counter_S_out) then
err_credit_in_S_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '1';
else
err_credit_in_S_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '0';
end if;
end process;
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if (credit_in_S = '1' and valid_S = '0' and credit_counter_S_out < 3 and credit_counter_S_in /= credit_counter_S_out + 1) then
err_credit_in_S_credit_counter_S_out_increment <= '1';
else
err_credit_in_S_credit_counter_S_out_increment <= '0';
end if;
end process;
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if ( credit_in_S = '1' and valid_S = '0' and credit_counter_S_out = 3 and credit_counter_S_in /= credit_counter_S_out) then
err_not_credit_in_S_credit_counter_S_out_max_credit_counter_S_in_not_change <= '1';
else
err_not_credit_in_S_credit_counter_S_out_max_credit_counter_S_in_not_change <= '0';
end if;
end process;
process (valid_S, credit_in_S, credit_counter_S_in, credit_counter_S_out)
begin
if (valid_S = '1' and credit_in_S = '0' and credit_counter_S_out > 0 and credit_counter_S_in /= credit_counter_S_out - 1) then
err_grant_S_credit_counter_S_out_decrement <= '1';
else
err_grant_S_credit_counter_S_out_decrement <= '0';
end if;
end process;
process (valid_S, credit_in_S, credit_counter_S_in, credit_counter_S_out)
begin
if (valid_S = '1' and credit_in_S = '0' and credit_counter_S_out = 0 and credit_counter_S_in /= credit_counter_S_out) then
err_not_grant_S_or_credit_counter_S_out_zero_credit_counter_S_in_not_change <= '1';
else
err_not_grant_S_or_credit_counter_S_out_zero_credit_counter_S_in_not_change <= '0';
end if;
end process;
process (credit_in_S, valid_S, credit_counter_S_in, credit_counter_S_out)
begin
if (credit_in_S = '0' and valid_S = '0' and credit_counter_S_in /= credit_counter_S_out) then
err_not_credit_in_S_not_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '1';
else
err_not_credit_in_S_not_grant_S_credit_counter_S_in_credit_counter_S_out_equal <= '0';
end if;
end process;
-- Local credit counter
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '1' and valid_L = '1' and credit_counter_L_in /= credit_counter_L_out) then
err_credit_in_L_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '1';
else
err_credit_in_L_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '0';
end if;
end process;
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '1' and valid_L = '0' and credit_counter_L_out < 3 and credit_counter_L_in /= credit_counter_L_out + 1) then
err_credit_in_L_credit_counter_L_out_increment <= '1';
else
err_credit_in_L_credit_counter_L_out_increment <= '0';
end if;
end process;
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '1' and valid_L = '0' and credit_counter_L_out = 3 and credit_counter_L_in /= credit_counter_L_out) then
err_not_credit_in_L_credit_counter_L_out_max_credit_counter_L_in_not_change <= '1';
else
err_not_credit_in_L_credit_counter_L_out_max_credit_counter_L_in_not_change <= '0';
end if;
end process;
process (valid_L, credit_in_L, credit_counter_L_in, credit_counter_L_out)
begin
if (valid_L = '1' and credit_in_L = '0' and credit_counter_L_out > 0 and credit_counter_L_in /= credit_counter_L_out - 1) then
err_grant_L_credit_counter_L_out_decrement <= '1';
else
err_grant_L_credit_counter_L_out_decrement <= '0';
end if;
end process;
process (valid_L, credit_in_L, credit_counter_L_in, credit_counter_L_out)
begin
if (valid_L = '1' and credit_in_L = '0' and credit_counter_L_out = 0 and credit_counter_L_in /= credit_counter_L_out) then
err_not_grant_L_or_credit_counter_L_out_zero_credit_counter_L_in_not_change <= '1';
else
err_not_grant_L_or_credit_counter_L_out_zero_credit_counter_L_in_not_change <= '0';
end if;
end process;
process (credit_in_L, valid_L, credit_counter_L_in, credit_counter_L_out)
begin
if (credit_in_L = '0' and valid_L = '0' and credit_counter_L_in /= credit_counter_L_out) then
err_not_credit_in_L_not_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '1';
else
err_not_credit_in_L_not_grant_L_credit_counter_L_in_credit_counter_L_out_equal <= '0';
end if;
end process;
END;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.1
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity nco_sine_lut_V_rom is
generic(
dwidth : integer := 16;
awidth : integer := 12;
mem_size : integer := 4096
);
port (
addr0 : in std_logic_vector(awidth-1 downto 0);
ce0 : in std_logic;
q0 : out std_logic_vector(dwidth-1 downto 0);
clk : in std_logic
);
end entity;
architecture rtl of nco_sine_lut_V_rom is
signal addr0_tmp : std_logic_vector(awidth-1 downto 0);
type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0);
signal mem : mem_array := (
0 => "0000000000000000", 1 => "0000000000011001", 2 => "0000000000110010",
3 => "0000000001001011", 4 => "0000000001100100", 5 => "0000000001111101",
6 => "0000000010010110", 7 => "0000000010101111", 8 => "0000000011001001",
9 => "0000000011100010", 10 => "0000000011111011", 11 => "0000000100010100",
12 => "0000000100101101", 13 => "0000000101000110", 14 => "0000000101011111",
15 => "0000000101111000", 16 => "0000000110010010", 17 => "0000000110101011",
18 => "0000000111000100", 19 => "0000000111011101", 20 => "0000000111110110",
21 => "0000001000001111", 22 => "0000001000101000", 23 => "0000001001000001",
24 => "0000001001011011", 25 => "0000001001110100", 26 => "0000001010001101",
27 => "0000001010100110", 28 => "0000001010111111", 29 => "0000001011011000",
30 => "0000001011110001", 31 => "0000001100001010", 32 => "0000001100100011",
33 => "0000001100111101", 34 => "0000001101010110", 35 => "0000001101101111",
36 => "0000001110001000", 37 => "0000001110100001", 38 => "0000001110111010",
39 => "0000001111010011", 40 => "0000001111101100", 41 => "0000010000000101",
42 => "0000010000011110", 43 => "0000010000110111", 44 => "0000010001010001",
45 => "0000010001101010", 46 => "0000010010000011", 47 => "0000010010011100",
48 => "0000010010110101", 49 => "0000010011001110", 50 => "0000010011100111",
51 => "0000010100000000", 52 => "0000010100011001", 53 => "0000010100110010",
54 => "0000010101001011", 55 => "0000010101100100", 56 => "0000010101111101",
57 => "0000010110010110", 58 => "0000010110101111", 59 => "0000010111001000",
60 => "0000010111100001", 61 => "0000010111111010", 62 => "0000011000010011",
63 => "0000011000101100", 64 => "0000011001000101", 65 => "0000011001011110",
66 => "0000011001110111", 67 => "0000011010010000", 68 => "0000011010101001",
69 => "0000011011000010", 70 => "0000011011011011", 71 => "0000011011110100",
72 => "0000011100001101", 73 => "0000011100100110", 74 => "0000011100111111",
75 => "0000011101011000", 76 => "0000011101110001", 77 => "0000011110001010",
78 => "0000011110100011", 79 => "0000011110111100", 80 => "0000011111010101",
81 => "0000011111101110", 82 => "0000100000000111", 83 => "0000100000100000",
84 => "0000100000111001", 85 => "0000100001010010", 86 => "0000100001101011",
87 => "0000100010000100", 88 => "0000100010011100", 89 => "0000100010110101",
90 => "0000100011001110", 91 => "0000100011100111", 92 => "0000100100000000",
93 => "0000100100011001", 94 => "0000100100110010", 95 => "0000100101001011",
96 => "0000100101100100", 97 => "0000100101111100", 98 => "0000100110010101",
99 => "0000100110101110", 100 => "0000100111000111", 101 => "0000100111100000",
102 => "0000100111111001", 103 => "0000101000010001", 104 => "0000101000101010",
105 => "0000101001000011", 106 => "0000101001011100", 107 => "0000101001110101",
108 => "0000101010001101", 109 => "0000101010100110", 110 => "0000101010111111",
111 => "0000101011011000", 112 => "0000101011110001", 113 => "0000101100001001",
114 => "0000101100100010", 115 => "0000101100111011", 116 => "0000101101010100",
117 => "0000101101101100", 118 => "0000101110000101", 119 => "0000101110011110",
120 => "0000101110110110", 121 => "0000101111001111", 122 => "0000101111101000",
123 => "0000110000000001", 124 => "0000110000011001", 125 => "0000110000110010",
126 => "0000110001001011", 127 => "0000110001100011", 128 => "0000110001111100",
129 => "0000110010010101", 130 => "0000110010101101", 131 => "0000110011000110",
132 => "0000110011011110", 133 => "0000110011110111", 134 => "0000110100010000",
135 => "0000110100101000", 136 => "0000110101000001", 137 => "0000110101011001",
138 => "0000110101110010", 139 => "0000110110001011", 140 => "0000110110100011",
141 => "0000110110111100", 142 => "0000110111010100", 143 => "0000110111101101",
144 => "0000111000000101", 145 => "0000111000011110", 146 => "0000111000110110",
147 => "0000111001001111", 148 => "0000111001100111", 149 => "0000111010000000",
150 => "0000111010011000", 151 => "0000111010110001", 152 => "0000111011001001",
153 => "0000111011100010", 154 => "0000111011111010", 155 => "0000111100010010",
156 => "0000111100101011", 157 => "0000111101000011", 158 => "0000111101011100",
159 => "0000111101110100", 160 => "0000111110001100", 161 => "0000111110100101",
162 => "0000111110111101", 163 => "0000111111010110", 164 => "0000111111101110",
165 => "0001000000000110", 166 => "0001000000011111", 167 => "0001000000110111",
168 => "0001000001001111", 169 => "0001000001101000", 170 => "0001000010000000",
171 => "0001000010011000", 172 => "0001000010110000", 173 => "0001000011001001",
174 => "0001000011100001", 175 => "0001000011111001", 176 => "0001000100010001",
177 => "0001000100101010", 178 => "0001000101000010", 179 => "0001000101011010",
180 => "0001000101110010", 181 => "0001000110001010", 182 => "0001000110100010",
183 => "0001000110111011", 184 => "0001000111010011", 185 => "0001000111101011",
186 => "0001001000000011", 187 => "0001001000011011", 188 => "0001001000110011",
189 => "0001001001001011", 190 => "0001001001100011", 191 => "0001001001111011",
192 => "0001001010010100", 193 => "0001001010101100", 194 => "0001001011000100",
195 => "0001001011011100", 196 => "0001001011110100", 197 => "0001001100001100",
198 => "0001001100100100", 199 => "0001001100111100", 200 => "0001001101010100",
201 => "0001001101101100", 202 => "0001001110000011", 203 => "0001001110011011",
204 => "0001001110110011", 205 => "0001001111001011", 206 => "0001001111100011",
207 => "0001001111111011", 208 => "0001010000010011", 209 => "0001010000101011",
210 => "0001010001000011", 211 => "0001010001011010", 212 => "0001010001110010",
213 => "0001010010001010", 214 => "0001010010100010", 215 => "0001010010111010",
216 => "0001010011010001", 217 => "0001010011101001", 218 => "0001010100000001",
219 => "0001010100011001", 220 => "0001010100110000", 221 => "0001010101001000",
222 => "0001010101100000", 223 => "0001010101110111", 224 => "0001010110001111",
225 => "0001010110100111", 226 => "0001010110111110", 227 => "0001010111010110",
228 => "0001010111101110", 229 => "0001011000000101", 230 => "0001011000011101",
231 => "0001011000110100", 232 => "0001011001001100", 233 => "0001011001100100",
234 => "0001011001111011", 235 => "0001011010010011", 236 => "0001011010101010",
237 => "0001011011000010", 238 => "0001011011011001", 239 => "0001011011110001",
240 => "0001011100001000", 241 => "0001011100011111", 242 => "0001011100110111",
243 => "0001011101001110", 244 => "0001011101100110", 245 => "0001011101111101",
246 => "0001011110010100", 247 => "0001011110101100", 248 => "0001011111000011",
249 => "0001011111011010", 250 => "0001011111110010", 251 => "0001100000001001",
252 => "0001100000100000", 253 => "0001100000111000", 254 => "0001100001001111",
255 => "0001100001100110", 256 => "0001100001111101", 257 => "0001100010010101",
258 => "0001100010101100", 259 => "0001100011000011", 260 => "0001100011011010",
261 => "0001100011110001", 262 => "0001100100001000", 263 => "0001100100100000",
264 => "0001100100110111", 265 => "0001100101001110", 266 => "0001100101100101",
267 => "0001100101111100", 268 => "0001100110010011", 269 => "0001100110101010",
270 => "0001100111000001", 271 => "0001100111011000", 272 => "0001100111101111",
273 => "0001101000000110", 274 => "0001101000011101", 275 => "0001101000110100",
276 => "0001101001001011", 277 => "0001101001100010", 278 => "0001101001111001",
279 => "0001101010001111", 280 => "0001101010100110", 281 => "0001101010111101",
282 => "0001101011010100", 283 => "0001101011101011", 284 => "0001101100000010",
285 => "0001101100011000", 286 => "0001101100101111", 287 => "0001101101000110",
288 => "0001101101011101", 289 => "0001101101110011", 290 => "0001101110001010",
291 => "0001101110100001", 292 => "0001101110110111", 293 => "0001101111001110",
294 => "0001101111100101", 295 => "0001101111111011", 296 => "0001110000010010",
297 => "0001110000101000", 298 => "0001110000111111", 299 => "0001110001010101",
300 => "0001110001101100", 301 => "0001110010000011", 302 => "0001110010011001",
303 => "0001110010101111", 304 => "0001110011000110", 305 => "0001110011011100",
306 => "0001110011110011", 307 => "0001110100001001", 308 => "0001110100100000",
309 => "0001110100110110", 310 => "0001110101001100", 311 => "0001110101100011",
312 => "0001110101111001", 313 => "0001110110001111", 314 => "0001110110100110",
315 => "0001110110111100", 316 => "0001110111010010", 317 => "0001110111101000",
318 => "0001110111111110", 319 => "0001111000010101", 320 => "0001111000101011",
321 => "0001111001000001", 322 => "0001111001010111", 323 => "0001111001101101",
324 => "0001111010000011", 325 => "0001111010011001", 326 => "0001111010110000",
327 => "0001111011000110", 328 => "0001111011011100", 329 => "0001111011110010",
330 => "0001111100001000", 331 => "0001111100011110", 332 => "0001111100110100",
333 => "0001111101001001", 334 => "0001111101011111", 335 => "0001111101110101",
336 => "0001111110001011", 337 => "0001111110100001", 338 => "0001111110110111",
339 => "0001111111001101", 340 => "0001111111100010", 341 => "0001111111111000",
342 => "0010000000001110", 343 => "0010000000100100", 344 => "0010000000111001",
345 => "0010000001001111", 346 => "0010000001100101", 347 => "0010000001111011",
348 => "0010000010010000", 349 => "0010000010100110", 350 => "0010000010111011",
351 => "0010000011010001", 352 => "0010000011100111", 353 => "0010000011111100",
354 => "0010000100010010", 355 => "0010000100100111", 356 => "0010000100111101",
357 => "0010000101010010", 358 => "0010000101101000", 359 => "0010000101111101",
360 => "0010000110010010", 361 => "0010000110101000", 362 => "0010000110111101",
363 => "0010000111010010", 364 => "0010000111101000", 365 => "0010000111111101",
366 => "0010001000010010", 367 => "0010001000101000", 368 => "0010001000111101",
369 => "0010001001010010", 370 => "0010001001100111", 371 => "0010001001111101",
372 => "0010001010010010", 373 => "0010001010100111", 374 => "0010001010111100",
375 => "0010001011010001", 376 => "0010001011100110", 377 => "0010001011111011",
378 => "0010001100010000", 379 => "0010001100100101", 380 => "0010001100111010",
381 => "0010001101001111", 382 => "0010001101100100", 383 => "0010001101111001",
384 => "0010001110001110", 385 => "0010001110100011", 386 => "0010001110111000",
387 => "0010001111001101", 388 => "0010001111100001", 389 => "0010001111110110",
390 => "0010010000001011", 391 => "0010010000100000", 392 => "0010010000110100",
393 => "0010010001001001", 394 => "0010010001011110", 395 => "0010010001110011",
396 => "0010010010000111", 397 => "0010010010011100", 398 => "0010010010110000",
399 => "0010010011000101", 400 => "0010010011011010", 401 => "0010010011101110",
402 => "0010010100000011", 403 => "0010010100010111", 404 => "0010010100101100",
405 => "0010010101000000", 406 => "0010010101010100", 407 => "0010010101101001",
408 => "0010010101111101", 409 => "0010010110010010", 410 => "0010010110100110",
411 => "0010010110111010", 412 => "0010010111001111", 413 => "0010010111100011",
414 => "0010010111110111", 415 => "0010011000001011", 416 => "0010011000011111",
417 => "0010011000110100", 418 => "0010011001001000", 419 => "0010011001011100",
420 => "0010011001110000", 421 => "0010011010000100", 422 => "0010011010011000",
423 => "0010011010101100", 424 => "0010011011000000", 425 => "0010011011010100",
426 => "0010011011101000", 427 => "0010011011111100", 428 => "0010011100010000",
429 => "0010011100100100", 430 => "0010011100111000", 431 => "0010011101001100",
432 => "0010011101011111", 433 => "0010011101110011", 434 => "0010011110000111",
435 => "0010011110011011", 436 => "0010011110101111", 437 => "0010011111000010",
438 => "0010011111010110", 439 => "0010011111101010", 440 => "0010011111111101",
441 => "0010100000010001", 442 => "0010100000100100", 443 => "0010100000111000",
444 => "0010100001001011", 445 => "0010100001011111", 446 => "0010100001110010",
447 => "0010100010000110", 448 => "0010100010011001", 449 => "0010100010101101",
450 => "0010100011000000", 451 => "0010100011010100", 452 => "0010100011100111",
453 => "0010100011111010", 454 => "0010100100001110", 455 => "0010100100100001",
456 => "0010100100110100", 457 => "0010100101000111", 458 => "0010100101011010",
459 => "0010100101101110", 460 => "0010100110000001", 461 => "0010100110010100",
462 => "0010100110100111", 463 => "0010100110111010", 464 => "0010100111001101",
465 => "0010100111100000", 466 => "0010100111110011", 467 => "0010101000000110",
468 => "0010101000011001", 469 => "0010101000101100", 470 => "0010101000111111",
471 => "0010101001010010", 472 => "0010101001100101", 473 => "0010101001110111",
474 => "0010101010001010", 475 => "0010101010011101", 476 => "0010101010110000",
477 => "0010101011000010", 478 => "0010101011010101", 479 => "0010101011101000",
480 => "0010101011111010", 481 => "0010101100001101", 482 => "0010101100100000",
483 => "0010101100110010", 484 => "0010101101000101", 485 => "0010101101010111",
486 => "0010101101101010", 487 => "0010101101111100", 488 => "0010101110001110",
489 => "0010101110100001", 490 => "0010101110110011", 491 => "0010101111000110",
492 => "0010101111011000", 493 => "0010101111101010", 494 => "0010101111111100",
495 => "0010110000001111", 496 => "0010110000100001", 497 => "0010110000110011",
498 => "0010110001000101", 499 => "0010110001010111", 500 => "0010110001101010",
501 => "0010110001111100", 502 => "0010110010001110", 503 => "0010110010100000",
504 => "0010110010110010", 505 => "0010110011000100", 506 => "0010110011010110",
507 => "0010110011101000", 508 => "0010110011111001", 509 => "0010110100001011",
510 => "0010110100011101", 511 => "0010110100101111", 512 => "0010110101000001",
513 => "0010110101010010", 514 => "0010110101100100", 515 => "0010110101110110",
516 => "0010110110001000", 517 => "0010110110011001", 518 => "0010110110101011",
519 => "0010110110111100", 520 => "0010110111001110", 521 => "0010110111100000",
522 => "0010110111110001", 523 => "0010111000000011", 524 => "0010111000010100",
525 => "0010111000100101", 526 => "0010111000110111", 527 => "0010111001001000",
528 => "0010111001011010", 529 => "0010111001101011", 530 => "0010111001111100",
531 => "0010111010001101", 532 => "0010111010011111", 533 => "0010111010110000",
534 => "0010111011000001", 535 => "0010111011010010", 536 => "0010111011100011",
537 => "0010111011110100", 538 => "0010111100000101", 539 => "0010111100010110",
540 => "0010111100101000", 541 => "0010111100111000", 542 => "0010111101001001",
543 => "0010111101011010", 544 => "0010111101101011", 545 => "0010111101111100",
546 => "0010111110001101", 547 => "0010111110011110", 548 => "0010111110101111",
549 => "0010111110111111", 550 => "0010111111010000", 551 => "0010111111100001",
552 => "0010111111110001", 553 => "0011000000000010", 554 => "0011000000010011",
555 => "0011000000100011", 556 => "0011000000110100", 557 => "0011000001000100",
558 => "0011000001010101", 559 => "0011000001100101", 560 => "0011000001110110",
561 => "0011000010000110", 562 => "0011000010010110", 563 => "0011000010100111",
564 => "0011000010110111", 565 => "0011000011000111", 566 => "0011000011011000",
567 => "0011000011101000", 568 => "0011000011111000", 569 => "0011000100001000",
570 => "0011000100011000", 571 => "0011000100101000", 572 => "0011000100111000",
573 => "0011000101001001", 574 => "0011000101011001", 575 => "0011000101101001",
576 => "0011000101111001", 577 => "0011000110001000", 578 => "0011000110011000",
579 => "0011000110101000", 580 => "0011000110111000", 581 => "0011000111001000",
582 => "0011000111011000", 583 => "0011000111100111", 584 => "0011000111110111",
585 => "0011001000000111", 586 => "0011001000010110", 587 => "0011001000100110",
588 => "0011001000110110", 589 => "0011001001000101", 590 => "0011001001010101",
591 => "0011001001100100", 592 => "0011001001110100", 593 => "0011001010000011",
594 => "0011001010010011", 595 => "0011001010100010", 596 => "0011001010110001",
597 => "0011001011000001", 598 => "0011001011010000", 599 => "0011001011011111",
600 => "0011001011101110", 601 => "0011001011111110", 602 => "0011001100001101",
603 => "0011001100011100", 604 => "0011001100101011", 605 => "0011001100111010",
606 => "0011001101001001", 607 => "0011001101011000", 608 => "0011001101100111",
609 => "0011001101110110", 610 => "0011001110000101", 611 => "0011001110010100",
612 => "0011001110100011", 613 => "0011001110110010", 614 => "0011001111000001",
615 => "0011001111001111", 616 => "0011001111011110", 617 => "0011001111101101",
618 => "0011001111111011", 619 => "0011010000001010", 620 => "0011010000011001",
621 => "0011010000100111", 622 => "0011010000110110", 623 => "0011010001000100",
624 => "0011010001010011", 625 => "0011010001100001", 626 => "0011010001110000",
627 => "0011010001111110", 628 => "0011010010001100", 629 => "0011010010011011",
630 => "0011010010101001", 631 => "0011010010110111", 632 => "0011010011000110",
633 => "0011010011010100", 634 => "0011010011100010", 635 => "0011010011110000",
636 => "0011010011111110", 637 => "0011010100001100", 638 => "0011010100011010",
639 => "0011010100101000", 640 => "0011010100110110", 641 => "0011010101000100",
642 => "0011010101010010", 643 => "0011010101100000", 644 => "0011010101101110",
645 => "0011010101111100", 646 => "0011010110001001", 647 => "0011010110010111",
648 => "0011010110100101", 649 => "0011010110110011", 650 => "0011010111000000",
651 => "0011010111001110", 652 => "0011010111011100", 653 => "0011010111101001",
654 => "0011010111110111", 655 => "0011011000000100", 656 => "0011011000010010",
657 => "0011011000011111", 658 => "0011011000101100", 659 => "0011011000111010",
660 => "0011011001000111", 661 => "0011011001010100", 662 => "0011011001100010",
663 => "0011011001101111", 664 => "0011011001111100", 665 => "0011011010001001",
666 => "0011011010010110", 667 => "0011011010100100", 668 => "0011011010110001",
669 => "0011011010111110", 670 => "0011011011001011", 671 => "0011011011011000",
672 => "0011011011100101", 673 => "0011011011110001", 674 => "0011011011111110",
675 => "0011011100001011", 676 => "0011011100011000", 677 => "0011011100100101",
678 => "0011011100110001", 679 => "0011011100111110", 680 => "0011011101001011",
681 => "0011011101010111", 682 => "0011011101100100", 683 => "0011011101110001",
684 => "0011011101111101", 685 => "0011011110001010", 686 => "0011011110010110",
687 => "0011011110100011", 688 => "0011011110101111", 689 => "0011011110111011",
690 => "0011011111001000", 691 => "0011011111010100", 692 => "0011011111100000",
693 => "0011011111101101", 694 => "0011011111111001", 695 => "0011100000000101",
696 => "0011100000010001", 697 => "0011100000011101", 698 => "0011100000101001",
699 => "0011100000110101", 700 => "0011100001000001", 701 => "0011100001001101",
702 => "0011100001011001", 703 => "0011100001100101", 704 => "0011100001110001",
705 => "0011100001111101", 706 => "0011100010001001", 707 => "0011100010010100",
708 => "0011100010100000", 709 => "0011100010101100", 710 => "0011100010110111",
711 => "0011100011000011", 712 => "0011100011001111", 713 => "0011100011011010",
714 => "0011100011100110", 715 => "0011100011110001", 716 => "0011100011111101",
717 => "0011100100001000", 718 => "0011100100010011", 719 => "0011100100011111",
720 => "0011100100101010", 721 => "0011100100110101", 722 => "0011100101000001",
723 => "0011100101001100", 724 => "0011100101010111", 725 => "0011100101100010",
726 => "0011100101101101", 727 => "0011100101111000", 728 => "0011100110000011",
729 => "0011100110001110", 730 => "0011100110011001", 731 => "0011100110100100",
732 => "0011100110101111", 733 => "0011100110111010", 734 => "0011100111000101",
735 => "0011100111010000", 736 => "0011100111011010", 737 => "0011100111100101",
738 => "0011100111110000", 739 => "0011100111111011", 740 => "0011101000000101",
741 => "0011101000010000", 742 => "0011101000011010", 743 => "0011101000100101",
744 => "0011101000101111", 745 => "0011101000111010", 746 => "0011101001000100",
747 => "0011101001001111", 748 => "0011101001011001", 749 => "0011101001100011",
750 => "0011101001101101", 751 => "0011101001111000", 752 => "0011101010000010",
753 => "0011101010001100", 754 => "0011101010010110", 755 => "0011101010100000",
756 => "0011101010101010", 757 => "0011101010110100", 758 => "0011101010111110",
759 => "0011101011001000", 760 => "0011101011010010", 761 => "0011101011011100",
762 => "0011101011100110", 763 => "0011101011110000", 764 => "0011101011111010",
765 => "0011101100000011", 766 => "0011101100001101", 767 => "0011101100010111",
768 => "0011101100100000", 769 => "0011101100101010", 770 => "0011101100110100",
771 => "0011101100111101", 772 => "0011101101000111", 773 => "0011101101010000",
774 => "0011101101011001", 775 => "0011101101100011", 776 => "0011101101101100",
777 => "0011101101110101", 778 => "0011101101111111", 779 => "0011101110001000",
780 => "0011101110010001", 781 => "0011101110011010", 782 => "0011101110100011",
783 => "0011101110101101", 784 => "0011101110110110", 785 => "0011101110111111",
786 => "0011101111001000", 787 => "0011101111010001", 788 => "0011101111011010",
789 => "0011101111100010", 790 => "0011101111101011", 791 => "0011101111110100",
792 => "0011101111111101", 793 => "0011110000000110", 794 => "0011110000001110",
795 => "0011110000010111", 796 => "0011110000100000", 797 => "0011110000101000",
798 => "0011110000110001", 799 => "0011110000111001", 800 => "0011110001000010",
801 => "0011110001001010", 802 => "0011110001010011", 803 => "0011110001011011",
804 => "0011110001100011", 805 => "0011110001101100", 806 => "0011110001110100",
807 => "0011110001111100", 808 => "0011110010000100", 809 => "0011110010001100",
810 => "0011110010010101", 811 => "0011110010011101", 812 => "0011110010100101",
813 => "0011110010101101", 814 => "0011110010110101", 815 => "0011110010111101",
816 => "0011110011000101", 817 => "0011110011001100", 818 => "0011110011010100",
819 => "0011110011011100", 820 => "0011110011100100", 821 => "0011110011101100",
822 => "0011110011110011", 823 => "0011110011111011", 824 => "0011110100000010",
825 => "0011110100001010", 826 => "0011110100010010", 827 => "0011110100011001",
828 => "0011110100100001", 829 => "0011110100101000", 830 => "0011110100101111",
831 => "0011110100110111", 832 => "0011110100111110", 833 => "0011110101000101",
834 => "0011110101001101", 835 => "0011110101010100", 836 => "0011110101011011",
837 => "0011110101100010", 838 => "0011110101101001", 839 => "0011110101110000",
840 => "0011110101110111", 841 => "0011110101111110", 842 => "0011110110000101",
843 => "0011110110001100", 844 => "0011110110010011", 845 => "0011110110011010",
846 => "0011110110100001", 847 => "0011110110100111", 848 => "0011110110101110",
849 => "0011110110110101", 850 => "0011110110111011", 851 => "0011110111000010",
852 => "0011110111001001", 853 => "0011110111001111", 854 => "0011110111010110",
855 => "0011110111011100", 856 => "0011110111100010", 857 => "0011110111101001",
858 => "0011110111101111", 859 => "0011110111110101", 860 => "0011110111111100",
861 => "0011111000000010", 862 => "0011111000001000", 863 => "0011111000001110",
864 => "0011111000010100", 865 => "0011111000011011", 866 => "0011111000100001",
867 => "0011111000100111", 868 => "0011111000101101", 869 => "0011111000110011",
870 => "0011111000111000", 871 => "0011111000111110", 872 => "0011111001000100",
873 => "0011111001001010", 874 => "0011111001010000", 875 => "0011111001010101",
876 => "0011111001011011", 877 => "0011111001100001", 878 => "0011111001100110",
879 => "0011111001101100", 880 => "0011111001110001", 881 => "0011111001110111",
882 => "0011111001111100", 883 => "0011111010000010", 884 => "0011111010000111",
885 => "0011111010001100", 886 => "0011111010010010", 887 => "0011111010010111",
888 => "0011111010011100", 889 => "0011111010100001", 890 => "0011111010100111",
891 => "0011111010101100", 892 => "0011111010110001", 893 => "0011111010110110",
894 => "0011111010111011", 895 => "0011111011000000", 896 => "0011111011000101",
897 => "0011111011001010", 898 => "0011111011001110", 899 => "0011111011010011",
900 => "0011111011011000", 901 => "0011111011011101", 902 => "0011111011100001",
903 => "0011111011100110", 904 => "0011111011101011", 905 => "0011111011101111",
906 => "0011111011110100", 907 => "0011111011111000", 908 => "0011111011111101",
909 => "0011111100000001", 910 => "0011111100000110", 911 => "0011111100001010",
912 => "0011111100001110", 913 => "0011111100010011", 914 => "0011111100010111",
915 => "0011111100011011", 916 => "0011111100011111", 917 => "0011111100100011",
918 => "0011111100100111", 919 => "0011111100101011", 920 => "0011111100101111",
921 => "0011111100110011", 922 => "0011111100110111", 923 => "0011111100111011",
924 => "0011111100111111", 925 => "0011111101000011", 926 => "0011111101000111",
927 => "0011111101001010", 928 => "0011111101001110", 929 => "0011111101010010",
930 => "0011111101010101", 931 => "0011111101011001", 932 => "0011111101011101",
933 => "0011111101100000", 934 => "0011111101100100", 935 => "0011111101100111",
936 => "0011111101101010", 937 => "0011111101101110", 938 => "0011111101110001",
939 => "0011111101110100", 940 => "0011111101111000", 941 => "0011111101111011",
942 => "0011111101111110", 943 => "0011111110000001", 944 => "0011111110000100",
945 => "0011111110000111", 946 => "0011111110001010", 947 => "0011111110001101",
948 => "0011111110010000", 949 => "0011111110010011", 950 => "0011111110010110",
951 => "0011111110011001", 952 => "0011111110011100", 953 => "0011111110011110",
954 => "0011111110100001", 955 => "0011111110100100", 956 => "0011111110100110",
957 => "0011111110101001", 958 => "0011111110101100", 959 => "0011111110101110",
960 => "0011111110110001", 961 => "0011111110110011", 962 => "0011111110110101",
963 => "0011111110111000", 964 => "0011111110111010", 965 => "0011111110111100",
966 => "0011111110111111", 967 => "0011111111000001", 968 => "0011111111000011",
969 => "0011111111000101", 970 => "0011111111000111", 971 => "0011111111001001",
972 => "0011111111001011", 973 => "0011111111001101", 974 => "0011111111001111",
975 => "0011111111010001", 976 => "0011111111010011", 977 => "0011111111010101",
978 => "0011111111010111", 979 => "0011111111011000", 980 => "0011111111011010",
981 => "0011111111011100", 982 => "0011111111011110", 983 => "0011111111011111",
984 => "0011111111100001", 985 => "0011111111100010", 986 => "0011111111100100",
987 => "0011111111100101", 988 => "0011111111100111", 989 => "0011111111101000",
990 => "0011111111101001", 991 => "0011111111101011", 992 => "0011111111101100",
993 => "0011111111101101", 994 => "0011111111101110", 995 => "0011111111101111",
996 => "0011111111110000", 997 => "0011111111110001", 998 => "0011111111110010",
999 => "0011111111110011", 1000 => "0011111111110100", 1001 => "0011111111110101",
1002 => "0011111111110110", 1003 => "0011111111110111", 1004 => "0011111111111000",
1005 to 1006=> "0011111111111001", 1007 => "0011111111111010", 1008 to 1009=> "0011111111111011",
1010 to 1011=> "0011111111111100", 1012 to 1013=> "0011111111111101", 1014 to 1016=> "0011111111111110",
1017 to 1023=> "0011111111111111", 1024 => "0100000000000000", 1025 to 1031=> "0011111111111111",
1032 to 1034=> "0011111111111110", 1035 to 1036=> "0011111111111101", 1037 to 1038=> "0011111111111100",
1039 to 1040=> "0011111111111011", 1041 => "0011111111111010", 1042 to 1043=> "0011111111111001",
1044 => "0011111111111000", 1045 => "0011111111110111", 1046 => "0011111111110110",
1047 => "0011111111110101", 1048 => "0011111111110100", 1049 => "0011111111110011",
1050 => "0011111111110010", 1051 => "0011111111110001", 1052 => "0011111111110000",
1053 => "0011111111101111", 1054 => "0011111111101110", 1055 => "0011111111101101",
1056 => "0011111111101100", 1057 => "0011111111101011", 1058 => "0011111111101001",
1059 => "0011111111101000", 1060 => "0011111111100111", 1061 => "0011111111100101",
1062 => "0011111111100100", 1063 => "0011111111100010", 1064 => "0011111111100001",
1065 => "0011111111011111", 1066 => "0011111111011110", 1067 => "0011111111011100",
1068 => "0011111111011010", 1069 => "0011111111011000", 1070 => "0011111111010111",
1071 => "0011111111010101", 1072 => "0011111111010011", 1073 => "0011111111010001",
1074 => "0011111111001111", 1075 => "0011111111001101", 1076 => "0011111111001011",
1077 => "0011111111001001", 1078 => "0011111111000111", 1079 => "0011111111000101",
1080 => "0011111111000011", 1081 => "0011111111000001", 1082 => "0011111110111111",
1083 => "0011111110111100", 1084 => "0011111110111010", 1085 => "0011111110111000",
1086 => "0011111110110101", 1087 => "0011111110110011", 1088 => "0011111110110001",
1089 => "0011111110101110", 1090 => "0011111110101100", 1091 => "0011111110101001",
1092 => "0011111110100110", 1093 => "0011111110100100", 1094 => "0011111110100001",
1095 => "0011111110011110", 1096 => "0011111110011100", 1097 => "0011111110011001",
1098 => "0011111110010110", 1099 => "0011111110010011", 1100 => "0011111110010000",
1101 => "0011111110001101", 1102 => "0011111110001010", 1103 => "0011111110000111",
1104 => "0011111110000100", 1105 => "0011111110000001", 1106 => "0011111101111110",
1107 => "0011111101111011", 1108 => "0011111101111000", 1109 => "0011111101110100",
1110 => "0011111101110001", 1111 => "0011111101101110", 1112 => "0011111101101010",
1113 => "0011111101100111", 1114 => "0011111101100100", 1115 => "0011111101100000",
1116 => "0011111101011101", 1117 => "0011111101011001", 1118 => "0011111101010101",
1119 => "0011111101010010", 1120 => "0011111101001110", 1121 => "0011111101001010",
1122 => "0011111101000111", 1123 => "0011111101000011", 1124 => "0011111100111111",
1125 => "0011111100111011", 1126 => "0011111100110111", 1127 => "0011111100110011",
1128 => "0011111100101111", 1129 => "0011111100101011", 1130 => "0011111100100111",
1131 => "0011111100100011", 1132 => "0011111100011111", 1133 => "0011111100011011",
1134 => "0011111100010111", 1135 => "0011111100010011", 1136 => "0011111100001110",
1137 => "0011111100001010", 1138 => "0011111100000110", 1139 => "0011111100000001",
1140 => "0011111011111101", 1141 => "0011111011111000", 1142 => "0011111011110100",
1143 => "0011111011101111", 1144 => "0011111011101011", 1145 => "0011111011100110",
1146 => "0011111011100001", 1147 => "0011111011011101", 1148 => "0011111011011000",
1149 => "0011111011010011", 1150 => "0011111011001110", 1151 => "0011111011001010",
1152 => "0011111011000101", 1153 => "0011111011000000", 1154 => "0011111010111011",
1155 => "0011111010110110", 1156 => "0011111010110001", 1157 => "0011111010101100",
1158 => "0011111010100111", 1159 => "0011111010100001", 1160 => "0011111010011100",
1161 => "0011111010010111", 1162 => "0011111010010010", 1163 => "0011111010001100",
1164 => "0011111010000111", 1165 => "0011111010000010", 1166 => "0011111001111100",
1167 => "0011111001110111", 1168 => "0011111001110001", 1169 => "0011111001101100",
1170 => "0011111001100110", 1171 => "0011111001100001", 1172 => "0011111001011011",
1173 => "0011111001010101", 1174 => "0011111001010000", 1175 => "0011111001001010",
1176 => "0011111001000100", 1177 => "0011111000111110", 1178 => "0011111000111000",
1179 => "0011111000110011", 1180 => "0011111000101101", 1181 => "0011111000100111",
1182 => "0011111000100001", 1183 => "0011111000011011", 1184 => "0011111000010100",
1185 => "0011111000001110", 1186 => "0011111000001000", 1187 => "0011111000000010",
1188 => "0011110111111100", 1189 => "0011110111110101", 1190 => "0011110111101111",
1191 => "0011110111101001", 1192 => "0011110111100010", 1193 => "0011110111011100",
1194 => "0011110111010110", 1195 => "0011110111001111", 1196 => "0011110111001001",
1197 => "0011110111000010", 1198 => "0011110110111011", 1199 => "0011110110110101",
1200 => "0011110110101110", 1201 => "0011110110100111", 1202 => "0011110110100001",
1203 => "0011110110011010", 1204 => "0011110110010011", 1205 => "0011110110001100",
1206 => "0011110110000101", 1207 => "0011110101111110", 1208 => "0011110101110111",
1209 => "0011110101110000", 1210 => "0011110101101001", 1211 => "0011110101100010",
1212 => "0011110101011011", 1213 => "0011110101010100", 1214 => "0011110101001101",
1215 => "0011110101000101", 1216 => "0011110100111110", 1217 => "0011110100110111",
1218 => "0011110100101111", 1219 => "0011110100101000", 1220 => "0011110100100001",
1221 => "0011110100011001", 1222 => "0011110100010010", 1223 => "0011110100001010",
1224 => "0011110100000010", 1225 => "0011110011111011", 1226 => "0011110011110011",
1227 => "0011110011101100", 1228 => "0011110011100100", 1229 => "0011110011011100",
1230 => "0011110011010100", 1231 => "0011110011001100", 1232 => "0011110011000101",
1233 => "0011110010111101", 1234 => "0011110010110101", 1235 => "0011110010101101",
1236 => "0011110010100101", 1237 => "0011110010011101", 1238 => "0011110010010101",
1239 => "0011110010001100", 1240 => "0011110010000100", 1241 => "0011110001111100",
1242 => "0011110001110100", 1243 => "0011110001101100", 1244 => "0011110001100011",
1245 => "0011110001011011", 1246 => "0011110001010011", 1247 => "0011110001001010",
1248 => "0011110001000010", 1249 => "0011110000111001", 1250 => "0011110000110001",
1251 => "0011110000101000", 1252 => "0011110000100000", 1253 => "0011110000010111",
1254 => "0011110000001110", 1255 => "0011110000000110", 1256 => "0011101111111101",
1257 => "0011101111110100", 1258 => "0011101111101011", 1259 => "0011101111100010",
1260 => "0011101111011010", 1261 => "0011101111010001", 1262 => "0011101111001000",
1263 => "0011101110111111", 1264 => "0011101110110110", 1265 => "0011101110101101",
1266 => "0011101110100011", 1267 => "0011101110011010", 1268 => "0011101110010001",
1269 => "0011101110001000", 1270 => "0011101101111111", 1271 => "0011101101110101",
1272 => "0011101101101100", 1273 => "0011101101100011", 1274 => "0011101101011001",
1275 => "0011101101010000", 1276 => "0011101101000111", 1277 => "0011101100111101",
1278 => "0011101100110100", 1279 => "0011101100101010", 1280 => "0011101100100000",
1281 => "0011101100010111", 1282 => "0011101100001101", 1283 => "0011101100000011",
1284 => "0011101011111010", 1285 => "0011101011110000", 1286 => "0011101011100110",
1287 => "0011101011011100", 1288 => "0011101011010010", 1289 => "0011101011001000",
1290 => "0011101010111110", 1291 => "0011101010110100", 1292 => "0011101010101010",
1293 => "0011101010100000", 1294 => "0011101010010110", 1295 => "0011101010001100",
1296 => "0011101010000010", 1297 => "0011101001111000", 1298 => "0011101001101101",
1299 => "0011101001100011", 1300 => "0011101001011001", 1301 => "0011101001001111",
1302 => "0011101001000100", 1303 => "0011101000111010", 1304 => "0011101000101111",
1305 => "0011101000100101", 1306 => "0011101000011010", 1307 => "0011101000010000",
1308 => "0011101000000101", 1309 => "0011100111111011", 1310 => "0011100111110000",
1311 => "0011100111100101", 1312 => "0011100111011010", 1313 => "0011100111010000",
1314 => "0011100111000101", 1315 => "0011100110111010", 1316 => "0011100110101111",
1317 => "0011100110100100", 1318 => "0011100110011001", 1319 => "0011100110001110",
1320 => "0011100110000011", 1321 => "0011100101111000", 1322 => "0011100101101101",
1323 => "0011100101100010", 1324 => "0011100101010111", 1325 => "0011100101001100",
1326 => "0011100101000001", 1327 => "0011100100110101", 1328 => "0011100100101010",
1329 => "0011100100011111", 1330 => "0011100100010011", 1331 => "0011100100001000",
1332 => "0011100011111101", 1333 => "0011100011110001", 1334 => "0011100011100110",
1335 => "0011100011011010", 1336 => "0011100011001111", 1337 => "0011100011000011",
1338 => "0011100010110111", 1339 => "0011100010101100", 1340 => "0011100010100000",
1341 => "0011100010010100", 1342 => "0011100010001001", 1343 => "0011100001111101",
1344 => "0011100001110001", 1345 => "0011100001100101", 1346 => "0011100001011001",
1347 => "0011100001001101", 1348 => "0011100001000001", 1349 => "0011100000110101",
1350 => "0011100000101001", 1351 => "0011100000011101", 1352 => "0011100000010001",
1353 => "0011100000000101", 1354 => "0011011111111001", 1355 => "0011011111101101",
1356 => "0011011111100000", 1357 => "0011011111010100", 1358 => "0011011111001000",
1359 => "0011011110111011", 1360 => "0011011110101111", 1361 => "0011011110100011",
1362 => "0011011110010110", 1363 => "0011011110001010", 1364 => "0011011101111101",
1365 => "0011011101110001", 1366 => "0011011101100100", 1367 => "0011011101010111",
1368 => "0011011101001011", 1369 => "0011011100111110", 1370 => "0011011100110001",
1371 => "0011011100100101", 1372 => "0011011100011000", 1373 => "0011011100001011",
1374 => "0011011011111110", 1375 => "0011011011110001", 1376 => "0011011011100101",
1377 => "0011011011011000", 1378 => "0011011011001011", 1379 => "0011011010111110",
1380 => "0011011010110001", 1381 => "0011011010100100", 1382 => "0011011010010110",
1383 => "0011011010001001", 1384 => "0011011001111100", 1385 => "0011011001101111",
1386 => "0011011001100010", 1387 => "0011011001010100", 1388 => "0011011001000111",
1389 => "0011011000111010", 1390 => "0011011000101100", 1391 => "0011011000011111",
1392 => "0011011000010010", 1393 => "0011011000000100", 1394 => "0011010111110111",
1395 => "0011010111101001", 1396 => "0011010111011100", 1397 => "0011010111001110",
1398 => "0011010111000000", 1399 => "0011010110110011", 1400 => "0011010110100101",
1401 => "0011010110010111", 1402 => "0011010110001001", 1403 => "0011010101111100",
1404 => "0011010101101110", 1405 => "0011010101100000", 1406 => "0011010101010010",
1407 => "0011010101000100", 1408 => "0011010100110110", 1409 => "0011010100101000",
1410 => "0011010100011010", 1411 => "0011010100001100", 1412 => "0011010011111110",
1413 => "0011010011110000", 1414 => "0011010011100010", 1415 => "0011010011010100",
1416 => "0011010011000110", 1417 => "0011010010110111", 1418 => "0011010010101001",
1419 => "0011010010011011", 1420 => "0011010010001100", 1421 => "0011010001111110",
1422 => "0011010001110000", 1423 => "0011010001100001", 1424 => "0011010001010011",
1425 => "0011010001000100", 1426 => "0011010000110110", 1427 => "0011010000100111",
1428 => "0011010000011001", 1429 => "0011010000001010", 1430 => "0011001111111011",
1431 => "0011001111101101", 1432 => "0011001111011110", 1433 => "0011001111001111",
1434 => "0011001111000001", 1435 => "0011001110110010", 1436 => "0011001110100011",
1437 => "0011001110010100", 1438 => "0011001110000101", 1439 => "0011001101110110",
1440 => "0011001101100111", 1441 => "0011001101011000", 1442 => "0011001101001001",
1443 => "0011001100111010", 1444 => "0011001100101011", 1445 => "0011001100011100",
1446 => "0011001100001101", 1447 => "0011001011111110", 1448 => "0011001011101110",
1449 => "0011001011011111", 1450 => "0011001011010000", 1451 => "0011001011000001",
1452 => "0011001010110001", 1453 => "0011001010100010", 1454 => "0011001010010011",
1455 => "0011001010000011", 1456 => "0011001001110100", 1457 => "0011001001100100",
1458 => "0011001001010101", 1459 => "0011001001000101", 1460 => "0011001000110110",
1461 => "0011001000100110", 1462 => "0011001000010110", 1463 => "0011001000000111",
1464 => "0011000111110111", 1465 => "0011000111100111", 1466 => "0011000111011000",
1467 => "0011000111001000", 1468 => "0011000110111000", 1469 => "0011000110101000",
1470 => "0011000110011000", 1471 => "0011000110001000", 1472 => "0011000101111001",
1473 => "0011000101101001", 1474 => "0011000101011001", 1475 => "0011000101001001",
1476 => "0011000100111000", 1477 => "0011000100101000", 1478 => "0011000100011000",
1479 => "0011000100001000", 1480 => "0011000011111000", 1481 => "0011000011101000",
1482 => "0011000011011000", 1483 => "0011000011000111", 1484 => "0011000010110111",
1485 => "0011000010100111", 1486 => "0011000010010110", 1487 => "0011000010000110",
1488 => "0011000001110110", 1489 => "0011000001100101", 1490 => "0011000001010101",
1491 => "0011000001000100", 1492 => "0011000000110100", 1493 => "0011000000100011",
1494 => "0011000000010011", 1495 => "0011000000000010", 1496 => "0010111111110001",
1497 => "0010111111100001", 1498 => "0010111111010000", 1499 => "0010111110111111",
1500 => "0010111110101111", 1501 => "0010111110011110", 1502 => "0010111110001101",
1503 => "0010111101111100", 1504 => "0010111101101011", 1505 => "0010111101011010",
1506 => "0010111101001001", 1507 => "0010111100111000", 1508 => "0010111100101000",
1509 => "0010111100010110", 1510 => "0010111100000101", 1511 => "0010111011110100",
1512 => "0010111011100011", 1513 => "0010111011010010", 1514 => "0010111011000001",
1515 => "0010111010110000", 1516 => "0010111010011111", 1517 => "0010111010001101",
1518 => "0010111001111100", 1519 => "0010111001101011", 1520 => "0010111001011010",
1521 => "0010111001001000", 1522 => "0010111000110111", 1523 => "0010111000100101",
1524 => "0010111000010100", 1525 => "0010111000000011", 1526 => "0010110111110001",
1527 => "0010110111100000", 1528 => "0010110111001110", 1529 => "0010110110111100",
1530 => "0010110110101011", 1531 => "0010110110011001", 1532 => "0010110110001000",
1533 => "0010110101110110", 1534 => "0010110101100100", 1535 => "0010110101010010",
1536 => "0010110101000001", 1537 => "0010110100101111", 1538 => "0010110100011101",
1539 => "0010110100001011", 1540 => "0010110011111001", 1541 => "0010110011101000",
1542 => "0010110011010110", 1543 => "0010110011000100", 1544 => "0010110010110010",
1545 => "0010110010100000", 1546 => "0010110010001110", 1547 => "0010110001111100",
1548 => "0010110001101010", 1549 => "0010110001010111", 1550 => "0010110001000101",
1551 => "0010110000110011", 1552 => "0010110000100001", 1553 => "0010110000001111",
1554 => "0010101111111100", 1555 => "0010101111101010", 1556 => "0010101111011000",
1557 => "0010101111000110", 1558 => "0010101110110011", 1559 => "0010101110100001",
1560 => "0010101110001110", 1561 => "0010101101111100", 1562 => "0010101101101010",
1563 => "0010101101010111", 1564 => "0010101101000101", 1565 => "0010101100110010",
1566 => "0010101100100000", 1567 => "0010101100001101", 1568 => "0010101011111010",
1569 => "0010101011101000", 1570 => "0010101011010101", 1571 => "0010101011000010",
1572 => "0010101010110000", 1573 => "0010101010011101", 1574 => "0010101010001010",
1575 => "0010101001110111", 1576 => "0010101001100101", 1577 => "0010101001010010",
1578 => "0010101000111111", 1579 => "0010101000101100", 1580 => "0010101000011001",
1581 => "0010101000000110", 1582 => "0010100111110011", 1583 => "0010100111100000",
1584 => "0010100111001101", 1585 => "0010100110111010", 1586 => "0010100110100111",
1587 => "0010100110010100", 1588 => "0010100110000001", 1589 => "0010100101101110",
1590 => "0010100101011010", 1591 => "0010100101000111", 1592 => "0010100100110100",
1593 => "0010100100100001", 1594 => "0010100100001110", 1595 => "0010100011111010",
1596 => "0010100011100111", 1597 => "0010100011010100", 1598 => "0010100011000000",
1599 => "0010100010101101", 1600 => "0010100010011001", 1601 => "0010100010000110",
1602 => "0010100001110010", 1603 => "0010100001011111", 1604 => "0010100001001011",
1605 => "0010100000111000", 1606 => "0010100000100100", 1607 => "0010100000010001",
1608 => "0010011111111101", 1609 => "0010011111101010", 1610 => "0010011111010110",
1611 => "0010011111000010", 1612 => "0010011110101111", 1613 => "0010011110011011",
1614 => "0010011110000111", 1615 => "0010011101110011", 1616 => "0010011101011111",
1617 => "0010011101001100", 1618 => "0010011100111000", 1619 => "0010011100100100",
1620 => "0010011100010000", 1621 => "0010011011111100", 1622 => "0010011011101000",
1623 => "0010011011010100", 1624 => "0010011011000000", 1625 => "0010011010101100",
1626 => "0010011010011000", 1627 => "0010011010000100", 1628 => "0010011001110000",
1629 => "0010011001011100", 1630 => "0010011001001000", 1631 => "0010011000110100",
1632 => "0010011000011111", 1633 => "0010011000001011", 1634 => "0010010111110111",
1635 => "0010010111100011", 1636 => "0010010111001111", 1637 => "0010010110111010",
1638 => "0010010110100110", 1639 => "0010010110010010", 1640 => "0010010101111101",
1641 => "0010010101101001", 1642 => "0010010101010100", 1643 => "0010010101000000",
1644 => "0010010100101100", 1645 => "0010010100010111", 1646 => "0010010100000011",
1647 => "0010010011101110", 1648 => "0010010011011010", 1649 => "0010010011000101",
1650 => "0010010010110000", 1651 => "0010010010011100", 1652 => "0010010010000111",
1653 => "0010010001110011", 1654 => "0010010001011110", 1655 => "0010010001001001",
1656 => "0010010000110100", 1657 => "0010010000100000", 1658 => "0010010000001011",
1659 => "0010001111110110", 1660 => "0010001111100001", 1661 => "0010001111001101",
1662 => "0010001110111000", 1663 => "0010001110100011", 1664 => "0010001110001110",
1665 => "0010001101111001", 1666 => "0010001101100100", 1667 => "0010001101001111",
1668 => "0010001100111010", 1669 => "0010001100100101", 1670 => "0010001100010000",
1671 => "0010001011111011", 1672 => "0010001011100110", 1673 => "0010001011010001",
1674 => "0010001010111100", 1675 => "0010001010100111", 1676 => "0010001010010010",
1677 => "0010001001111101", 1678 => "0010001001100111", 1679 => "0010001001010010",
1680 => "0010001000111101", 1681 => "0010001000101000", 1682 => "0010001000010010",
1683 => "0010000111111101", 1684 => "0010000111101000", 1685 => "0010000111010010",
1686 => "0010000110111101", 1687 => "0010000110101000", 1688 => "0010000110010010",
1689 => "0010000101111101", 1690 => "0010000101101000", 1691 => "0010000101010010",
1692 => "0010000100111101", 1693 => "0010000100100111", 1694 => "0010000100010010",
1695 => "0010000011111100", 1696 => "0010000011100111", 1697 => "0010000011010001",
1698 => "0010000010111011", 1699 => "0010000010100110", 1700 => "0010000010010000",
1701 => "0010000001111011", 1702 => "0010000001100101", 1703 => "0010000001001111",
1704 => "0010000000111001", 1705 => "0010000000100100", 1706 => "0010000000001110",
1707 => "0001111111111000", 1708 => "0001111111100010", 1709 => "0001111111001101",
1710 => "0001111110110111", 1711 => "0001111110100001", 1712 => "0001111110001011",
1713 => "0001111101110101", 1714 => "0001111101011111", 1715 => "0001111101001001",
1716 => "0001111100110100", 1717 => "0001111100011110", 1718 => "0001111100001000",
1719 => "0001111011110010", 1720 => "0001111011011100", 1721 => "0001111011000110",
1722 => "0001111010110000", 1723 => "0001111010011001", 1724 => "0001111010000011",
1725 => "0001111001101101", 1726 => "0001111001010111", 1727 => "0001111001000001",
1728 => "0001111000101011", 1729 => "0001111000010101", 1730 => "0001110111111110",
1731 => "0001110111101000", 1732 => "0001110111010010", 1733 => "0001110110111100",
1734 => "0001110110100110", 1735 => "0001110110001111", 1736 => "0001110101111001",
1737 => "0001110101100011", 1738 => "0001110101001100", 1739 => "0001110100110110",
1740 => "0001110100100000", 1741 => "0001110100001001", 1742 => "0001110011110011",
1743 => "0001110011011100", 1744 => "0001110011000110", 1745 => "0001110010101111",
1746 => "0001110010011001", 1747 => "0001110010000011", 1748 => "0001110001101100",
1749 => "0001110001010101", 1750 => "0001110000111111", 1751 => "0001110000101000",
1752 => "0001110000010010", 1753 => "0001101111111011", 1754 => "0001101111100101",
1755 => "0001101111001110", 1756 => "0001101110110111", 1757 => "0001101110100001",
1758 => "0001101110001010", 1759 => "0001101101110011", 1760 => "0001101101011101",
1761 => "0001101101000110", 1762 => "0001101100101111", 1763 => "0001101100011000",
1764 => "0001101100000010", 1765 => "0001101011101011", 1766 => "0001101011010100",
1767 => "0001101010111101", 1768 => "0001101010100110", 1769 => "0001101010001111",
1770 => "0001101001111001", 1771 => "0001101001100010", 1772 => "0001101001001011",
1773 => "0001101000110100", 1774 => "0001101000011101", 1775 => "0001101000000110",
1776 => "0001100111101111", 1777 => "0001100111011000", 1778 => "0001100111000001",
1779 => "0001100110101010", 1780 => "0001100110010011", 1781 => "0001100101111100",
1782 => "0001100101100101", 1783 => "0001100101001110", 1784 => "0001100100110111",
1785 => "0001100100100000", 1786 => "0001100100001000", 1787 => "0001100011110001",
1788 => "0001100011011010", 1789 => "0001100011000011", 1790 => "0001100010101100",
1791 => "0001100010010101", 1792 => "0001100001111101", 1793 => "0001100001100110",
1794 => "0001100001001111", 1795 => "0001100000111000", 1796 => "0001100000100000",
1797 => "0001100000001001", 1798 => "0001011111110010", 1799 => "0001011111011010",
1800 => "0001011111000011", 1801 => "0001011110101100", 1802 => "0001011110010100",
1803 => "0001011101111101", 1804 => "0001011101100110", 1805 => "0001011101001110",
1806 => "0001011100110111", 1807 => "0001011100011111", 1808 => "0001011100001000",
1809 => "0001011011110001", 1810 => "0001011011011001", 1811 => "0001011011000010",
1812 => "0001011010101010", 1813 => "0001011010010011", 1814 => "0001011001111011",
1815 => "0001011001100100", 1816 => "0001011001001100", 1817 => "0001011000110100",
1818 => "0001011000011101", 1819 => "0001011000000101", 1820 => "0001010111101110",
1821 => "0001010111010110", 1822 => "0001010110111110", 1823 => "0001010110100111",
1824 => "0001010110001111", 1825 => "0001010101110111", 1826 => "0001010101100000",
1827 => "0001010101001000", 1828 => "0001010100110000", 1829 => "0001010100011001",
1830 => "0001010100000001", 1831 => "0001010011101001", 1832 => "0001010011010001",
1833 => "0001010010111010", 1834 => "0001010010100010", 1835 => "0001010010001010",
1836 => "0001010001110010", 1837 => "0001010001011010", 1838 => "0001010001000011",
1839 => "0001010000101011", 1840 => "0001010000010011", 1841 => "0001001111111011",
1842 => "0001001111100011", 1843 => "0001001111001011", 1844 => "0001001110110011",
1845 => "0001001110011011", 1846 => "0001001110000011", 1847 => "0001001101101100",
1848 => "0001001101010100", 1849 => "0001001100111100", 1850 => "0001001100100100",
1851 => "0001001100001100", 1852 => "0001001011110100", 1853 => "0001001011011100",
1854 => "0001001011000100", 1855 => "0001001010101100", 1856 => "0001001010010100",
1857 => "0001001001111011", 1858 => "0001001001100011", 1859 => "0001001001001011",
1860 => "0001001000110011", 1861 => "0001001000011011", 1862 => "0001001000000011",
1863 => "0001000111101011", 1864 => "0001000111010011", 1865 => "0001000110111011",
1866 => "0001000110100010", 1867 => "0001000110001010", 1868 => "0001000101110010",
1869 => "0001000101011010", 1870 => "0001000101000010", 1871 => "0001000100101010",
1872 => "0001000100010001", 1873 => "0001000011111001", 1874 => "0001000011100001",
1875 => "0001000011001001", 1876 => "0001000010110000", 1877 => "0001000010011000",
1878 => "0001000010000000", 1879 => "0001000001101000", 1880 => "0001000001001111",
1881 => "0001000000110111", 1882 => "0001000000011111", 1883 => "0001000000000110",
1884 => "0000111111101110", 1885 => "0000111111010110", 1886 => "0000111110111101",
1887 => "0000111110100101", 1888 => "0000111110001100", 1889 => "0000111101110100",
1890 => "0000111101011100", 1891 => "0000111101000011", 1892 => "0000111100101011",
1893 => "0000111100010010", 1894 => "0000111011111010", 1895 => "0000111011100010",
1896 => "0000111011001001", 1897 => "0000111010110001", 1898 => "0000111010011000",
1899 => "0000111010000000", 1900 => "0000111001100111", 1901 => "0000111001001111",
1902 => "0000111000110110", 1903 => "0000111000011110", 1904 => "0000111000000101",
1905 => "0000110111101101", 1906 => "0000110111010100", 1907 => "0000110110111100",
1908 => "0000110110100011", 1909 => "0000110110001011", 1910 => "0000110101110010",
1911 => "0000110101011001", 1912 => "0000110101000001", 1913 => "0000110100101000",
1914 => "0000110100010000", 1915 => "0000110011110111", 1916 => "0000110011011110",
1917 => "0000110011000110", 1918 => "0000110010101101", 1919 => "0000110010010101",
1920 => "0000110001111100", 1921 => "0000110001100011", 1922 => "0000110001001011",
1923 => "0000110000110010", 1924 => "0000110000011001", 1925 => "0000110000000001",
1926 => "0000101111101000", 1927 => "0000101111001111", 1928 => "0000101110110110",
1929 => "0000101110011110", 1930 => "0000101110000101", 1931 => "0000101101101100",
1932 => "0000101101010100", 1933 => "0000101100111011", 1934 => "0000101100100010",
1935 => "0000101100001001", 1936 => "0000101011110001", 1937 => "0000101011011000",
1938 => "0000101010111111", 1939 => "0000101010100110", 1940 => "0000101010001101",
1941 => "0000101001110101", 1942 => "0000101001011100", 1943 => "0000101001000011",
1944 => "0000101000101010", 1945 => "0000101000010001", 1946 => "0000100111111001",
1947 => "0000100111100000", 1948 => "0000100111000111", 1949 => "0000100110101110",
1950 => "0000100110010101", 1951 => "0000100101111100", 1952 => "0000100101100100",
1953 => "0000100101001011", 1954 => "0000100100110010", 1955 => "0000100100011001",
1956 => "0000100100000000", 1957 => "0000100011100111", 1958 => "0000100011001110",
1959 => "0000100010110101", 1960 => "0000100010011100", 1961 => "0000100010000100",
1962 => "0000100001101011", 1963 => "0000100001010010", 1964 => "0000100000111001",
1965 => "0000100000100000", 1966 => "0000100000000111", 1967 => "0000011111101110",
1968 => "0000011111010101", 1969 => "0000011110111100", 1970 => "0000011110100011",
1971 => "0000011110001010", 1972 => "0000011101110001", 1973 => "0000011101011000",
1974 => "0000011100111111", 1975 => "0000011100100110", 1976 => "0000011100001101",
1977 => "0000011011110100", 1978 => "0000011011011011", 1979 => "0000011011000010",
1980 => "0000011010101001", 1981 => "0000011010010000", 1982 => "0000011001110111",
1983 => "0000011001011110", 1984 => "0000011001000101", 1985 => "0000011000101100",
1986 => "0000011000010011", 1987 => "0000010111111010", 1988 => "0000010111100001",
1989 => "0000010111001000", 1990 => "0000010110101111", 1991 => "0000010110010110",
1992 => "0000010101111101", 1993 => "0000010101100100", 1994 => "0000010101001011",
1995 => "0000010100110010", 1996 => "0000010100011001", 1997 => "0000010100000000",
1998 => "0000010011100111", 1999 => "0000010011001110", 2000 => "0000010010110101",
2001 => "0000010010011100", 2002 => "0000010010000011", 2003 => "0000010001101010",
2004 => "0000010001010001", 2005 => "0000010000110111", 2006 => "0000010000011110",
2007 => "0000010000000101", 2008 => "0000001111101100", 2009 => "0000001111010011",
2010 => "0000001110111010", 2011 => "0000001110100001", 2012 => "0000001110001000",
2013 => "0000001101101111", 2014 => "0000001101010110", 2015 => "0000001100111101",
2016 => "0000001100100011", 2017 => "0000001100001010", 2018 => "0000001011110001",
2019 => "0000001011011000", 2020 => "0000001010111111", 2021 => "0000001010100110",
2022 => "0000001010001101", 2023 => "0000001001110100", 2024 => "0000001001011011",
2025 => "0000001001000001", 2026 => "0000001000101000", 2027 => "0000001000001111",
2028 => "0000000111110110", 2029 => "0000000111011101", 2030 => "0000000111000100",
2031 => "0000000110101011", 2032 => "0000000110010010", 2033 => "0000000101111000",
2034 => "0000000101011111", 2035 => "0000000101000110", 2036 => "0000000100101101",
2037 => "0000000100010100", 2038 => "0000000011111011", 2039 => "0000000011100010",
2040 => "0000000011001001", 2041 => "0000000010101111", 2042 => "0000000010010110",
2043 => "0000000001111101", 2044 => "0000000001100100", 2045 => "0000000001001011",
2046 => "0000000000110010", 2047 => "0000000000011001", 2048 => "0000000000000000",
2049 => "1111111111100110", 2050 => "1111111111001101", 2051 => "1111111110110100",
2052 => "1111111110011011", 2053 => "1111111110000010", 2054 => "1111111101101001",
2055 => "1111111101010000", 2056 => "1111111100110110", 2057 => "1111111100011101",
2058 => "1111111100000100", 2059 => "1111111011101011", 2060 => "1111111011010010",
2061 => "1111111010111001", 2062 => "1111111010100000", 2063 => "1111111010000111",
2064 => "1111111001101101", 2065 => "1111111001010100", 2066 => "1111111000111011",
2067 => "1111111000100010", 2068 => "1111111000001001", 2069 => "1111110111110000",
2070 => "1111110111010111", 2071 => "1111110110111110", 2072 => "1111110110100100",
2073 => "1111110110001011", 2074 => "1111110101110010", 2075 => "1111110101011001",
2076 => "1111110101000000", 2077 => "1111110100100111", 2078 => "1111110100001110",
2079 => "1111110011110101", 2080 => "1111110011011100", 2081 => "1111110011000010",
2082 => "1111110010101001", 2083 => "1111110010010000", 2084 => "1111110001110111",
2085 => "1111110001011110", 2086 => "1111110001000101", 2087 => "1111110000101100",
2088 => "1111110000010011", 2089 => "1111101111111010", 2090 => "1111101111100001",
2091 => "1111101111001000", 2092 => "1111101110101110", 2093 => "1111101110010101",
2094 => "1111101101111100", 2095 => "1111101101100011", 2096 => "1111101101001010",
2097 => "1111101100110001", 2098 => "1111101100011000", 2099 => "1111101011111111",
2100 => "1111101011100110", 2101 => "1111101011001101", 2102 => "1111101010110100",
2103 => "1111101010011011", 2104 => "1111101010000010", 2105 => "1111101001101001",
2106 => "1111101001010000", 2107 => "1111101000110111", 2108 => "1111101000011110",
2109 => "1111101000000101", 2110 => "1111100111101100", 2111 => "1111100111010011",
2112 => "1111100110111010", 2113 => "1111100110100001", 2114 => "1111100110001000",
2115 => "1111100101101111", 2116 => "1111100101010110", 2117 => "1111100100111101",
2118 => "1111100100100100", 2119 => "1111100100001011", 2120 => "1111100011110010",
2121 => "1111100011011001", 2122 => "1111100011000000", 2123 => "1111100010100111",
2124 => "1111100010001110", 2125 => "1111100001110101", 2126 => "1111100001011100",
2127 => "1111100001000011", 2128 => "1111100000101010", 2129 => "1111100000010001",
2130 => "1111011111111000", 2131 => "1111011111011111", 2132 => "1111011111000110",
2133 => "1111011110101101", 2134 => "1111011110010100", 2135 => "1111011101111011",
2136 => "1111011101100011", 2137 => "1111011101001010", 2138 => "1111011100110001",
2139 => "1111011100011000", 2140 => "1111011011111111", 2141 => "1111011011100110",
2142 => "1111011011001101", 2143 => "1111011010110100", 2144 => "1111011010011011",
2145 => "1111011010000011", 2146 => "1111011001101010", 2147 => "1111011001010001",
2148 => "1111011000111000", 2149 => "1111011000011111", 2150 => "1111011000000110",
2151 => "1111010111101110", 2152 => "1111010111010101", 2153 => "1111010110111100",
2154 => "1111010110100011", 2155 => "1111010110001010", 2156 => "1111010101110010",
2157 => "1111010101011001", 2158 => "1111010101000000", 2159 => "1111010100100111",
2160 => "1111010100001110", 2161 => "1111010011110110", 2162 => "1111010011011101",
2163 => "1111010011000100", 2164 => "1111010010101011", 2165 => "1111010010010011",
2166 => "1111010001111010", 2167 => "1111010001100001", 2168 => "1111010001001001",
2169 => "1111010000110000", 2170 => "1111010000010111", 2171 => "1111001111111110",
2172 => "1111001111100110", 2173 => "1111001111001101", 2174 => "1111001110110100",
2175 => "1111001110011100", 2176 => "1111001110000011", 2177 => "1111001101101010",
2178 => "1111001101010010", 2179 => "1111001100111001", 2180 => "1111001100100001",
2181 => "1111001100001000", 2182 => "1111001011101111", 2183 => "1111001011010111",
2184 => "1111001010111110", 2185 => "1111001010100110", 2186 => "1111001010001101",
2187 => "1111001001110100", 2188 => "1111001001011100", 2189 => "1111001001000011",
2190 => "1111001000101011", 2191 => "1111001000010010", 2192 => "1111000111111010",
2193 => "1111000111100001", 2194 => "1111000111001001", 2195 => "1111000110110000",
2196 => "1111000110011000", 2197 => "1111000101111111", 2198 => "1111000101100111",
2199 => "1111000101001110", 2200 => "1111000100110110", 2201 => "1111000100011101",
2202 => "1111000100000101", 2203 => "1111000011101101", 2204 => "1111000011010100",
2205 => "1111000010111100", 2206 => "1111000010100011", 2207 => "1111000010001011",
2208 => "1111000001110011", 2209 => "1111000001011010", 2210 => "1111000001000010",
2211 => "1111000000101001", 2212 => "1111000000010001", 2213 => "1110111111111001",
2214 => "1110111111100000", 2215 => "1110111111001000", 2216 => "1110111110110000",
2217 => "1110111110010111", 2218 => "1110111101111111", 2219 => "1110111101100111",
2220 => "1110111101001111", 2221 => "1110111100110110", 2222 => "1110111100011110",
2223 => "1110111100000110", 2224 => "1110111011101110", 2225 => "1110111011010101",
2226 => "1110111010111101", 2227 => "1110111010100101", 2228 => "1110111010001101",
2229 => "1110111001110101", 2230 => "1110111001011101", 2231 => "1110111001000100",
2232 => "1110111000101100", 2233 => "1110111000010100", 2234 => "1110110111111100",
2235 => "1110110111100100", 2236 => "1110110111001100", 2237 => "1110110110110100",
2238 => "1110110110011100", 2239 => "1110110110000100", 2240 => "1110110101101011",
2241 => "1110110101010011", 2242 => "1110110100111011", 2243 => "1110110100100011",
2244 => "1110110100001011", 2245 => "1110110011110011", 2246 => "1110110011011011",
2247 => "1110110011000011", 2248 => "1110110010101011", 2249 => "1110110010010011",
2250 => "1110110001111100", 2251 => "1110110001100100", 2252 => "1110110001001100",
2253 => "1110110000110100", 2254 => "1110110000011100", 2255 => "1110110000000100",
2256 => "1110101111101100", 2257 => "1110101111010100", 2258 => "1110101110111100",
2259 => "1110101110100101", 2260 => "1110101110001101", 2261 => "1110101101110101",
2262 => "1110101101011101", 2263 => "1110101101000101", 2264 => "1110101100101110",
2265 => "1110101100010110", 2266 => "1110101011111110", 2267 => "1110101011100110",
2268 => "1110101011001111", 2269 => "1110101010110111", 2270 => "1110101010011111",
2271 => "1110101010001000", 2272 => "1110101001110000", 2273 => "1110101001011000",
2274 => "1110101001000001", 2275 => "1110101000101001", 2276 => "1110101000010001",
2277 => "1110100111111010", 2278 => "1110100111100010", 2279 => "1110100111001011",
2280 => "1110100110110011", 2281 => "1110100110011011", 2282 => "1110100110000100",
2283 => "1110100101101100", 2284 => "1110100101010101", 2285 => "1110100100111101",
2286 => "1110100100100110", 2287 => "1110100100001110", 2288 => "1110100011110111",
2289 => "1110100011100000", 2290 => "1110100011001000", 2291 => "1110100010110001",
2292 => "1110100010011001", 2293 => "1110100010000010", 2294 => "1110100001101011",
2295 => "1110100001010011", 2296 => "1110100000111100", 2297 => "1110100000100101",
2298 => "1110100000001101", 2299 => "1110011111110110", 2300 => "1110011111011111",
2301 => "1110011111000111", 2302 => "1110011110110000", 2303 => "1110011110011001",
2304 => "1110011110000010", 2305 => "1110011101101010", 2306 => "1110011101010011",
2307 => "1110011100111100", 2308 => "1110011100100101", 2309 => "1110011100001110",
2310 => "1110011011110111", 2311 => "1110011011011111", 2312 => "1110011011001000",
2313 => "1110011010110001", 2314 => "1110011010011010", 2315 => "1110011010000011",
2316 => "1110011001101100", 2317 => "1110011001010101", 2318 => "1110011000111110",
2319 => "1110011000100111", 2320 => "1110011000010000", 2321 => "1110010111111001",
2322 => "1110010111100010", 2323 => "1110010111001011", 2324 => "1110010110110100",
2325 => "1110010110011101", 2326 => "1110010110000110", 2327 => "1110010101110000",
2328 => "1110010101011001", 2329 => "1110010101000010", 2330 => "1110010100101011",
2331 => "1110010100010100", 2332 => "1110010011111101", 2333 => "1110010011100111",
2334 => "1110010011010000", 2335 => "1110010010111001", 2336 => "1110010010100010",
2337 => "1110010010001100", 2338 => "1110010001110101", 2339 => "1110010001011110",
2340 => "1110010001001000", 2341 => "1110010000110001", 2342 => "1110010000011010",
2343 => "1110010000000100", 2344 => "1110001111101101", 2345 => "1110001111010111",
2346 => "1110001111000000", 2347 => "1110001110101010", 2348 => "1110001110010011",
2349 => "1110001101111100", 2350 => "1110001101100110", 2351 => "1110001101010000",
2352 => "1110001100111001", 2353 => "1110001100100011", 2354 => "1110001100001100",
2355 => "1110001011110110", 2356 => "1110001011011111", 2357 => "1110001011001001",
2358 => "1110001010110011", 2359 => "1110001010011100", 2360 => "1110001010000110",
2361 => "1110001001110000", 2362 => "1110001001011001", 2363 => "1110001001000011",
2364 => "1110001000101101", 2365 => "1110001000010111", 2366 => "1110001000000001",
2367 => "1110000111101010", 2368 => "1110000111010100", 2369 => "1110000110111110",
2370 => "1110000110101000", 2371 => "1110000110010010", 2372 => "1110000101111100",
2373 => "1110000101100110", 2374 => "1110000101001111", 2375 => "1110000100111001",
2376 => "1110000100100011", 2377 => "1110000100001101", 2378 => "1110000011110111",
2379 => "1110000011100001", 2380 => "1110000011001011", 2381 => "1110000010110110",
2382 => "1110000010100000", 2383 => "1110000010001010", 2384 => "1110000001110100",
2385 => "1110000001011110", 2386 => "1110000001001000", 2387 => "1110000000110010",
2388 => "1110000000011101", 2389 => "1110000000000111", 2390 => "1101111111110001",
2391 => "1101111111011011", 2392 => "1101111111000110", 2393 => "1101111110110000",
2394 => "1101111110011010", 2395 => "1101111110000100", 2396 => "1101111101101111",
2397 => "1101111101011001", 2398 => "1101111101000100", 2399 => "1101111100101110",
2400 => "1101111100011000", 2401 => "1101111100000011", 2402 => "1101111011101101",
2403 => "1101111011011000", 2404 => "1101111011000010", 2405 => "1101111010101101",
2406 => "1101111010010111", 2407 => "1101111010000010", 2408 => "1101111001101101",
2409 => "1101111001010111", 2410 => "1101111001000010", 2411 => "1101111000101101",
2412 => "1101111000010111", 2413 => "1101111000000010", 2414 => "1101110111101101",
2415 => "1101110111010111", 2416 => "1101110111000010", 2417 => "1101110110101101",
2418 => "1101110110011000", 2419 => "1101110110000010", 2420 => "1101110101101101",
2421 => "1101110101011000", 2422 => "1101110101000011", 2423 => "1101110100101110",
2424 => "1101110100011001", 2425 => "1101110100000100", 2426 => "1101110011101111",
2427 => "1101110011011010", 2428 => "1101110011000101", 2429 => "1101110010110000",
2430 => "1101110010011011", 2431 => "1101110010000110", 2432 => "1101110001110001",
2433 => "1101110001011100", 2434 => "1101110001000111", 2435 => "1101110000110010",
2436 => "1101110000011110", 2437 => "1101110000001001", 2438 => "1101101111110100",
2439 => "1101101111011111", 2440 => "1101101111001011", 2441 => "1101101110110110",
2442 => "1101101110100001", 2443 => "1101101110001100", 2444 => "1101101101111000",
2445 => "1101101101100011", 2446 => "1101101101001111", 2447 => "1101101100111010",
2448 => "1101101100100101", 2449 => "1101101100010001", 2450 => "1101101011111100",
2451 => "1101101011101000", 2452 => "1101101011010011", 2453 => "1101101010111111",
2454 => "1101101010101011", 2455 => "1101101010010110", 2456 => "1101101010000010",
2457 => "1101101001101101", 2458 => "1101101001011001", 2459 => "1101101001000101",
2460 => "1101101000110000", 2461 => "1101101000011100", 2462 => "1101101000001000",
2463 => "1101100111110100", 2464 => "1101100111100000", 2465 => "1101100111001011",
2466 => "1101100110110111", 2467 => "1101100110100011", 2468 => "1101100110001111",
2469 => "1101100101111011", 2470 => "1101100101100111", 2471 => "1101100101010011",
2472 => "1101100100111111", 2473 => "1101100100101011", 2474 => "1101100100010111",
2475 => "1101100100000011", 2476 => "1101100011101111", 2477 => "1101100011011011",
2478 => "1101100011000111", 2479 => "1101100010110011", 2480 => "1101100010100000",
2481 => "1101100010001100", 2482 => "1101100001111000", 2483 => "1101100001100100",
2484 => "1101100001010000", 2485 => "1101100000111101", 2486 => "1101100000101001",
2487 => "1101100000010101", 2488 => "1101100000000010", 2489 => "1101011111101110",
2490 => "1101011111011011", 2491 => "1101011111000111", 2492 => "1101011110110100",
2493 => "1101011110100000", 2494 => "1101011110001101", 2495 => "1101011101111001",
2496 => "1101011101100110", 2497 => "1101011101010010", 2498 => "1101011100111111",
2499 => "1101011100101011", 2500 => "1101011100011000", 2501 => "1101011100000101",
2502 => "1101011011110001", 2503 => "1101011011011110", 2504 => "1101011011001011",
2505 => "1101011010111000", 2506 => "1101011010100101", 2507 => "1101011010010001",
2508 => "1101011001111110", 2509 => "1101011001101011", 2510 => "1101011001011000",
2511 => "1101011001000101", 2512 => "1101011000110010", 2513 => "1101011000011111",
2514 => "1101011000001100", 2515 => "1101010111111001", 2516 => "1101010111100110",
2517 => "1101010111010011", 2518 => "1101010111000000", 2519 => "1101010110101101",
2520 => "1101010110011010", 2521 => "1101010110001000", 2522 => "1101010101110101",
2523 => "1101010101100010", 2524 => "1101010101001111", 2525 => "1101010100111101",
2526 => "1101010100101010", 2527 => "1101010100010111", 2528 => "1101010100000101",
2529 => "1101010011110010", 2530 => "1101010011011111", 2531 => "1101010011001101",
2532 => "1101010010111010", 2533 => "1101010010101000", 2534 => "1101010010010101",
2535 => "1101010010000011", 2536 => "1101010001110001", 2537 => "1101010001011110",
2538 => "1101010001001100", 2539 => "1101010000111001", 2540 => "1101010000100111",
2541 => "1101010000010101", 2542 => "1101010000000011", 2543 => "1101001111110000",
2544 => "1101001111011110", 2545 => "1101001111001100", 2546 => "1101001110111010",
2547 => "1101001110101000", 2548 => "1101001110010101", 2549 => "1101001110000011",
2550 => "1101001101110001", 2551 => "1101001101011111", 2552 => "1101001101001101",
2553 => "1101001100111011", 2554 => "1101001100101001", 2555 => "1101001100010111",
2556 => "1101001100000110", 2557 => "1101001011110100", 2558 => "1101001011100010",
2559 => "1101001011010000", 2560 => "1101001010111110", 2561 => "1101001010101101",
2562 => "1101001010011011", 2563 => "1101001010001001", 2564 => "1101001001110111",
2565 => "1101001001100110", 2566 => "1101001001010100", 2567 => "1101001001000011",
2568 => "1101001000110001", 2569 => "1101001000011111", 2570 => "1101001000001110",
2571 => "1101000111111100", 2572 => "1101000111101011", 2573 => "1101000111011010",
2574 => "1101000111001000", 2575 => "1101000110110111", 2576 => "1101000110100101",
2577 => "1101000110010100", 2578 => "1101000110000011", 2579 => "1101000101110010",
2580 => "1101000101100000", 2581 => "1101000101001111", 2582 => "1101000100111110",
2583 => "1101000100101101", 2584 => "1101000100011100", 2585 => "1101000100001011",
2586 => "1101000011111010", 2587 => "1101000011101001", 2588 => "1101000011010111",
2589 => "1101000011000111", 2590 => "1101000010110110", 2591 => "1101000010100101",
2592 => "1101000010010100", 2593 => "1101000010000011", 2594 => "1101000001110010",
2595 => "1101000001100001", 2596 => "1101000001010000", 2597 => "1101000001000000",
2598 => "1101000000101111", 2599 => "1101000000011110", 2600 => "1101000000001110",
2601 => "1100111111111101", 2602 => "1100111111101100", 2603 => "1100111111011100",
2604 => "1100111111001011", 2605 => "1100111110111011", 2606 => "1100111110101010",
2607 => "1100111110011010", 2608 => "1100111110001001", 2609 => "1100111101111001",
2610 => "1100111101101001", 2611 => "1100111101011000", 2612 => "1100111101001000",
2613 => "1100111100111000", 2614 => "1100111100100111", 2615 => "1100111100010111",
2616 => "1100111100000111", 2617 => "1100111011110111", 2618 => "1100111011100111",
2619 => "1100111011010111", 2620 => "1100111011000111", 2621 => "1100111010110110",
2622 => "1100111010100110", 2623 => "1100111010010110", 2624 => "1100111010000110",
2625 => "1100111001110111", 2626 => "1100111001100111", 2627 => "1100111001010111",
2628 => "1100111001000111", 2629 => "1100111000110111", 2630 => "1100111000100111",
2631 => "1100111000011000", 2632 => "1100111000001000", 2633 => "1100110111111000",
2634 => "1100110111101001", 2635 => "1100110111011001", 2636 => "1100110111001001",
2637 => "1100110110111010", 2638 => "1100110110101010", 2639 => "1100110110011011",
2640 => "1100110110001011", 2641 => "1100110101111100", 2642 => "1100110101101100",
2643 => "1100110101011101", 2644 => "1100110101001110", 2645 => "1100110100111110",
2646 => "1100110100101111", 2647 => "1100110100100000", 2648 => "1100110100010001",
2649 => "1100110100000001", 2650 => "1100110011110010", 2651 => "1100110011100011",
2652 => "1100110011010100", 2653 => "1100110011000101", 2654 => "1100110010110110",
2655 => "1100110010100111", 2656 => "1100110010011000", 2657 => "1100110010001001",
2658 => "1100110001111010", 2659 => "1100110001101011", 2660 => "1100110001011100",
2661 => "1100110001001101", 2662 => "1100110000111110", 2663 => "1100110000110000",
2664 => "1100110000100001", 2665 => "1100110000010010", 2666 => "1100110000000100",
2667 => "1100101111110101", 2668 => "1100101111100110", 2669 => "1100101111011000",
2670 => "1100101111001001", 2671 => "1100101110111011", 2672 => "1100101110101100",
2673 => "1100101110011110", 2674 => "1100101110001111", 2675 => "1100101110000001",
2676 => "1100101101110011", 2677 => "1100101101100100", 2678 => "1100101101010110",
2679 => "1100101101001000", 2680 => "1100101100111001", 2681 => "1100101100101011",
2682 => "1100101100011101", 2683 => "1100101100001111", 2684 => "1100101100000001",
2685 => "1100101011110011", 2686 => "1100101011100101", 2687 => "1100101011010111",
2688 => "1100101011001001", 2689 => "1100101010111011", 2690 => "1100101010101101",
2691 => "1100101010011111", 2692 => "1100101010010001", 2693 => "1100101010000011",
2694 => "1100101001110110", 2695 => "1100101001101000", 2696 => "1100101001011010",
2697 => "1100101001001100", 2698 => "1100101000111111", 2699 => "1100101000110001",
2700 => "1100101000100011", 2701 => "1100101000010110", 2702 => "1100101000001000",
2703 => "1100100111111011", 2704 => "1100100111101101", 2705 => "1100100111100000",
2706 => "1100100111010011", 2707 => "1100100111000101", 2708 => "1100100110111000",
2709 => "1100100110101011", 2710 => "1100100110011101", 2711 => "1100100110010000",
2712 => "1100100110000011", 2713 => "1100100101110110", 2714 => "1100100101101001",
2715 => "1100100101011011", 2716 => "1100100101001110", 2717 => "1100100101000001",
2718 => "1100100100110100", 2719 => "1100100100100111", 2720 => "1100100100011010",
2721 => "1100100100001110", 2722 => "1100100100000001", 2723 => "1100100011110100",
2724 => "1100100011100111", 2725 => "1100100011011010", 2726 => "1100100011001110",
2727 => "1100100011000001", 2728 => "1100100010110100", 2729 => "1100100010101000",
2730 => "1100100010011011", 2731 => "1100100010001110", 2732 => "1100100010000010",
2733 => "1100100001110101", 2734 => "1100100001101001", 2735 => "1100100001011100",
2736 => "1100100001010000", 2737 => "1100100001000100", 2738 => "1100100000110111",
2739 => "1100100000101011", 2740 => "1100100000011111", 2741 => "1100100000010010",
2742 => "1100100000000110", 2743 => "1100011111111010", 2744 => "1100011111101110",
2745 => "1100011111100010", 2746 => "1100011111010110", 2747 => "1100011111001010",
2748 => "1100011110111110", 2749 => "1100011110110010", 2750 => "1100011110100110",
2751 => "1100011110011010", 2752 => "1100011110001110", 2753 => "1100011110000010",
2754 => "1100011101110110", 2755 => "1100011101101011", 2756 => "1100011101011111",
2757 => "1100011101010011", 2758 => "1100011101001000", 2759 => "1100011100111100",
2760 => "1100011100110000", 2761 => "1100011100100101", 2762 => "1100011100011001",
2763 => "1100011100001110", 2764 => "1100011100000010", 2765 => "1100011011110111",
2766 => "1100011011101100", 2767 => "1100011011100000", 2768 => "1100011011010101",
2769 => "1100011011001010", 2770 => "1100011010111110", 2771 => "1100011010110011",
2772 => "1100011010101000", 2773 => "1100011010011101", 2774 => "1100011010010010",
2775 => "1100011010000111", 2776 => "1100011001111100", 2777 => "1100011001110001",
2778 => "1100011001100110", 2779 => "1100011001011011", 2780 => "1100011001010000",
2781 => "1100011001000101", 2782 => "1100011000111010", 2783 => "1100011000101111",
2784 => "1100011000100101", 2785 => "1100011000011010", 2786 => "1100011000001111",
2787 => "1100011000000100", 2788 => "1100010111111010", 2789 => "1100010111101111",
2790 => "1100010111100101", 2791 => "1100010111011010", 2792 => "1100010111010000",
2793 => "1100010111000101", 2794 => "1100010110111011", 2795 => "1100010110110000",
2796 => "1100010110100110", 2797 => "1100010110011100", 2798 => "1100010110010010",
2799 => "1100010110000111", 2800 => "1100010101111101", 2801 => "1100010101110011",
2802 => "1100010101101001", 2803 => "1100010101011111", 2804 => "1100010101010101",
2805 => "1100010101001011", 2806 => "1100010101000001", 2807 => "1100010100110111",
2808 => "1100010100101101", 2809 => "1100010100100011", 2810 => "1100010100011001",
2811 => "1100010100001111", 2812 => "1100010100000101", 2813 => "1100010011111100",
2814 => "1100010011110010", 2815 => "1100010011101000", 2816 => "1100010011011111",
2817 => "1100010011010101", 2818 => "1100010011001011", 2819 => "1100010011000010",
2820 => "1100010010111000", 2821 => "1100010010101111", 2822 => "1100010010100110",
2823 => "1100010010011100", 2824 => "1100010010010011", 2825 => "1100010010001010",
2826 => "1100010010000000", 2827 => "1100010001110111", 2828 => "1100010001101110",
2829 => "1100010001100101", 2830 => "1100010001011100", 2831 => "1100010001010010",
2832 => "1100010001001001", 2833 => "1100010001000000", 2834 => "1100010000110111",
2835 => "1100010000101110", 2836 => "1100010000100101", 2837 => "1100010000011101",
2838 => "1100010000010100", 2839 => "1100010000001011", 2840 => "1100010000000010",
2841 => "1100001111111001", 2842 => "1100001111110001", 2843 => "1100001111101000",
2844 => "1100001111011111", 2845 => "1100001111010111", 2846 => "1100001111001110",
2847 => "1100001111000110", 2848 => "1100001110111101", 2849 => "1100001110110101",
2850 => "1100001110101100", 2851 => "1100001110100100", 2852 => "1100001110011100",
2853 => "1100001110010011", 2854 => "1100001110001011", 2855 => "1100001110000011",
2856 => "1100001101111011", 2857 => "1100001101110011", 2858 => "1100001101101010",
2859 => "1100001101100010", 2860 => "1100001101011010", 2861 => "1100001101010010",
2862 => "1100001101001010", 2863 => "1100001101000010", 2864 => "1100001100111010",
2865 => "1100001100110011", 2866 => "1100001100101011", 2867 => "1100001100100011",
2868 => "1100001100011011", 2869 => "1100001100010011", 2870 => "1100001100001100",
2871 => "1100001100000100", 2872 => "1100001011111101", 2873 => "1100001011110101",
2874 => "1100001011101101", 2875 => "1100001011100110", 2876 => "1100001011011110",
2877 => "1100001011010111", 2878 => "1100001011010000", 2879 => "1100001011001000",
2880 => "1100001011000001", 2881 => "1100001010111010", 2882 => "1100001010110010",
2883 => "1100001010101011", 2884 => "1100001010100100", 2885 => "1100001010011101",
2886 => "1100001010010110", 2887 => "1100001010001111", 2888 => "1100001010001000",
2889 => "1100001010000001", 2890 => "1100001001111010", 2891 => "1100001001110011",
2892 => "1100001001101100", 2893 => "1100001001100101", 2894 => "1100001001011110",
2895 => "1100001001011000", 2896 => "1100001001010001", 2897 => "1100001001001010",
2898 => "1100001001000100", 2899 => "1100001000111101", 2900 => "1100001000110110",
2901 => "1100001000110000", 2902 => "1100001000101001", 2903 => "1100001000100011",
2904 => "1100001000011101", 2905 => "1100001000010110", 2906 => "1100001000010000",
2907 => "1100001000001010", 2908 => "1100001000000011", 2909 => "1100000111111101",
2910 => "1100000111110111", 2911 => "1100000111110001", 2912 => "1100000111101011",
2913 => "1100000111100100", 2914 => "1100000111011110", 2915 => "1100000111011000",
2916 => "1100000111010010", 2917 => "1100000111001100", 2918 => "1100000111000111",
2919 => "1100000111000001", 2920 => "1100000110111011", 2921 => "1100000110110101",
2922 => "1100000110101111", 2923 => "1100000110101010", 2924 => "1100000110100100",
2925 => "1100000110011110", 2926 => "1100000110011001", 2927 => "1100000110010011",
2928 => "1100000110001110", 2929 => "1100000110001000", 2930 => "1100000110000011",
2931 => "1100000101111101", 2932 => "1100000101111000", 2933 => "1100000101110011",
2934 => "1100000101101101", 2935 => "1100000101101000", 2936 => "1100000101100011",
2937 => "1100000101011110", 2938 => "1100000101011000", 2939 => "1100000101010011",
2940 => "1100000101001110", 2941 => "1100000101001001", 2942 => "1100000101000100",
2943 => "1100000100111111", 2944 => "1100000100111010", 2945 => "1100000100110101",
2946 => "1100000100110001", 2947 => "1100000100101100", 2948 => "1100000100100111",
2949 => "1100000100100010", 2950 => "1100000100011110", 2951 => "1100000100011001",
2952 => "1100000100010100", 2953 => "1100000100010000", 2954 => "1100000100001011",
2955 => "1100000100000111", 2956 => "1100000100000010", 2957 => "1100000011111110",
2958 => "1100000011111001", 2959 => "1100000011110101", 2960 => "1100000011110001",
2961 => "1100000011101100", 2962 => "1100000011101000", 2963 => "1100000011100100",
2964 => "1100000011100000", 2965 => "1100000011011100", 2966 => "1100000011011000",
2967 => "1100000011010100", 2968 => "1100000011010000", 2969 => "1100000011001100",
2970 => "1100000011001000", 2971 => "1100000011000100", 2972 => "1100000011000000",
2973 => "1100000010111100", 2974 => "1100000010111000", 2975 => "1100000010110101",
2976 => "1100000010110001", 2977 => "1100000010101101", 2978 => "1100000010101010",
2979 => "1100000010100110", 2980 => "1100000010100010", 2981 => "1100000010011111",
2982 => "1100000010011011", 2983 => "1100000010011000", 2984 => "1100000010010101",
2985 => "1100000010010001", 2986 => "1100000010001110", 2987 => "1100000010001011",
2988 => "1100000010000111", 2989 => "1100000010000100", 2990 => "1100000010000001",
2991 => "1100000001111110", 2992 => "1100000001111011", 2993 => "1100000001111000",
2994 => "1100000001110101", 2995 => "1100000001110010", 2996 => "1100000001101111",
2997 => "1100000001101100", 2998 => "1100000001101001", 2999 => "1100000001100110",
3000 => "1100000001100011", 3001 => "1100000001100001", 3002 => "1100000001011110",
3003 => "1100000001011011", 3004 => "1100000001011001", 3005 => "1100000001010110",
3006 => "1100000001010011", 3007 => "1100000001010001", 3008 => "1100000001001110",
3009 => "1100000001001100", 3010 => "1100000001001010", 3011 => "1100000001000111",
3012 => "1100000001000101", 3013 => "1100000001000011", 3014 => "1100000001000000",
3015 => "1100000000111110", 3016 => "1100000000111100", 3017 => "1100000000111010",
3018 => "1100000000111000", 3019 => "1100000000110110", 3020 => "1100000000110100",
3021 => "1100000000110010", 3022 => "1100000000110000", 3023 => "1100000000101110",
3024 => "1100000000101100", 3025 => "1100000000101010", 3026 => "1100000000101000",
3027 => "1100000000100111", 3028 => "1100000000100101", 3029 => "1100000000100011",
3030 => "1100000000100001", 3031 => "1100000000100000", 3032 => "1100000000011110",
3033 => "1100000000011101", 3034 => "1100000000011011", 3035 => "1100000000011010",
3036 => "1100000000011000", 3037 => "1100000000010111", 3038 => "1100000000010110",
3039 => "1100000000010100", 3040 => "1100000000010011", 3041 => "1100000000010010",
3042 => "1100000000010001", 3043 => "1100000000010000", 3044 => "1100000000001111",
3045 => "1100000000001110", 3046 => "1100000000001101", 3047 => "1100000000001100",
3048 => "1100000000001011", 3049 => "1100000000001010", 3050 => "1100000000001001",
3051 => "1100000000001000", 3052 => "1100000000000111", 3053 to 3054=> "1100000000000110",
3055 => "1100000000000101", 3056 to 3057=> "1100000000000100", 3058 to 3059=> "1100000000000011",
3060 to 3061=> "1100000000000010", 3062 to 3064=> "1100000000000001", 3065 to 3079=> "1100000000000000",
3080 to 3082=> "1100000000000001", 3083 to 3084=> "1100000000000010", 3085 to 3086=> "1100000000000011",
3087 to 3088=> "1100000000000100", 3089 => "1100000000000101", 3090 to 3091=> "1100000000000110",
3092 => "1100000000000111", 3093 => "1100000000001000", 3094 => "1100000000001001",
3095 => "1100000000001010", 3096 => "1100000000001011", 3097 => "1100000000001100",
3098 => "1100000000001101", 3099 => "1100000000001110", 3100 => "1100000000001111",
3101 => "1100000000010000", 3102 => "1100000000010001", 3103 => "1100000000010010",
3104 => "1100000000010011", 3105 => "1100000000010100", 3106 => "1100000000010110",
3107 => "1100000000010111", 3108 => "1100000000011000", 3109 => "1100000000011010",
3110 => "1100000000011011", 3111 => "1100000000011101", 3112 => "1100000000011110",
3113 => "1100000000100000", 3114 => "1100000000100001", 3115 => "1100000000100011",
3116 => "1100000000100101", 3117 => "1100000000100111", 3118 => "1100000000101000",
3119 => "1100000000101010", 3120 => "1100000000101100", 3121 => "1100000000101110",
3122 => "1100000000110000", 3123 => "1100000000110010", 3124 => "1100000000110100",
3125 => "1100000000110110", 3126 => "1100000000111000", 3127 => "1100000000111010",
3128 => "1100000000111100", 3129 => "1100000000111110", 3130 => "1100000001000000",
3131 => "1100000001000011", 3132 => "1100000001000101", 3133 => "1100000001000111",
3134 => "1100000001001010", 3135 => "1100000001001100", 3136 => "1100000001001110",
3137 => "1100000001010001", 3138 => "1100000001010011", 3139 => "1100000001010110",
3140 => "1100000001011001", 3141 => "1100000001011011", 3142 => "1100000001011110",
3143 => "1100000001100001", 3144 => "1100000001100011", 3145 => "1100000001100110",
3146 => "1100000001101001", 3147 => "1100000001101100", 3148 => "1100000001101111",
3149 => "1100000001110010", 3150 => "1100000001110101", 3151 => "1100000001111000",
3152 => "1100000001111011", 3153 => "1100000001111110", 3154 => "1100000010000001",
3155 => "1100000010000100", 3156 => "1100000010000111", 3157 => "1100000010001011",
3158 => "1100000010001110", 3159 => "1100000010010001", 3160 => "1100000010010101",
3161 => "1100000010011000", 3162 => "1100000010011011", 3163 => "1100000010011111",
3164 => "1100000010100010", 3165 => "1100000010100110", 3166 => "1100000010101010",
3167 => "1100000010101101", 3168 => "1100000010110001", 3169 => "1100000010110101",
3170 => "1100000010111000", 3171 => "1100000010111100", 3172 => "1100000011000000",
3173 => "1100000011000100", 3174 => "1100000011001000", 3175 => "1100000011001100",
3176 => "1100000011010000", 3177 => "1100000011010100", 3178 => "1100000011011000",
3179 => "1100000011011100", 3180 => "1100000011100000", 3181 => "1100000011100100",
3182 => "1100000011101000", 3183 => "1100000011101100", 3184 => "1100000011110001",
3185 => "1100000011110101", 3186 => "1100000011111001", 3187 => "1100000011111110",
3188 => "1100000100000010", 3189 => "1100000100000111", 3190 => "1100000100001011",
3191 => "1100000100010000", 3192 => "1100000100010100", 3193 => "1100000100011001",
3194 => "1100000100011110", 3195 => "1100000100100010", 3196 => "1100000100100111",
3197 => "1100000100101100", 3198 => "1100000100110001", 3199 => "1100000100110101",
3200 => "1100000100111010", 3201 => "1100000100111111", 3202 => "1100000101000100",
3203 => "1100000101001001", 3204 => "1100000101001110", 3205 => "1100000101010011",
3206 => "1100000101011000", 3207 => "1100000101011110", 3208 => "1100000101100011",
3209 => "1100000101101000", 3210 => "1100000101101101", 3211 => "1100000101110011",
3212 => "1100000101111000", 3213 => "1100000101111101", 3214 => "1100000110000011",
3215 => "1100000110001000", 3216 => "1100000110001110", 3217 => "1100000110010011",
3218 => "1100000110011001", 3219 => "1100000110011110", 3220 => "1100000110100100",
3221 => "1100000110101010", 3222 => "1100000110101111", 3223 => "1100000110110101",
3224 => "1100000110111011", 3225 => "1100000111000001", 3226 => "1100000111000111",
3227 => "1100000111001100", 3228 => "1100000111010010", 3229 => "1100000111011000",
3230 => "1100000111011110", 3231 => "1100000111100100", 3232 => "1100000111101011",
3233 => "1100000111110001", 3234 => "1100000111110111", 3235 => "1100000111111101",
3236 => "1100001000000011", 3237 => "1100001000001010", 3238 => "1100001000010000",
3239 => "1100001000010110", 3240 => "1100001000011101", 3241 => "1100001000100011",
3242 => "1100001000101001", 3243 => "1100001000110000", 3244 => "1100001000110110",
3245 => "1100001000111101", 3246 => "1100001001000100", 3247 => "1100001001001010",
3248 => "1100001001010001", 3249 => "1100001001011000", 3250 => "1100001001011110",
3251 => "1100001001100101", 3252 => "1100001001101100", 3253 => "1100001001110011",
3254 => "1100001001111010", 3255 => "1100001010000001", 3256 => "1100001010001000",
3257 => "1100001010001111", 3258 => "1100001010010110", 3259 => "1100001010011101",
3260 => "1100001010100100", 3261 => "1100001010101011", 3262 => "1100001010110010",
3263 => "1100001010111010", 3264 => "1100001011000001", 3265 => "1100001011001000",
3266 => "1100001011010000", 3267 => "1100001011010111", 3268 => "1100001011011110",
3269 => "1100001011100110", 3270 => "1100001011101101", 3271 => "1100001011110101",
3272 => "1100001011111101", 3273 => "1100001100000100", 3274 => "1100001100001100",
3275 => "1100001100010011", 3276 => "1100001100011011", 3277 => "1100001100100011",
3278 => "1100001100101011", 3279 => "1100001100110011", 3280 => "1100001100111010",
3281 => "1100001101000010", 3282 => "1100001101001010", 3283 => "1100001101010010",
3284 => "1100001101011010", 3285 => "1100001101100010", 3286 => "1100001101101010",
3287 => "1100001101110011", 3288 => "1100001101111011", 3289 => "1100001110000011",
3290 => "1100001110001011", 3291 => "1100001110010011", 3292 => "1100001110011100",
3293 => "1100001110100100", 3294 => "1100001110101100", 3295 => "1100001110110101",
3296 => "1100001110111101", 3297 => "1100001111000110", 3298 => "1100001111001110",
3299 => "1100001111010111", 3300 => "1100001111011111", 3301 => "1100001111101000",
3302 => "1100001111110001", 3303 => "1100001111111001", 3304 => "1100010000000010",
3305 => "1100010000001011", 3306 => "1100010000010100", 3307 => "1100010000011101",
3308 => "1100010000100101", 3309 => "1100010000101110", 3310 => "1100010000110111",
3311 => "1100010001000000", 3312 => "1100010001001001", 3313 => "1100010001010010",
3314 => "1100010001011100", 3315 => "1100010001100101", 3316 => "1100010001101110",
3317 => "1100010001110111", 3318 => "1100010010000000", 3319 => "1100010010001010",
3320 => "1100010010010011", 3321 => "1100010010011100", 3322 => "1100010010100110",
3323 => "1100010010101111", 3324 => "1100010010111000", 3325 => "1100010011000010",
3326 => "1100010011001011", 3327 => "1100010011010101", 3328 => "1100010011011111",
3329 => "1100010011101000", 3330 => "1100010011110010", 3331 => "1100010011111100",
3332 => "1100010100000101", 3333 => "1100010100001111", 3334 => "1100010100011001",
3335 => "1100010100100011", 3336 => "1100010100101101", 3337 => "1100010100110111",
3338 => "1100010101000001", 3339 => "1100010101001011", 3340 => "1100010101010101",
3341 => "1100010101011111", 3342 => "1100010101101001", 3343 => "1100010101110011",
3344 => "1100010101111101", 3345 => "1100010110000111", 3346 => "1100010110010010",
3347 => "1100010110011100", 3348 => "1100010110100110", 3349 => "1100010110110000",
3350 => "1100010110111011", 3351 => "1100010111000101", 3352 => "1100010111010000",
3353 => "1100010111011010", 3354 => "1100010111100101", 3355 => "1100010111101111",
3356 => "1100010111111010", 3357 => "1100011000000100", 3358 => "1100011000001111",
3359 => "1100011000011010", 3360 => "1100011000100101", 3361 => "1100011000101111",
3362 => "1100011000111010", 3363 => "1100011001000101", 3364 => "1100011001010000",
3365 => "1100011001011011", 3366 => "1100011001100110", 3367 => "1100011001110001",
3368 => "1100011001111100", 3369 => "1100011010000111", 3370 => "1100011010010010",
3371 => "1100011010011101", 3372 => "1100011010101000", 3373 => "1100011010110011",
3374 => "1100011010111110", 3375 => "1100011011001010", 3376 => "1100011011010101",
3377 => "1100011011100000", 3378 => "1100011011101100", 3379 => "1100011011110111",
3380 => "1100011100000010", 3381 => "1100011100001110", 3382 => "1100011100011001",
3383 => "1100011100100101", 3384 => "1100011100110000", 3385 => "1100011100111100",
3386 => "1100011101001000", 3387 => "1100011101010011", 3388 => "1100011101011111",
3389 => "1100011101101011", 3390 => "1100011101110110", 3391 => "1100011110000010",
3392 => "1100011110001110", 3393 => "1100011110011010", 3394 => "1100011110100110",
3395 => "1100011110110010", 3396 => "1100011110111110", 3397 => "1100011111001010",
3398 => "1100011111010110", 3399 => "1100011111100010", 3400 => "1100011111101110",
3401 => "1100011111111010", 3402 => "1100100000000110", 3403 => "1100100000010010",
3404 => "1100100000011111", 3405 => "1100100000101011", 3406 => "1100100000110111",
3407 => "1100100001000100", 3408 => "1100100001010000", 3409 => "1100100001011100",
3410 => "1100100001101001", 3411 => "1100100001110101", 3412 => "1100100010000010",
3413 => "1100100010001110", 3414 => "1100100010011011", 3415 => "1100100010101000",
3416 => "1100100010110100", 3417 => "1100100011000001", 3418 => "1100100011001110",
3419 => "1100100011011010", 3420 => "1100100011100111", 3421 => "1100100011110100",
3422 => "1100100100000001", 3423 => "1100100100001110", 3424 => "1100100100011010",
3425 => "1100100100100111", 3426 => "1100100100110100", 3427 => "1100100101000001",
3428 => "1100100101001110", 3429 => "1100100101011011", 3430 => "1100100101101001",
3431 => "1100100101110110", 3432 => "1100100110000011", 3433 => "1100100110010000",
3434 => "1100100110011101", 3435 => "1100100110101011", 3436 => "1100100110111000",
3437 => "1100100111000101", 3438 => "1100100111010011", 3439 => "1100100111100000",
3440 => "1100100111101101", 3441 => "1100100111111011", 3442 => "1100101000001000",
3443 => "1100101000010110", 3444 => "1100101000100011", 3445 => "1100101000110001",
3446 => "1100101000111111", 3447 => "1100101001001100", 3448 => "1100101001011010",
3449 => "1100101001101000", 3450 => "1100101001110110", 3451 => "1100101010000011",
3452 => "1100101010010001", 3453 => "1100101010011111", 3454 => "1100101010101101",
3455 => "1100101010111011", 3456 => "1100101011001001", 3457 => "1100101011010111",
3458 => "1100101011100101", 3459 => "1100101011110011", 3460 => "1100101100000001",
3461 => "1100101100001111", 3462 => "1100101100011101", 3463 => "1100101100101011",
3464 => "1100101100111001", 3465 => "1100101101001000", 3466 => "1100101101010110",
3467 => "1100101101100100", 3468 => "1100101101110011", 3469 => "1100101110000001",
3470 => "1100101110001111", 3471 => "1100101110011110", 3472 => "1100101110101100",
3473 => "1100101110111011", 3474 => "1100101111001001", 3475 => "1100101111011000",
3476 => "1100101111100110", 3477 => "1100101111110101", 3478 => "1100110000000100",
3479 => "1100110000010010", 3480 => "1100110000100001", 3481 => "1100110000110000",
3482 => "1100110000111110", 3483 => "1100110001001101", 3484 => "1100110001011100",
3485 => "1100110001101011", 3486 => "1100110001111010", 3487 => "1100110010001001",
3488 => "1100110010011000", 3489 => "1100110010100111", 3490 => "1100110010110110",
3491 => "1100110011000101", 3492 => "1100110011010100", 3493 => "1100110011100011",
3494 => "1100110011110010", 3495 => "1100110100000001", 3496 => "1100110100010001",
3497 => "1100110100100000", 3498 => "1100110100101111", 3499 => "1100110100111110",
3500 => "1100110101001110", 3501 => "1100110101011101", 3502 => "1100110101101100",
3503 => "1100110101111100", 3504 => "1100110110001011", 3505 => "1100110110011011",
3506 => "1100110110101010", 3507 => "1100110110111010", 3508 => "1100110111001001",
3509 => "1100110111011001", 3510 => "1100110111101001", 3511 => "1100110111111000",
3512 => "1100111000001000", 3513 => "1100111000011000", 3514 => "1100111000100111",
3515 => "1100111000110111", 3516 => "1100111001000111", 3517 => "1100111001010111",
3518 => "1100111001100111", 3519 => "1100111001110111", 3520 => "1100111010000110",
3521 => "1100111010010110", 3522 => "1100111010100110", 3523 => "1100111010110110",
3524 => "1100111011000111", 3525 => "1100111011010111", 3526 => "1100111011100111",
3527 => "1100111011110111", 3528 => "1100111100000111", 3529 => "1100111100010111",
3530 => "1100111100100111", 3531 => "1100111100111000", 3532 => "1100111101001000",
3533 => "1100111101011000", 3534 => "1100111101101001", 3535 => "1100111101111001",
3536 => "1100111110001001", 3537 => "1100111110011010", 3538 => "1100111110101010",
3539 => "1100111110111011", 3540 => "1100111111001011", 3541 => "1100111111011100",
3542 => "1100111111101100", 3543 => "1100111111111101", 3544 => "1101000000001110",
3545 => "1101000000011110", 3546 => "1101000000101111", 3547 => "1101000001000000",
3548 => "1101000001010000", 3549 => "1101000001100001", 3550 => "1101000001110010",
3551 => "1101000010000011", 3552 => "1101000010010100", 3553 => "1101000010100101",
3554 => "1101000010110110", 3555 => "1101000011000111", 3556 => "1101000011010111",
3557 => "1101000011101001", 3558 => "1101000011111010", 3559 => "1101000100001011",
3560 => "1101000100011100", 3561 => "1101000100101101", 3562 => "1101000100111110",
3563 => "1101000101001111", 3564 => "1101000101100000", 3565 => "1101000101110010",
3566 => "1101000110000011", 3567 => "1101000110010100", 3568 => "1101000110100101",
3569 => "1101000110110111", 3570 => "1101000111001000", 3571 => "1101000111011010",
3572 => "1101000111101011", 3573 => "1101000111111100", 3574 => "1101001000001110",
3575 => "1101001000011111", 3576 => "1101001000110001", 3577 => "1101001001000011",
3578 => "1101001001010100", 3579 => "1101001001100110", 3580 => "1101001001110111",
3581 => "1101001010001001", 3582 => "1101001010011011", 3583 => "1101001010101101",
3584 => "1101001010111110", 3585 => "1101001011010000", 3586 => "1101001011100010",
3587 => "1101001011110100", 3588 => "1101001100000110", 3589 => "1101001100010111",
3590 => "1101001100101001", 3591 => "1101001100111011", 3592 => "1101001101001101",
3593 => "1101001101011111", 3594 => "1101001101110001", 3595 => "1101001110000011",
3596 => "1101001110010101", 3597 => "1101001110101000", 3598 => "1101001110111010",
3599 => "1101001111001100", 3600 => "1101001111011110", 3601 => "1101001111110000",
3602 => "1101010000000011", 3603 => "1101010000010101", 3604 => "1101010000100111",
3605 => "1101010000111001", 3606 => "1101010001001100", 3607 => "1101010001011110",
3608 => "1101010001110001", 3609 => "1101010010000011", 3610 => "1101010010010101",
3611 => "1101010010101000", 3612 => "1101010010111010", 3613 => "1101010011001101",
3614 => "1101010011011111", 3615 => "1101010011110010", 3616 => "1101010100000101",
3617 => "1101010100010111", 3618 => "1101010100101010", 3619 => "1101010100111101",
3620 => "1101010101001111", 3621 => "1101010101100010", 3622 => "1101010101110101",
3623 => "1101010110001000", 3624 => "1101010110011010", 3625 => "1101010110101101",
3626 => "1101010111000000", 3627 => "1101010111010011", 3628 => "1101010111100110",
3629 => "1101010111111001", 3630 => "1101011000001100", 3631 => "1101011000011111",
3632 => "1101011000110010", 3633 => "1101011001000101", 3634 => "1101011001011000",
3635 => "1101011001101011", 3636 => "1101011001111110", 3637 => "1101011010010001",
3638 => "1101011010100101", 3639 => "1101011010111000", 3640 => "1101011011001011",
3641 => "1101011011011110", 3642 => "1101011011110001", 3643 => "1101011100000101",
3644 => "1101011100011000", 3645 => "1101011100101011", 3646 => "1101011100111111",
3647 => "1101011101010010", 3648 => "1101011101100110", 3649 => "1101011101111001",
3650 => "1101011110001101", 3651 => "1101011110100000", 3652 => "1101011110110100",
3653 => "1101011111000111", 3654 => "1101011111011011", 3655 => "1101011111101110",
3656 => "1101100000000010", 3657 => "1101100000010101", 3658 => "1101100000101001",
3659 => "1101100000111101", 3660 => "1101100001010000", 3661 => "1101100001100100",
3662 => "1101100001111000", 3663 => "1101100010001100", 3664 => "1101100010100000",
3665 => "1101100010110011", 3666 => "1101100011000111", 3667 => "1101100011011011",
3668 => "1101100011101111", 3669 => "1101100100000011", 3670 => "1101100100010111",
3671 => "1101100100101011", 3672 => "1101100100111111", 3673 => "1101100101010011",
3674 => "1101100101100111", 3675 => "1101100101111011", 3676 => "1101100110001111",
3677 => "1101100110100011", 3678 => "1101100110110111", 3679 => "1101100111001011",
3680 => "1101100111100000", 3681 => "1101100111110100", 3682 => "1101101000001000",
3683 => "1101101000011100", 3684 => "1101101000110000", 3685 => "1101101001000101",
3686 => "1101101001011001", 3687 => "1101101001101101", 3688 => "1101101010000010",
3689 => "1101101010010110", 3690 => "1101101010101011", 3691 => "1101101010111111",
3692 => "1101101011010011", 3693 => "1101101011101000", 3694 => "1101101011111100",
3695 => "1101101100010001", 3696 => "1101101100100101", 3697 => "1101101100111010",
3698 => "1101101101001111", 3699 => "1101101101100011", 3700 => "1101101101111000",
3701 => "1101101110001100", 3702 => "1101101110100001", 3703 => "1101101110110110",
3704 => "1101101111001011", 3705 => "1101101111011111", 3706 => "1101101111110100",
3707 => "1101110000001001", 3708 => "1101110000011110", 3709 => "1101110000110010",
3710 => "1101110001000111", 3711 => "1101110001011100", 3712 => "1101110001110001",
3713 => "1101110010000110", 3714 => "1101110010011011", 3715 => "1101110010110000",
3716 => "1101110011000101", 3717 => "1101110011011010", 3718 => "1101110011101111",
3719 => "1101110100000100", 3720 => "1101110100011001", 3721 => "1101110100101110",
3722 => "1101110101000011", 3723 => "1101110101011000", 3724 => "1101110101101101",
3725 => "1101110110000010", 3726 => "1101110110011000", 3727 => "1101110110101101",
3728 => "1101110111000010", 3729 => "1101110111010111", 3730 => "1101110111101101",
3731 => "1101111000000010", 3732 => "1101111000010111", 3733 => "1101111000101101",
3734 => "1101111001000010", 3735 => "1101111001010111", 3736 => "1101111001101101",
3737 => "1101111010000010", 3738 => "1101111010010111", 3739 => "1101111010101101",
3740 => "1101111011000010", 3741 => "1101111011011000", 3742 => "1101111011101101",
3743 => "1101111100000011", 3744 => "1101111100011000", 3745 => "1101111100101110",
3746 => "1101111101000100", 3747 => "1101111101011001", 3748 => "1101111101101111",
3749 => "1101111110000100", 3750 => "1101111110011010", 3751 => "1101111110110000",
3752 => "1101111111000110", 3753 => "1101111111011011", 3754 => "1101111111110001",
3755 => "1110000000000111", 3756 => "1110000000011101", 3757 => "1110000000110010",
3758 => "1110000001001000", 3759 => "1110000001011110", 3760 => "1110000001110100",
3761 => "1110000010001010", 3762 => "1110000010100000", 3763 => "1110000010110110",
3764 => "1110000011001011", 3765 => "1110000011100001", 3766 => "1110000011110111",
3767 => "1110000100001101", 3768 => "1110000100100011", 3769 => "1110000100111001",
3770 => "1110000101001111", 3771 => "1110000101100110", 3772 => "1110000101111100",
3773 => "1110000110010010", 3774 => "1110000110101000", 3775 => "1110000110111110",
3776 => "1110000111010100", 3777 => "1110000111101010", 3778 => "1110001000000001",
3779 => "1110001000010111", 3780 => "1110001000101101", 3781 => "1110001001000011",
3782 => "1110001001011001", 3783 => "1110001001110000", 3784 => "1110001010000110",
3785 => "1110001010011100", 3786 => "1110001010110011", 3787 => "1110001011001001",
3788 => "1110001011011111", 3789 => "1110001011110110", 3790 => "1110001100001100",
3791 => "1110001100100011", 3792 => "1110001100111001", 3793 => "1110001101010000",
3794 => "1110001101100110", 3795 => "1110001101111100", 3796 => "1110001110010011",
3797 => "1110001110101010", 3798 => "1110001111000000", 3799 => "1110001111010111",
3800 => "1110001111101101", 3801 => "1110010000000100", 3802 => "1110010000011010",
3803 => "1110010000110001", 3804 => "1110010001001000", 3805 => "1110010001011110",
3806 => "1110010001110101", 3807 => "1110010010001100", 3808 => "1110010010100010",
3809 => "1110010010111001", 3810 => "1110010011010000", 3811 => "1110010011100111",
3812 => "1110010011111101", 3813 => "1110010100010100", 3814 => "1110010100101011",
3815 => "1110010101000010", 3816 => "1110010101011001", 3817 => "1110010101110000",
3818 => "1110010110000110", 3819 => "1110010110011101", 3820 => "1110010110110100",
3821 => "1110010111001011", 3822 => "1110010111100010", 3823 => "1110010111111001",
3824 => "1110011000010000", 3825 => "1110011000100111", 3826 => "1110011000111110",
3827 => "1110011001010101", 3828 => "1110011001101100", 3829 => "1110011010000011",
3830 => "1110011010011010", 3831 => "1110011010110001", 3832 => "1110011011001000",
3833 => "1110011011011111", 3834 => "1110011011110111", 3835 => "1110011100001110",
3836 => "1110011100100101", 3837 => "1110011100111100", 3838 => "1110011101010011",
3839 => "1110011101101010", 3840 => "1110011110000010", 3841 => "1110011110011001",
3842 => "1110011110110000", 3843 => "1110011111000111", 3844 => "1110011111011111",
3845 => "1110011111110110", 3846 => "1110100000001101", 3847 => "1110100000100101",
3848 => "1110100000111100", 3849 => "1110100001010011", 3850 => "1110100001101011",
3851 => "1110100010000010", 3852 => "1110100010011001", 3853 => "1110100010110001",
3854 => "1110100011001000", 3855 => "1110100011100000", 3856 => "1110100011110111",
3857 => "1110100100001110", 3858 => "1110100100100110", 3859 => "1110100100111101",
3860 => "1110100101010101", 3861 => "1110100101101100", 3862 => "1110100110000100",
3863 => "1110100110011011", 3864 => "1110100110110011", 3865 => "1110100111001011",
3866 => "1110100111100010", 3867 => "1110100111111010", 3868 => "1110101000010001",
3869 => "1110101000101001", 3870 => "1110101001000001", 3871 => "1110101001011000",
3872 => "1110101001110000", 3873 => "1110101010001000", 3874 => "1110101010011111",
3875 => "1110101010110111", 3876 => "1110101011001111", 3877 => "1110101011100110",
3878 => "1110101011111110", 3879 => "1110101100010110", 3880 => "1110101100101110",
3881 => "1110101101000101", 3882 => "1110101101011101", 3883 => "1110101101110101",
3884 => "1110101110001101", 3885 => "1110101110100101", 3886 => "1110101110111100",
3887 => "1110101111010100", 3888 => "1110101111101100", 3889 => "1110110000000100",
3890 => "1110110000011100", 3891 => "1110110000110100", 3892 => "1110110001001100",
3893 => "1110110001100100", 3894 => "1110110001111100", 3895 => "1110110010010011",
3896 => "1110110010101011", 3897 => "1110110011000011", 3898 => "1110110011011011",
3899 => "1110110011110011", 3900 => "1110110100001011", 3901 => "1110110100100011",
3902 => "1110110100111011", 3903 => "1110110101010011", 3904 => "1110110101101011",
3905 => "1110110110000100", 3906 => "1110110110011100", 3907 => "1110110110110100",
3908 => "1110110111001100", 3909 => "1110110111100100", 3910 => "1110110111111100",
3911 => "1110111000010100", 3912 => "1110111000101100", 3913 => "1110111001000100",
3914 => "1110111001011101", 3915 => "1110111001110101", 3916 => "1110111010001101",
3917 => "1110111010100101", 3918 => "1110111010111101", 3919 => "1110111011010101",
3920 => "1110111011101110", 3921 => "1110111100000110", 3922 => "1110111100011110",
3923 => "1110111100110110", 3924 => "1110111101001111", 3925 => "1110111101100111",
3926 => "1110111101111111", 3927 => "1110111110010111", 3928 => "1110111110110000",
3929 => "1110111111001000", 3930 => "1110111111100000", 3931 => "1110111111111001",
3932 => "1111000000010001", 3933 => "1111000000101001", 3934 => "1111000001000010",
3935 => "1111000001011010", 3936 => "1111000001110011", 3937 => "1111000010001011",
3938 => "1111000010100011", 3939 => "1111000010111100", 3940 => "1111000011010100",
3941 => "1111000011101101", 3942 => "1111000100000101", 3943 => "1111000100011101",
3944 => "1111000100110110", 3945 => "1111000101001110", 3946 => "1111000101100111",
3947 => "1111000101111111", 3948 => "1111000110011000", 3949 => "1111000110110000",
3950 => "1111000111001001", 3951 => "1111000111100001", 3952 => "1111000111111010",
3953 => "1111001000010010", 3954 => "1111001000101011", 3955 => "1111001001000011",
3956 => "1111001001011100", 3957 => "1111001001110100", 3958 => "1111001010001101",
3959 => "1111001010100110", 3960 => "1111001010111110", 3961 => "1111001011010111",
3962 => "1111001011101111", 3963 => "1111001100001000", 3964 => "1111001100100001",
3965 => "1111001100111001", 3966 => "1111001101010010", 3967 => "1111001101101010",
3968 => "1111001110000011", 3969 => "1111001110011100", 3970 => "1111001110110100",
3971 => "1111001111001101", 3972 => "1111001111100110", 3973 => "1111001111111110",
3974 => "1111010000010111", 3975 => "1111010000110000", 3976 => "1111010001001001",
3977 => "1111010001100001", 3978 => "1111010001111010", 3979 => "1111010010010011",
3980 => "1111010010101011", 3981 => "1111010011000100", 3982 => "1111010011011101",
3983 => "1111010011110110", 3984 => "1111010100001110", 3985 => "1111010100100111",
3986 => "1111010101000000", 3987 => "1111010101011001", 3988 => "1111010101110010",
3989 => "1111010110001010", 3990 => "1111010110100011", 3991 => "1111010110111100",
3992 => "1111010111010101", 3993 => "1111010111101110", 3994 => "1111011000000110",
3995 => "1111011000011111", 3996 => "1111011000111000", 3997 => "1111011001010001",
3998 => "1111011001101010", 3999 => "1111011010000011", 4000 => "1111011010011011",
4001 => "1111011010110100", 4002 => "1111011011001101", 4003 => "1111011011100110",
4004 => "1111011011111111", 4005 => "1111011100011000", 4006 => "1111011100110001",
4007 => "1111011101001010", 4008 => "1111011101100011", 4009 => "1111011101111011",
4010 => "1111011110010100", 4011 => "1111011110101101", 4012 => "1111011111000110",
4013 => "1111011111011111", 4014 => "1111011111111000", 4015 => "1111100000010001",
4016 => "1111100000101010", 4017 => "1111100001000011", 4018 => "1111100001011100",
4019 => "1111100001110101", 4020 => "1111100010001110", 4021 => "1111100010100111",
4022 => "1111100011000000", 4023 => "1111100011011001", 4024 => "1111100011110010",
4025 => "1111100100001011", 4026 => "1111100100100100", 4027 => "1111100100111101",
4028 => "1111100101010110", 4029 => "1111100101101111", 4030 => "1111100110001000",
4031 => "1111100110100001", 4032 => "1111100110111010", 4033 => "1111100111010011",
4034 => "1111100111101100", 4035 => "1111101000000101", 4036 => "1111101000011110",
4037 => "1111101000110111", 4038 => "1111101001010000", 4039 => "1111101001101001",
4040 => "1111101010000010", 4041 => "1111101010011011", 4042 => "1111101010110100",
4043 => "1111101011001101", 4044 => "1111101011100110", 4045 => "1111101011111111",
4046 => "1111101100011000", 4047 => "1111101100110001", 4048 => "1111101101001010",
4049 => "1111101101100011", 4050 => "1111101101111100", 4051 => "1111101110010101",
4052 => "1111101110101110", 4053 => "1111101111001000", 4054 => "1111101111100001",
4055 => "1111101111111010", 4056 => "1111110000010011", 4057 => "1111110000101100",
4058 => "1111110001000101", 4059 => "1111110001011110", 4060 => "1111110001110111",
4061 => "1111110010010000", 4062 => "1111110010101001", 4063 => "1111110011000010",
4064 => "1111110011011100", 4065 => "1111110011110101", 4066 => "1111110100001110",
4067 => "1111110100100111", 4068 => "1111110101000000", 4069 => "1111110101011001",
4070 => "1111110101110010", 4071 => "1111110110001011", 4072 => "1111110110100100",
4073 => "1111110110111110", 4074 => "1111110111010111", 4075 => "1111110111110000",
4076 => "1111111000001001", 4077 => "1111111000100010", 4078 => "1111111000111011",
4079 => "1111111001010100", 4080 => "1111111001101101", 4081 => "1111111010000111",
4082 => "1111111010100000", 4083 => "1111111010111001", 4084 => "1111111011010010",
4085 => "1111111011101011", 4086 => "1111111100000100", 4087 => "1111111100011101",
4088 => "1111111100110110", 4089 => "1111111101010000", 4090 => "1111111101101001",
4091 => "1111111110000010", 4092 => "1111111110011011", 4093 => "1111111110110100",
4094 => "1111111111001101", 4095 => "1111111111100110" );
attribute EQUIVALENT_REGISTER_REMOVAL : string;
begin
memory_access_guard_0: process (addr0)
begin
addr0_tmp <= addr0;
--synthesis translate_off
if (CONV_INTEGER(addr0) > mem_size-1) then
addr0_tmp <= (others => '0');
else
addr0_tmp <= addr0;
end if;
--synthesis translate_on
end process;
p_rom_access: process (clk)
begin
if (clk'event and clk = '1') then
if (ce0 = '1') then
q0 <= mem(CONV_INTEGER(addr0_tmp));
end if;
end if;
end process;
end rtl;
Library IEEE;
use IEEE.std_logic_1164.all;
entity nco_sine_lut_V is
generic (
DataWidth : INTEGER := 16;
AddressRange : INTEGER := 4096;
AddressWidth : INTEGER := 12);
port (
reset : IN STD_LOGIC;
clk : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0));
end entity;
architecture arch of nco_sine_lut_V is
component nco_sine_lut_V_rom is
port (
clk : IN STD_LOGIC;
addr0 : IN STD_LOGIC_VECTOR;
ce0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR);
end component;
begin
nco_sine_lut_V_rom_U : component nco_sine_lut_V_rom
port map (
clk => clk,
addr0 => address0,
ce0 => ce0,
q0 => q0);
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.1
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity nco_sine_lut_V_rom is
generic(
dwidth : integer := 16;
awidth : integer := 12;
mem_size : integer := 4096
);
port (
addr0 : in std_logic_vector(awidth-1 downto 0);
ce0 : in std_logic;
q0 : out std_logic_vector(dwidth-1 downto 0);
clk : in std_logic
);
end entity;
architecture rtl of nco_sine_lut_V_rom is
signal addr0_tmp : std_logic_vector(awidth-1 downto 0);
type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0);
signal mem : mem_array := (
0 => "0000000000000000", 1 => "0000000000011001", 2 => "0000000000110010",
3 => "0000000001001011", 4 => "0000000001100100", 5 => "0000000001111101",
6 => "0000000010010110", 7 => "0000000010101111", 8 => "0000000011001001",
9 => "0000000011100010", 10 => "0000000011111011", 11 => "0000000100010100",
12 => "0000000100101101", 13 => "0000000101000110", 14 => "0000000101011111",
15 => "0000000101111000", 16 => "0000000110010010", 17 => "0000000110101011",
18 => "0000000111000100", 19 => "0000000111011101", 20 => "0000000111110110",
21 => "0000001000001111", 22 => "0000001000101000", 23 => "0000001001000001",
24 => "0000001001011011", 25 => "0000001001110100", 26 => "0000001010001101",
27 => "0000001010100110", 28 => "0000001010111111", 29 => "0000001011011000",
30 => "0000001011110001", 31 => "0000001100001010", 32 => "0000001100100011",
33 => "0000001100111101", 34 => "0000001101010110", 35 => "0000001101101111",
36 => "0000001110001000", 37 => "0000001110100001", 38 => "0000001110111010",
39 => "0000001111010011", 40 => "0000001111101100", 41 => "0000010000000101",
42 => "0000010000011110", 43 => "0000010000110111", 44 => "0000010001010001",
45 => "0000010001101010", 46 => "0000010010000011", 47 => "0000010010011100",
48 => "0000010010110101", 49 => "0000010011001110", 50 => "0000010011100111",
51 => "0000010100000000", 52 => "0000010100011001", 53 => "0000010100110010",
54 => "0000010101001011", 55 => "0000010101100100", 56 => "0000010101111101",
57 => "0000010110010110", 58 => "0000010110101111", 59 => "0000010111001000",
60 => "0000010111100001", 61 => "0000010111111010", 62 => "0000011000010011",
63 => "0000011000101100", 64 => "0000011001000101", 65 => "0000011001011110",
66 => "0000011001110111", 67 => "0000011010010000", 68 => "0000011010101001",
69 => "0000011011000010", 70 => "0000011011011011", 71 => "0000011011110100",
72 => "0000011100001101", 73 => "0000011100100110", 74 => "0000011100111111",
75 => "0000011101011000", 76 => "0000011101110001", 77 => "0000011110001010",
78 => "0000011110100011", 79 => "0000011110111100", 80 => "0000011111010101",
81 => "0000011111101110", 82 => "0000100000000111", 83 => "0000100000100000",
84 => "0000100000111001", 85 => "0000100001010010", 86 => "0000100001101011",
87 => "0000100010000100", 88 => "0000100010011100", 89 => "0000100010110101",
90 => "0000100011001110", 91 => "0000100011100111", 92 => "0000100100000000",
93 => "0000100100011001", 94 => "0000100100110010", 95 => "0000100101001011",
96 => "0000100101100100", 97 => "0000100101111100", 98 => "0000100110010101",
99 => "0000100110101110", 100 => "0000100111000111", 101 => "0000100111100000",
102 => "0000100111111001", 103 => "0000101000010001", 104 => "0000101000101010",
105 => "0000101001000011", 106 => "0000101001011100", 107 => "0000101001110101",
108 => "0000101010001101", 109 => "0000101010100110", 110 => "0000101010111111",
111 => "0000101011011000", 112 => "0000101011110001", 113 => "0000101100001001",
114 => "0000101100100010", 115 => "0000101100111011", 116 => "0000101101010100",
117 => "0000101101101100", 118 => "0000101110000101", 119 => "0000101110011110",
120 => "0000101110110110", 121 => "0000101111001111", 122 => "0000101111101000",
123 => "0000110000000001", 124 => "0000110000011001", 125 => "0000110000110010",
126 => "0000110001001011", 127 => "0000110001100011", 128 => "0000110001111100",
129 => "0000110010010101", 130 => "0000110010101101", 131 => "0000110011000110",
132 => "0000110011011110", 133 => "0000110011110111", 134 => "0000110100010000",
135 => "0000110100101000", 136 => "0000110101000001", 137 => "0000110101011001",
138 => "0000110101110010", 139 => "0000110110001011", 140 => "0000110110100011",
141 => "0000110110111100", 142 => "0000110111010100", 143 => "0000110111101101",
144 => "0000111000000101", 145 => "0000111000011110", 146 => "0000111000110110",
147 => "0000111001001111", 148 => "0000111001100111", 149 => "0000111010000000",
150 => "0000111010011000", 151 => "0000111010110001", 152 => "0000111011001001",
153 => "0000111011100010", 154 => "0000111011111010", 155 => "0000111100010010",
156 => "0000111100101011", 157 => "0000111101000011", 158 => "0000111101011100",
159 => "0000111101110100", 160 => "0000111110001100", 161 => "0000111110100101",
162 => "0000111110111101", 163 => "0000111111010110", 164 => "0000111111101110",
165 => "0001000000000110", 166 => "0001000000011111", 167 => "0001000000110111",
168 => "0001000001001111", 169 => "0001000001101000", 170 => "0001000010000000",
171 => "0001000010011000", 172 => "0001000010110000", 173 => "0001000011001001",
174 => "0001000011100001", 175 => "0001000011111001", 176 => "0001000100010001",
177 => "0001000100101010", 178 => "0001000101000010", 179 => "0001000101011010",
180 => "0001000101110010", 181 => "0001000110001010", 182 => "0001000110100010",
183 => "0001000110111011", 184 => "0001000111010011", 185 => "0001000111101011",
186 => "0001001000000011", 187 => "0001001000011011", 188 => "0001001000110011",
189 => "0001001001001011", 190 => "0001001001100011", 191 => "0001001001111011",
192 => "0001001010010100", 193 => "0001001010101100", 194 => "0001001011000100",
195 => "0001001011011100", 196 => "0001001011110100", 197 => "0001001100001100",
198 => "0001001100100100", 199 => "0001001100111100", 200 => "0001001101010100",
201 => "0001001101101100", 202 => "0001001110000011", 203 => "0001001110011011",
204 => "0001001110110011", 205 => "0001001111001011", 206 => "0001001111100011",
207 => "0001001111111011", 208 => "0001010000010011", 209 => "0001010000101011",
210 => "0001010001000011", 211 => "0001010001011010", 212 => "0001010001110010",
213 => "0001010010001010", 214 => "0001010010100010", 215 => "0001010010111010",
216 => "0001010011010001", 217 => "0001010011101001", 218 => "0001010100000001",
219 => "0001010100011001", 220 => "0001010100110000", 221 => "0001010101001000",
222 => "0001010101100000", 223 => "0001010101110111", 224 => "0001010110001111",
225 => "0001010110100111", 226 => "0001010110111110", 227 => "0001010111010110",
228 => "0001010111101110", 229 => "0001011000000101", 230 => "0001011000011101",
231 => "0001011000110100", 232 => "0001011001001100", 233 => "0001011001100100",
234 => "0001011001111011", 235 => "0001011010010011", 236 => "0001011010101010",
237 => "0001011011000010", 238 => "0001011011011001", 239 => "0001011011110001",
240 => "0001011100001000", 241 => "0001011100011111", 242 => "0001011100110111",
243 => "0001011101001110", 244 => "0001011101100110", 245 => "0001011101111101",
246 => "0001011110010100", 247 => "0001011110101100", 248 => "0001011111000011",
249 => "0001011111011010", 250 => "0001011111110010", 251 => "0001100000001001",
252 => "0001100000100000", 253 => "0001100000111000", 254 => "0001100001001111",
255 => "0001100001100110", 256 => "0001100001111101", 257 => "0001100010010101",
258 => "0001100010101100", 259 => "0001100011000011", 260 => "0001100011011010",
261 => "0001100011110001", 262 => "0001100100001000", 263 => "0001100100100000",
264 => "0001100100110111", 265 => "0001100101001110", 266 => "0001100101100101",
267 => "0001100101111100", 268 => "0001100110010011", 269 => "0001100110101010",
270 => "0001100111000001", 271 => "0001100111011000", 272 => "0001100111101111",
273 => "0001101000000110", 274 => "0001101000011101", 275 => "0001101000110100",
276 => "0001101001001011", 277 => "0001101001100010", 278 => "0001101001111001",
279 => "0001101010001111", 280 => "0001101010100110", 281 => "0001101010111101",
282 => "0001101011010100", 283 => "0001101011101011", 284 => "0001101100000010",
285 => "0001101100011000", 286 => "0001101100101111", 287 => "0001101101000110",
288 => "0001101101011101", 289 => "0001101101110011", 290 => "0001101110001010",
291 => "0001101110100001", 292 => "0001101110110111", 293 => "0001101111001110",
294 => "0001101111100101", 295 => "0001101111111011", 296 => "0001110000010010",
297 => "0001110000101000", 298 => "0001110000111111", 299 => "0001110001010101",
300 => "0001110001101100", 301 => "0001110010000011", 302 => "0001110010011001",
303 => "0001110010101111", 304 => "0001110011000110", 305 => "0001110011011100",
306 => "0001110011110011", 307 => "0001110100001001", 308 => "0001110100100000",
309 => "0001110100110110", 310 => "0001110101001100", 311 => "0001110101100011",
312 => "0001110101111001", 313 => "0001110110001111", 314 => "0001110110100110",
315 => "0001110110111100", 316 => "0001110111010010", 317 => "0001110111101000",
318 => "0001110111111110", 319 => "0001111000010101", 320 => "0001111000101011",
321 => "0001111001000001", 322 => "0001111001010111", 323 => "0001111001101101",
324 => "0001111010000011", 325 => "0001111010011001", 326 => "0001111010110000",
327 => "0001111011000110", 328 => "0001111011011100", 329 => "0001111011110010",
330 => "0001111100001000", 331 => "0001111100011110", 332 => "0001111100110100",
333 => "0001111101001001", 334 => "0001111101011111", 335 => "0001111101110101",
336 => "0001111110001011", 337 => "0001111110100001", 338 => "0001111110110111",
339 => "0001111111001101", 340 => "0001111111100010", 341 => "0001111111111000",
342 => "0010000000001110", 343 => "0010000000100100", 344 => "0010000000111001",
345 => "0010000001001111", 346 => "0010000001100101", 347 => "0010000001111011",
348 => "0010000010010000", 349 => "0010000010100110", 350 => "0010000010111011",
351 => "0010000011010001", 352 => "0010000011100111", 353 => "0010000011111100",
354 => "0010000100010010", 355 => "0010000100100111", 356 => "0010000100111101",
357 => "0010000101010010", 358 => "0010000101101000", 359 => "0010000101111101",
360 => "0010000110010010", 361 => "0010000110101000", 362 => "0010000110111101",
363 => "0010000111010010", 364 => "0010000111101000", 365 => "0010000111111101",
366 => "0010001000010010", 367 => "0010001000101000", 368 => "0010001000111101",
369 => "0010001001010010", 370 => "0010001001100111", 371 => "0010001001111101",
372 => "0010001010010010", 373 => "0010001010100111", 374 => "0010001010111100",
375 => "0010001011010001", 376 => "0010001011100110", 377 => "0010001011111011",
378 => "0010001100010000", 379 => "0010001100100101", 380 => "0010001100111010",
381 => "0010001101001111", 382 => "0010001101100100", 383 => "0010001101111001",
384 => "0010001110001110", 385 => "0010001110100011", 386 => "0010001110111000",
387 => "0010001111001101", 388 => "0010001111100001", 389 => "0010001111110110",
390 => "0010010000001011", 391 => "0010010000100000", 392 => "0010010000110100",
393 => "0010010001001001", 394 => "0010010001011110", 395 => "0010010001110011",
396 => "0010010010000111", 397 => "0010010010011100", 398 => "0010010010110000",
399 => "0010010011000101", 400 => "0010010011011010", 401 => "0010010011101110",
402 => "0010010100000011", 403 => "0010010100010111", 404 => "0010010100101100",
405 => "0010010101000000", 406 => "0010010101010100", 407 => "0010010101101001",
408 => "0010010101111101", 409 => "0010010110010010", 410 => "0010010110100110",
411 => "0010010110111010", 412 => "0010010111001111", 413 => "0010010111100011",
414 => "0010010111110111", 415 => "0010011000001011", 416 => "0010011000011111",
417 => "0010011000110100", 418 => "0010011001001000", 419 => "0010011001011100",
420 => "0010011001110000", 421 => "0010011010000100", 422 => "0010011010011000",
423 => "0010011010101100", 424 => "0010011011000000", 425 => "0010011011010100",
426 => "0010011011101000", 427 => "0010011011111100", 428 => "0010011100010000",
429 => "0010011100100100", 430 => "0010011100111000", 431 => "0010011101001100",
432 => "0010011101011111", 433 => "0010011101110011", 434 => "0010011110000111",
435 => "0010011110011011", 436 => "0010011110101111", 437 => "0010011111000010",
438 => "0010011111010110", 439 => "0010011111101010", 440 => "0010011111111101",
441 => "0010100000010001", 442 => "0010100000100100", 443 => "0010100000111000",
444 => "0010100001001011", 445 => "0010100001011111", 446 => "0010100001110010",
447 => "0010100010000110", 448 => "0010100010011001", 449 => "0010100010101101",
450 => "0010100011000000", 451 => "0010100011010100", 452 => "0010100011100111",
453 => "0010100011111010", 454 => "0010100100001110", 455 => "0010100100100001",
456 => "0010100100110100", 457 => "0010100101000111", 458 => "0010100101011010",
459 => "0010100101101110", 460 => "0010100110000001", 461 => "0010100110010100",
462 => "0010100110100111", 463 => "0010100110111010", 464 => "0010100111001101",
465 => "0010100111100000", 466 => "0010100111110011", 467 => "0010101000000110",
468 => "0010101000011001", 469 => "0010101000101100", 470 => "0010101000111111",
471 => "0010101001010010", 472 => "0010101001100101", 473 => "0010101001110111",
474 => "0010101010001010", 475 => "0010101010011101", 476 => "0010101010110000",
477 => "0010101011000010", 478 => "0010101011010101", 479 => "0010101011101000",
480 => "0010101011111010", 481 => "0010101100001101", 482 => "0010101100100000",
483 => "0010101100110010", 484 => "0010101101000101", 485 => "0010101101010111",
486 => "0010101101101010", 487 => "0010101101111100", 488 => "0010101110001110",
489 => "0010101110100001", 490 => "0010101110110011", 491 => "0010101111000110",
492 => "0010101111011000", 493 => "0010101111101010", 494 => "0010101111111100",
495 => "0010110000001111", 496 => "0010110000100001", 497 => "0010110000110011",
498 => "0010110001000101", 499 => "0010110001010111", 500 => "0010110001101010",
501 => "0010110001111100", 502 => "0010110010001110", 503 => "0010110010100000",
504 => "0010110010110010", 505 => "0010110011000100", 506 => "0010110011010110",
507 => "0010110011101000", 508 => "0010110011111001", 509 => "0010110100001011",
510 => "0010110100011101", 511 => "0010110100101111", 512 => "0010110101000001",
513 => "0010110101010010", 514 => "0010110101100100", 515 => "0010110101110110",
516 => "0010110110001000", 517 => "0010110110011001", 518 => "0010110110101011",
519 => "0010110110111100", 520 => "0010110111001110", 521 => "0010110111100000",
522 => "0010110111110001", 523 => "0010111000000011", 524 => "0010111000010100",
525 => "0010111000100101", 526 => "0010111000110111", 527 => "0010111001001000",
528 => "0010111001011010", 529 => "0010111001101011", 530 => "0010111001111100",
531 => "0010111010001101", 532 => "0010111010011111", 533 => "0010111010110000",
534 => "0010111011000001", 535 => "0010111011010010", 536 => "0010111011100011",
537 => "0010111011110100", 538 => "0010111100000101", 539 => "0010111100010110",
540 => "0010111100101000", 541 => "0010111100111000", 542 => "0010111101001001",
543 => "0010111101011010", 544 => "0010111101101011", 545 => "0010111101111100",
546 => "0010111110001101", 547 => "0010111110011110", 548 => "0010111110101111",
549 => "0010111110111111", 550 => "0010111111010000", 551 => "0010111111100001",
552 => "0010111111110001", 553 => "0011000000000010", 554 => "0011000000010011",
555 => "0011000000100011", 556 => "0011000000110100", 557 => "0011000001000100",
558 => "0011000001010101", 559 => "0011000001100101", 560 => "0011000001110110",
561 => "0011000010000110", 562 => "0011000010010110", 563 => "0011000010100111",
564 => "0011000010110111", 565 => "0011000011000111", 566 => "0011000011011000",
567 => "0011000011101000", 568 => "0011000011111000", 569 => "0011000100001000",
570 => "0011000100011000", 571 => "0011000100101000", 572 => "0011000100111000",
573 => "0011000101001001", 574 => "0011000101011001", 575 => "0011000101101001",
576 => "0011000101111001", 577 => "0011000110001000", 578 => "0011000110011000",
579 => "0011000110101000", 580 => "0011000110111000", 581 => "0011000111001000",
582 => "0011000111011000", 583 => "0011000111100111", 584 => "0011000111110111",
585 => "0011001000000111", 586 => "0011001000010110", 587 => "0011001000100110",
588 => "0011001000110110", 589 => "0011001001000101", 590 => "0011001001010101",
591 => "0011001001100100", 592 => "0011001001110100", 593 => "0011001010000011",
594 => "0011001010010011", 595 => "0011001010100010", 596 => "0011001010110001",
597 => "0011001011000001", 598 => "0011001011010000", 599 => "0011001011011111",
600 => "0011001011101110", 601 => "0011001011111110", 602 => "0011001100001101",
603 => "0011001100011100", 604 => "0011001100101011", 605 => "0011001100111010",
606 => "0011001101001001", 607 => "0011001101011000", 608 => "0011001101100111",
609 => "0011001101110110", 610 => "0011001110000101", 611 => "0011001110010100",
612 => "0011001110100011", 613 => "0011001110110010", 614 => "0011001111000001",
615 => "0011001111001111", 616 => "0011001111011110", 617 => "0011001111101101",
618 => "0011001111111011", 619 => "0011010000001010", 620 => "0011010000011001",
621 => "0011010000100111", 622 => "0011010000110110", 623 => "0011010001000100",
624 => "0011010001010011", 625 => "0011010001100001", 626 => "0011010001110000",
627 => "0011010001111110", 628 => "0011010010001100", 629 => "0011010010011011",
630 => "0011010010101001", 631 => "0011010010110111", 632 => "0011010011000110",
633 => "0011010011010100", 634 => "0011010011100010", 635 => "0011010011110000",
636 => "0011010011111110", 637 => "0011010100001100", 638 => "0011010100011010",
639 => "0011010100101000", 640 => "0011010100110110", 641 => "0011010101000100",
642 => "0011010101010010", 643 => "0011010101100000", 644 => "0011010101101110",
645 => "0011010101111100", 646 => "0011010110001001", 647 => "0011010110010111",
648 => "0011010110100101", 649 => "0011010110110011", 650 => "0011010111000000",
651 => "0011010111001110", 652 => "0011010111011100", 653 => "0011010111101001",
654 => "0011010111110111", 655 => "0011011000000100", 656 => "0011011000010010",
657 => "0011011000011111", 658 => "0011011000101100", 659 => "0011011000111010",
660 => "0011011001000111", 661 => "0011011001010100", 662 => "0011011001100010",
663 => "0011011001101111", 664 => "0011011001111100", 665 => "0011011010001001",
666 => "0011011010010110", 667 => "0011011010100100", 668 => "0011011010110001",
669 => "0011011010111110", 670 => "0011011011001011", 671 => "0011011011011000",
672 => "0011011011100101", 673 => "0011011011110001", 674 => "0011011011111110",
675 => "0011011100001011", 676 => "0011011100011000", 677 => "0011011100100101",
678 => "0011011100110001", 679 => "0011011100111110", 680 => "0011011101001011",
681 => "0011011101010111", 682 => "0011011101100100", 683 => "0011011101110001",
684 => "0011011101111101", 685 => "0011011110001010", 686 => "0011011110010110",
687 => "0011011110100011", 688 => "0011011110101111", 689 => "0011011110111011",
690 => "0011011111001000", 691 => "0011011111010100", 692 => "0011011111100000",
693 => "0011011111101101", 694 => "0011011111111001", 695 => "0011100000000101",
696 => "0011100000010001", 697 => "0011100000011101", 698 => "0011100000101001",
699 => "0011100000110101", 700 => "0011100001000001", 701 => "0011100001001101",
702 => "0011100001011001", 703 => "0011100001100101", 704 => "0011100001110001",
705 => "0011100001111101", 706 => "0011100010001001", 707 => "0011100010010100",
708 => "0011100010100000", 709 => "0011100010101100", 710 => "0011100010110111",
711 => "0011100011000011", 712 => "0011100011001111", 713 => "0011100011011010",
714 => "0011100011100110", 715 => "0011100011110001", 716 => "0011100011111101",
717 => "0011100100001000", 718 => "0011100100010011", 719 => "0011100100011111",
720 => "0011100100101010", 721 => "0011100100110101", 722 => "0011100101000001",
723 => "0011100101001100", 724 => "0011100101010111", 725 => "0011100101100010",
726 => "0011100101101101", 727 => "0011100101111000", 728 => "0011100110000011",
729 => "0011100110001110", 730 => "0011100110011001", 731 => "0011100110100100",
732 => "0011100110101111", 733 => "0011100110111010", 734 => "0011100111000101",
735 => "0011100111010000", 736 => "0011100111011010", 737 => "0011100111100101",
738 => "0011100111110000", 739 => "0011100111111011", 740 => "0011101000000101",
741 => "0011101000010000", 742 => "0011101000011010", 743 => "0011101000100101",
744 => "0011101000101111", 745 => "0011101000111010", 746 => "0011101001000100",
747 => "0011101001001111", 748 => "0011101001011001", 749 => "0011101001100011",
750 => "0011101001101101", 751 => "0011101001111000", 752 => "0011101010000010",
753 => "0011101010001100", 754 => "0011101010010110", 755 => "0011101010100000",
756 => "0011101010101010", 757 => "0011101010110100", 758 => "0011101010111110",
759 => "0011101011001000", 760 => "0011101011010010", 761 => "0011101011011100",
762 => "0011101011100110", 763 => "0011101011110000", 764 => "0011101011111010",
765 => "0011101100000011", 766 => "0011101100001101", 767 => "0011101100010111",
768 => "0011101100100000", 769 => "0011101100101010", 770 => "0011101100110100",
771 => "0011101100111101", 772 => "0011101101000111", 773 => "0011101101010000",
774 => "0011101101011001", 775 => "0011101101100011", 776 => "0011101101101100",
777 => "0011101101110101", 778 => "0011101101111111", 779 => "0011101110001000",
780 => "0011101110010001", 781 => "0011101110011010", 782 => "0011101110100011",
783 => "0011101110101101", 784 => "0011101110110110", 785 => "0011101110111111",
786 => "0011101111001000", 787 => "0011101111010001", 788 => "0011101111011010",
789 => "0011101111100010", 790 => "0011101111101011", 791 => "0011101111110100",
792 => "0011101111111101", 793 => "0011110000000110", 794 => "0011110000001110",
795 => "0011110000010111", 796 => "0011110000100000", 797 => "0011110000101000",
798 => "0011110000110001", 799 => "0011110000111001", 800 => "0011110001000010",
801 => "0011110001001010", 802 => "0011110001010011", 803 => "0011110001011011",
804 => "0011110001100011", 805 => "0011110001101100", 806 => "0011110001110100",
807 => "0011110001111100", 808 => "0011110010000100", 809 => "0011110010001100",
810 => "0011110010010101", 811 => "0011110010011101", 812 => "0011110010100101",
813 => "0011110010101101", 814 => "0011110010110101", 815 => "0011110010111101",
816 => "0011110011000101", 817 => "0011110011001100", 818 => "0011110011010100",
819 => "0011110011011100", 820 => "0011110011100100", 821 => "0011110011101100",
822 => "0011110011110011", 823 => "0011110011111011", 824 => "0011110100000010",
825 => "0011110100001010", 826 => "0011110100010010", 827 => "0011110100011001",
828 => "0011110100100001", 829 => "0011110100101000", 830 => "0011110100101111",
831 => "0011110100110111", 832 => "0011110100111110", 833 => "0011110101000101",
834 => "0011110101001101", 835 => "0011110101010100", 836 => "0011110101011011",
837 => "0011110101100010", 838 => "0011110101101001", 839 => "0011110101110000",
840 => "0011110101110111", 841 => "0011110101111110", 842 => "0011110110000101",
843 => "0011110110001100", 844 => "0011110110010011", 845 => "0011110110011010",
846 => "0011110110100001", 847 => "0011110110100111", 848 => "0011110110101110",
849 => "0011110110110101", 850 => "0011110110111011", 851 => "0011110111000010",
852 => "0011110111001001", 853 => "0011110111001111", 854 => "0011110111010110",
855 => "0011110111011100", 856 => "0011110111100010", 857 => "0011110111101001",
858 => "0011110111101111", 859 => "0011110111110101", 860 => "0011110111111100",
861 => "0011111000000010", 862 => "0011111000001000", 863 => "0011111000001110",
864 => "0011111000010100", 865 => "0011111000011011", 866 => "0011111000100001",
867 => "0011111000100111", 868 => "0011111000101101", 869 => "0011111000110011",
870 => "0011111000111000", 871 => "0011111000111110", 872 => "0011111001000100",
873 => "0011111001001010", 874 => "0011111001010000", 875 => "0011111001010101",
876 => "0011111001011011", 877 => "0011111001100001", 878 => "0011111001100110",
879 => "0011111001101100", 880 => "0011111001110001", 881 => "0011111001110111",
882 => "0011111001111100", 883 => "0011111010000010", 884 => "0011111010000111",
885 => "0011111010001100", 886 => "0011111010010010", 887 => "0011111010010111",
888 => "0011111010011100", 889 => "0011111010100001", 890 => "0011111010100111",
891 => "0011111010101100", 892 => "0011111010110001", 893 => "0011111010110110",
894 => "0011111010111011", 895 => "0011111011000000", 896 => "0011111011000101",
897 => "0011111011001010", 898 => "0011111011001110", 899 => "0011111011010011",
900 => "0011111011011000", 901 => "0011111011011101", 902 => "0011111011100001",
903 => "0011111011100110", 904 => "0011111011101011", 905 => "0011111011101111",
906 => "0011111011110100", 907 => "0011111011111000", 908 => "0011111011111101",
909 => "0011111100000001", 910 => "0011111100000110", 911 => "0011111100001010",
912 => "0011111100001110", 913 => "0011111100010011", 914 => "0011111100010111",
915 => "0011111100011011", 916 => "0011111100011111", 917 => "0011111100100011",
918 => "0011111100100111", 919 => "0011111100101011", 920 => "0011111100101111",
921 => "0011111100110011", 922 => "0011111100110111", 923 => "0011111100111011",
924 => "0011111100111111", 925 => "0011111101000011", 926 => "0011111101000111",
927 => "0011111101001010", 928 => "0011111101001110", 929 => "0011111101010010",
930 => "0011111101010101", 931 => "0011111101011001", 932 => "0011111101011101",
933 => "0011111101100000", 934 => "0011111101100100", 935 => "0011111101100111",
936 => "0011111101101010", 937 => "0011111101101110", 938 => "0011111101110001",
939 => "0011111101110100", 940 => "0011111101111000", 941 => "0011111101111011",
942 => "0011111101111110", 943 => "0011111110000001", 944 => "0011111110000100",
945 => "0011111110000111", 946 => "0011111110001010", 947 => "0011111110001101",
948 => "0011111110010000", 949 => "0011111110010011", 950 => "0011111110010110",
951 => "0011111110011001", 952 => "0011111110011100", 953 => "0011111110011110",
954 => "0011111110100001", 955 => "0011111110100100", 956 => "0011111110100110",
957 => "0011111110101001", 958 => "0011111110101100", 959 => "0011111110101110",
960 => "0011111110110001", 961 => "0011111110110011", 962 => "0011111110110101",
963 => "0011111110111000", 964 => "0011111110111010", 965 => "0011111110111100",
966 => "0011111110111111", 967 => "0011111111000001", 968 => "0011111111000011",
969 => "0011111111000101", 970 => "0011111111000111", 971 => "0011111111001001",
972 => "0011111111001011", 973 => "0011111111001101", 974 => "0011111111001111",
975 => "0011111111010001", 976 => "0011111111010011", 977 => "0011111111010101",
978 => "0011111111010111", 979 => "0011111111011000", 980 => "0011111111011010",
981 => "0011111111011100", 982 => "0011111111011110", 983 => "0011111111011111",
984 => "0011111111100001", 985 => "0011111111100010", 986 => "0011111111100100",
987 => "0011111111100101", 988 => "0011111111100111", 989 => "0011111111101000",
990 => "0011111111101001", 991 => "0011111111101011", 992 => "0011111111101100",
993 => "0011111111101101", 994 => "0011111111101110", 995 => "0011111111101111",
996 => "0011111111110000", 997 => "0011111111110001", 998 => "0011111111110010",
999 => "0011111111110011", 1000 => "0011111111110100", 1001 => "0011111111110101",
1002 => "0011111111110110", 1003 => "0011111111110111", 1004 => "0011111111111000",
1005 to 1006=> "0011111111111001", 1007 => "0011111111111010", 1008 to 1009=> "0011111111111011",
1010 to 1011=> "0011111111111100", 1012 to 1013=> "0011111111111101", 1014 to 1016=> "0011111111111110",
1017 to 1023=> "0011111111111111", 1024 => "0100000000000000", 1025 to 1031=> "0011111111111111",
1032 to 1034=> "0011111111111110", 1035 to 1036=> "0011111111111101", 1037 to 1038=> "0011111111111100",
1039 to 1040=> "0011111111111011", 1041 => "0011111111111010", 1042 to 1043=> "0011111111111001",
1044 => "0011111111111000", 1045 => "0011111111110111", 1046 => "0011111111110110",
1047 => "0011111111110101", 1048 => "0011111111110100", 1049 => "0011111111110011",
1050 => "0011111111110010", 1051 => "0011111111110001", 1052 => "0011111111110000",
1053 => "0011111111101111", 1054 => "0011111111101110", 1055 => "0011111111101101",
1056 => "0011111111101100", 1057 => "0011111111101011", 1058 => "0011111111101001",
1059 => "0011111111101000", 1060 => "0011111111100111", 1061 => "0011111111100101",
1062 => "0011111111100100", 1063 => "0011111111100010", 1064 => "0011111111100001",
1065 => "0011111111011111", 1066 => "0011111111011110", 1067 => "0011111111011100",
1068 => "0011111111011010", 1069 => "0011111111011000", 1070 => "0011111111010111",
1071 => "0011111111010101", 1072 => "0011111111010011", 1073 => "0011111111010001",
1074 => "0011111111001111", 1075 => "0011111111001101", 1076 => "0011111111001011",
1077 => "0011111111001001", 1078 => "0011111111000111", 1079 => "0011111111000101",
1080 => "0011111111000011", 1081 => "0011111111000001", 1082 => "0011111110111111",
1083 => "0011111110111100", 1084 => "0011111110111010", 1085 => "0011111110111000",
1086 => "0011111110110101", 1087 => "0011111110110011", 1088 => "0011111110110001",
1089 => "0011111110101110", 1090 => "0011111110101100", 1091 => "0011111110101001",
1092 => "0011111110100110", 1093 => "0011111110100100", 1094 => "0011111110100001",
1095 => "0011111110011110", 1096 => "0011111110011100", 1097 => "0011111110011001",
1098 => "0011111110010110", 1099 => "0011111110010011", 1100 => "0011111110010000",
1101 => "0011111110001101", 1102 => "0011111110001010", 1103 => "0011111110000111",
1104 => "0011111110000100", 1105 => "0011111110000001", 1106 => "0011111101111110",
1107 => "0011111101111011", 1108 => "0011111101111000", 1109 => "0011111101110100",
1110 => "0011111101110001", 1111 => "0011111101101110", 1112 => "0011111101101010",
1113 => "0011111101100111", 1114 => "0011111101100100", 1115 => "0011111101100000",
1116 => "0011111101011101", 1117 => "0011111101011001", 1118 => "0011111101010101",
1119 => "0011111101010010", 1120 => "0011111101001110", 1121 => "0011111101001010",
1122 => "0011111101000111", 1123 => "0011111101000011", 1124 => "0011111100111111",
1125 => "0011111100111011", 1126 => "0011111100110111", 1127 => "0011111100110011",
1128 => "0011111100101111", 1129 => "0011111100101011", 1130 => "0011111100100111",
1131 => "0011111100100011", 1132 => "0011111100011111", 1133 => "0011111100011011",
1134 => "0011111100010111", 1135 => "0011111100010011", 1136 => "0011111100001110",
1137 => "0011111100001010", 1138 => "0011111100000110", 1139 => "0011111100000001",
1140 => "0011111011111101", 1141 => "0011111011111000", 1142 => "0011111011110100",
1143 => "0011111011101111", 1144 => "0011111011101011", 1145 => "0011111011100110",
1146 => "0011111011100001", 1147 => "0011111011011101", 1148 => "0011111011011000",
1149 => "0011111011010011", 1150 => "0011111011001110", 1151 => "0011111011001010",
1152 => "0011111011000101", 1153 => "0011111011000000", 1154 => "0011111010111011",
1155 => "0011111010110110", 1156 => "0011111010110001", 1157 => "0011111010101100",
1158 => "0011111010100111", 1159 => "0011111010100001", 1160 => "0011111010011100",
1161 => "0011111010010111", 1162 => "0011111010010010", 1163 => "0011111010001100",
1164 => "0011111010000111", 1165 => "0011111010000010", 1166 => "0011111001111100",
1167 => "0011111001110111", 1168 => "0011111001110001", 1169 => "0011111001101100",
1170 => "0011111001100110", 1171 => "0011111001100001", 1172 => "0011111001011011",
1173 => "0011111001010101", 1174 => "0011111001010000", 1175 => "0011111001001010",
1176 => "0011111001000100", 1177 => "0011111000111110", 1178 => "0011111000111000",
1179 => "0011111000110011", 1180 => "0011111000101101", 1181 => "0011111000100111",
1182 => "0011111000100001", 1183 => "0011111000011011", 1184 => "0011111000010100",
1185 => "0011111000001110", 1186 => "0011111000001000", 1187 => "0011111000000010",
1188 => "0011110111111100", 1189 => "0011110111110101", 1190 => "0011110111101111",
1191 => "0011110111101001", 1192 => "0011110111100010", 1193 => "0011110111011100",
1194 => "0011110111010110", 1195 => "0011110111001111", 1196 => "0011110111001001",
1197 => "0011110111000010", 1198 => "0011110110111011", 1199 => "0011110110110101",
1200 => "0011110110101110", 1201 => "0011110110100111", 1202 => "0011110110100001",
1203 => "0011110110011010", 1204 => "0011110110010011", 1205 => "0011110110001100",
1206 => "0011110110000101", 1207 => "0011110101111110", 1208 => "0011110101110111",
1209 => "0011110101110000", 1210 => "0011110101101001", 1211 => "0011110101100010",
1212 => "0011110101011011", 1213 => "0011110101010100", 1214 => "0011110101001101",
1215 => "0011110101000101", 1216 => "0011110100111110", 1217 => "0011110100110111",
1218 => "0011110100101111", 1219 => "0011110100101000", 1220 => "0011110100100001",
1221 => "0011110100011001", 1222 => "0011110100010010", 1223 => "0011110100001010",
1224 => "0011110100000010", 1225 => "0011110011111011", 1226 => "0011110011110011",
1227 => "0011110011101100", 1228 => "0011110011100100", 1229 => "0011110011011100",
1230 => "0011110011010100", 1231 => "0011110011001100", 1232 => "0011110011000101",
1233 => "0011110010111101", 1234 => "0011110010110101", 1235 => "0011110010101101",
1236 => "0011110010100101", 1237 => "0011110010011101", 1238 => "0011110010010101",
1239 => "0011110010001100", 1240 => "0011110010000100", 1241 => "0011110001111100",
1242 => "0011110001110100", 1243 => "0011110001101100", 1244 => "0011110001100011",
1245 => "0011110001011011", 1246 => "0011110001010011", 1247 => "0011110001001010",
1248 => "0011110001000010", 1249 => "0011110000111001", 1250 => "0011110000110001",
1251 => "0011110000101000", 1252 => "0011110000100000", 1253 => "0011110000010111",
1254 => "0011110000001110", 1255 => "0011110000000110", 1256 => "0011101111111101",
1257 => "0011101111110100", 1258 => "0011101111101011", 1259 => "0011101111100010",
1260 => "0011101111011010", 1261 => "0011101111010001", 1262 => "0011101111001000",
1263 => "0011101110111111", 1264 => "0011101110110110", 1265 => "0011101110101101",
1266 => "0011101110100011", 1267 => "0011101110011010", 1268 => "0011101110010001",
1269 => "0011101110001000", 1270 => "0011101101111111", 1271 => "0011101101110101",
1272 => "0011101101101100", 1273 => "0011101101100011", 1274 => "0011101101011001",
1275 => "0011101101010000", 1276 => "0011101101000111", 1277 => "0011101100111101",
1278 => "0011101100110100", 1279 => "0011101100101010", 1280 => "0011101100100000",
1281 => "0011101100010111", 1282 => "0011101100001101", 1283 => "0011101100000011",
1284 => "0011101011111010", 1285 => "0011101011110000", 1286 => "0011101011100110",
1287 => "0011101011011100", 1288 => "0011101011010010", 1289 => "0011101011001000",
1290 => "0011101010111110", 1291 => "0011101010110100", 1292 => "0011101010101010",
1293 => "0011101010100000", 1294 => "0011101010010110", 1295 => "0011101010001100",
1296 => "0011101010000010", 1297 => "0011101001111000", 1298 => "0011101001101101",
1299 => "0011101001100011", 1300 => "0011101001011001", 1301 => "0011101001001111",
1302 => "0011101001000100", 1303 => "0011101000111010", 1304 => "0011101000101111",
1305 => "0011101000100101", 1306 => "0011101000011010", 1307 => "0011101000010000",
1308 => "0011101000000101", 1309 => "0011100111111011", 1310 => "0011100111110000",
1311 => "0011100111100101", 1312 => "0011100111011010", 1313 => "0011100111010000",
1314 => "0011100111000101", 1315 => "0011100110111010", 1316 => "0011100110101111",
1317 => "0011100110100100", 1318 => "0011100110011001", 1319 => "0011100110001110",
1320 => "0011100110000011", 1321 => "0011100101111000", 1322 => "0011100101101101",
1323 => "0011100101100010", 1324 => "0011100101010111", 1325 => "0011100101001100",
1326 => "0011100101000001", 1327 => "0011100100110101", 1328 => "0011100100101010",
1329 => "0011100100011111", 1330 => "0011100100010011", 1331 => "0011100100001000",
1332 => "0011100011111101", 1333 => "0011100011110001", 1334 => "0011100011100110",
1335 => "0011100011011010", 1336 => "0011100011001111", 1337 => "0011100011000011",
1338 => "0011100010110111", 1339 => "0011100010101100", 1340 => "0011100010100000",
1341 => "0011100010010100", 1342 => "0011100010001001", 1343 => "0011100001111101",
1344 => "0011100001110001", 1345 => "0011100001100101", 1346 => "0011100001011001",
1347 => "0011100001001101", 1348 => "0011100001000001", 1349 => "0011100000110101",
1350 => "0011100000101001", 1351 => "0011100000011101", 1352 => "0011100000010001",
1353 => "0011100000000101", 1354 => "0011011111111001", 1355 => "0011011111101101",
1356 => "0011011111100000", 1357 => "0011011111010100", 1358 => "0011011111001000",
1359 => "0011011110111011", 1360 => "0011011110101111", 1361 => "0011011110100011",
1362 => "0011011110010110", 1363 => "0011011110001010", 1364 => "0011011101111101",
1365 => "0011011101110001", 1366 => "0011011101100100", 1367 => "0011011101010111",
1368 => "0011011101001011", 1369 => "0011011100111110", 1370 => "0011011100110001",
1371 => "0011011100100101", 1372 => "0011011100011000", 1373 => "0011011100001011",
1374 => "0011011011111110", 1375 => "0011011011110001", 1376 => "0011011011100101",
1377 => "0011011011011000", 1378 => "0011011011001011", 1379 => "0011011010111110",
1380 => "0011011010110001", 1381 => "0011011010100100", 1382 => "0011011010010110",
1383 => "0011011010001001", 1384 => "0011011001111100", 1385 => "0011011001101111",
1386 => "0011011001100010", 1387 => "0011011001010100", 1388 => "0011011001000111",
1389 => "0011011000111010", 1390 => "0011011000101100", 1391 => "0011011000011111",
1392 => "0011011000010010", 1393 => "0011011000000100", 1394 => "0011010111110111",
1395 => "0011010111101001", 1396 => "0011010111011100", 1397 => "0011010111001110",
1398 => "0011010111000000", 1399 => "0011010110110011", 1400 => "0011010110100101",
1401 => "0011010110010111", 1402 => "0011010110001001", 1403 => "0011010101111100",
1404 => "0011010101101110", 1405 => "0011010101100000", 1406 => "0011010101010010",
1407 => "0011010101000100", 1408 => "0011010100110110", 1409 => "0011010100101000",
1410 => "0011010100011010", 1411 => "0011010100001100", 1412 => "0011010011111110",
1413 => "0011010011110000", 1414 => "0011010011100010", 1415 => "0011010011010100",
1416 => "0011010011000110", 1417 => "0011010010110111", 1418 => "0011010010101001",
1419 => "0011010010011011", 1420 => "0011010010001100", 1421 => "0011010001111110",
1422 => "0011010001110000", 1423 => "0011010001100001", 1424 => "0011010001010011",
1425 => "0011010001000100", 1426 => "0011010000110110", 1427 => "0011010000100111",
1428 => "0011010000011001", 1429 => "0011010000001010", 1430 => "0011001111111011",
1431 => "0011001111101101", 1432 => "0011001111011110", 1433 => "0011001111001111",
1434 => "0011001111000001", 1435 => "0011001110110010", 1436 => "0011001110100011",
1437 => "0011001110010100", 1438 => "0011001110000101", 1439 => "0011001101110110",
1440 => "0011001101100111", 1441 => "0011001101011000", 1442 => "0011001101001001",
1443 => "0011001100111010", 1444 => "0011001100101011", 1445 => "0011001100011100",
1446 => "0011001100001101", 1447 => "0011001011111110", 1448 => "0011001011101110",
1449 => "0011001011011111", 1450 => "0011001011010000", 1451 => "0011001011000001",
1452 => "0011001010110001", 1453 => "0011001010100010", 1454 => "0011001010010011",
1455 => "0011001010000011", 1456 => "0011001001110100", 1457 => "0011001001100100",
1458 => "0011001001010101", 1459 => "0011001001000101", 1460 => "0011001000110110",
1461 => "0011001000100110", 1462 => "0011001000010110", 1463 => "0011001000000111",
1464 => "0011000111110111", 1465 => "0011000111100111", 1466 => "0011000111011000",
1467 => "0011000111001000", 1468 => "0011000110111000", 1469 => "0011000110101000",
1470 => "0011000110011000", 1471 => "0011000110001000", 1472 => "0011000101111001",
1473 => "0011000101101001", 1474 => "0011000101011001", 1475 => "0011000101001001",
1476 => "0011000100111000", 1477 => "0011000100101000", 1478 => "0011000100011000",
1479 => "0011000100001000", 1480 => "0011000011111000", 1481 => "0011000011101000",
1482 => "0011000011011000", 1483 => "0011000011000111", 1484 => "0011000010110111",
1485 => "0011000010100111", 1486 => "0011000010010110", 1487 => "0011000010000110",
1488 => "0011000001110110", 1489 => "0011000001100101", 1490 => "0011000001010101",
1491 => "0011000001000100", 1492 => "0011000000110100", 1493 => "0011000000100011",
1494 => "0011000000010011", 1495 => "0011000000000010", 1496 => "0010111111110001",
1497 => "0010111111100001", 1498 => "0010111111010000", 1499 => "0010111110111111",
1500 => "0010111110101111", 1501 => "0010111110011110", 1502 => "0010111110001101",
1503 => "0010111101111100", 1504 => "0010111101101011", 1505 => "0010111101011010",
1506 => "0010111101001001", 1507 => "0010111100111000", 1508 => "0010111100101000",
1509 => "0010111100010110", 1510 => "0010111100000101", 1511 => "0010111011110100",
1512 => "0010111011100011", 1513 => "0010111011010010", 1514 => "0010111011000001",
1515 => "0010111010110000", 1516 => "0010111010011111", 1517 => "0010111010001101",
1518 => "0010111001111100", 1519 => "0010111001101011", 1520 => "0010111001011010",
1521 => "0010111001001000", 1522 => "0010111000110111", 1523 => "0010111000100101",
1524 => "0010111000010100", 1525 => "0010111000000011", 1526 => "0010110111110001",
1527 => "0010110111100000", 1528 => "0010110111001110", 1529 => "0010110110111100",
1530 => "0010110110101011", 1531 => "0010110110011001", 1532 => "0010110110001000",
1533 => "0010110101110110", 1534 => "0010110101100100", 1535 => "0010110101010010",
1536 => "0010110101000001", 1537 => "0010110100101111", 1538 => "0010110100011101",
1539 => "0010110100001011", 1540 => "0010110011111001", 1541 => "0010110011101000",
1542 => "0010110011010110", 1543 => "0010110011000100", 1544 => "0010110010110010",
1545 => "0010110010100000", 1546 => "0010110010001110", 1547 => "0010110001111100",
1548 => "0010110001101010", 1549 => "0010110001010111", 1550 => "0010110001000101",
1551 => "0010110000110011", 1552 => "0010110000100001", 1553 => "0010110000001111",
1554 => "0010101111111100", 1555 => "0010101111101010", 1556 => "0010101111011000",
1557 => "0010101111000110", 1558 => "0010101110110011", 1559 => "0010101110100001",
1560 => "0010101110001110", 1561 => "0010101101111100", 1562 => "0010101101101010",
1563 => "0010101101010111", 1564 => "0010101101000101", 1565 => "0010101100110010",
1566 => "0010101100100000", 1567 => "0010101100001101", 1568 => "0010101011111010",
1569 => "0010101011101000", 1570 => "0010101011010101", 1571 => "0010101011000010",
1572 => "0010101010110000", 1573 => "0010101010011101", 1574 => "0010101010001010",
1575 => "0010101001110111", 1576 => "0010101001100101", 1577 => "0010101001010010",
1578 => "0010101000111111", 1579 => "0010101000101100", 1580 => "0010101000011001",
1581 => "0010101000000110", 1582 => "0010100111110011", 1583 => "0010100111100000",
1584 => "0010100111001101", 1585 => "0010100110111010", 1586 => "0010100110100111",
1587 => "0010100110010100", 1588 => "0010100110000001", 1589 => "0010100101101110",
1590 => "0010100101011010", 1591 => "0010100101000111", 1592 => "0010100100110100",
1593 => "0010100100100001", 1594 => "0010100100001110", 1595 => "0010100011111010",
1596 => "0010100011100111", 1597 => "0010100011010100", 1598 => "0010100011000000",
1599 => "0010100010101101", 1600 => "0010100010011001", 1601 => "0010100010000110",
1602 => "0010100001110010", 1603 => "0010100001011111", 1604 => "0010100001001011",
1605 => "0010100000111000", 1606 => "0010100000100100", 1607 => "0010100000010001",
1608 => "0010011111111101", 1609 => "0010011111101010", 1610 => "0010011111010110",
1611 => "0010011111000010", 1612 => "0010011110101111", 1613 => "0010011110011011",
1614 => "0010011110000111", 1615 => "0010011101110011", 1616 => "0010011101011111",
1617 => "0010011101001100", 1618 => "0010011100111000", 1619 => "0010011100100100",
1620 => "0010011100010000", 1621 => "0010011011111100", 1622 => "0010011011101000",
1623 => "0010011011010100", 1624 => "0010011011000000", 1625 => "0010011010101100",
1626 => "0010011010011000", 1627 => "0010011010000100", 1628 => "0010011001110000",
1629 => "0010011001011100", 1630 => "0010011001001000", 1631 => "0010011000110100",
1632 => "0010011000011111", 1633 => "0010011000001011", 1634 => "0010010111110111",
1635 => "0010010111100011", 1636 => "0010010111001111", 1637 => "0010010110111010",
1638 => "0010010110100110", 1639 => "0010010110010010", 1640 => "0010010101111101",
1641 => "0010010101101001", 1642 => "0010010101010100", 1643 => "0010010101000000",
1644 => "0010010100101100", 1645 => "0010010100010111", 1646 => "0010010100000011",
1647 => "0010010011101110", 1648 => "0010010011011010", 1649 => "0010010011000101",
1650 => "0010010010110000", 1651 => "0010010010011100", 1652 => "0010010010000111",
1653 => "0010010001110011", 1654 => "0010010001011110", 1655 => "0010010001001001",
1656 => "0010010000110100", 1657 => "0010010000100000", 1658 => "0010010000001011",
1659 => "0010001111110110", 1660 => "0010001111100001", 1661 => "0010001111001101",
1662 => "0010001110111000", 1663 => "0010001110100011", 1664 => "0010001110001110",
1665 => "0010001101111001", 1666 => "0010001101100100", 1667 => "0010001101001111",
1668 => "0010001100111010", 1669 => "0010001100100101", 1670 => "0010001100010000",
1671 => "0010001011111011", 1672 => "0010001011100110", 1673 => "0010001011010001",
1674 => "0010001010111100", 1675 => "0010001010100111", 1676 => "0010001010010010",
1677 => "0010001001111101", 1678 => "0010001001100111", 1679 => "0010001001010010",
1680 => "0010001000111101", 1681 => "0010001000101000", 1682 => "0010001000010010",
1683 => "0010000111111101", 1684 => "0010000111101000", 1685 => "0010000111010010",
1686 => "0010000110111101", 1687 => "0010000110101000", 1688 => "0010000110010010",
1689 => "0010000101111101", 1690 => "0010000101101000", 1691 => "0010000101010010",
1692 => "0010000100111101", 1693 => "0010000100100111", 1694 => "0010000100010010",
1695 => "0010000011111100", 1696 => "0010000011100111", 1697 => "0010000011010001",
1698 => "0010000010111011", 1699 => "0010000010100110", 1700 => "0010000010010000",
1701 => "0010000001111011", 1702 => "0010000001100101", 1703 => "0010000001001111",
1704 => "0010000000111001", 1705 => "0010000000100100", 1706 => "0010000000001110",
1707 => "0001111111111000", 1708 => "0001111111100010", 1709 => "0001111111001101",
1710 => "0001111110110111", 1711 => "0001111110100001", 1712 => "0001111110001011",
1713 => "0001111101110101", 1714 => "0001111101011111", 1715 => "0001111101001001",
1716 => "0001111100110100", 1717 => "0001111100011110", 1718 => "0001111100001000",
1719 => "0001111011110010", 1720 => "0001111011011100", 1721 => "0001111011000110",
1722 => "0001111010110000", 1723 => "0001111010011001", 1724 => "0001111010000011",
1725 => "0001111001101101", 1726 => "0001111001010111", 1727 => "0001111001000001",
1728 => "0001111000101011", 1729 => "0001111000010101", 1730 => "0001110111111110",
1731 => "0001110111101000", 1732 => "0001110111010010", 1733 => "0001110110111100",
1734 => "0001110110100110", 1735 => "0001110110001111", 1736 => "0001110101111001",
1737 => "0001110101100011", 1738 => "0001110101001100", 1739 => "0001110100110110",
1740 => "0001110100100000", 1741 => "0001110100001001", 1742 => "0001110011110011",
1743 => "0001110011011100", 1744 => "0001110011000110", 1745 => "0001110010101111",
1746 => "0001110010011001", 1747 => "0001110010000011", 1748 => "0001110001101100",
1749 => "0001110001010101", 1750 => "0001110000111111", 1751 => "0001110000101000",
1752 => "0001110000010010", 1753 => "0001101111111011", 1754 => "0001101111100101",
1755 => "0001101111001110", 1756 => "0001101110110111", 1757 => "0001101110100001",
1758 => "0001101110001010", 1759 => "0001101101110011", 1760 => "0001101101011101",
1761 => "0001101101000110", 1762 => "0001101100101111", 1763 => "0001101100011000",
1764 => "0001101100000010", 1765 => "0001101011101011", 1766 => "0001101011010100",
1767 => "0001101010111101", 1768 => "0001101010100110", 1769 => "0001101010001111",
1770 => "0001101001111001", 1771 => "0001101001100010", 1772 => "0001101001001011",
1773 => "0001101000110100", 1774 => "0001101000011101", 1775 => "0001101000000110",
1776 => "0001100111101111", 1777 => "0001100111011000", 1778 => "0001100111000001",
1779 => "0001100110101010", 1780 => "0001100110010011", 1781 => "0001100101111100",
1782 => "0001100101100101", 1783 => "0001100101001110", 1784 => "0001100100110111",
1785 => "0001100100100000", 1786 => "0001100100001000", 1787 => "0001100011110001",
1788 => "0001100011011010", 1789 => "0001100011000011", 1790 => "0001100010101100",
1791 => "0001100010010101", 1792 => "0001100001111101", 1793 => "0001100001100110",
1794 => "0001100001001111", 1795 => "0001100000111000", 1796 => "0001100000100000",
1797 => "0001100000001001", 1798 => "0001011111110010", 1799 => "0001011111011010",
1800 => "0001011111000011", 1801 => "0001011110101100", 1802 => "0001011110010100",
1803 => "0001011101111101", 1804 => "0001011101100110", 1805 => "0001011101001110",
1806 => "0001011100110111", 1807 => "0001011100011111", 1808 => "0001011100001000",
1809 => "0001011011110001", 1810 => "0001011011011001", 1811 => "0001011011000010",
1812 => "0001011010101010", 1813 => "0001011010010011", 1814 => "0001011001111011",
1815 => "0001011001100100", 1816 => "0001011001001100", 1817 => "0001011000110100",
1818 => "0001011000011101", 1819 => "0001011000000101", 1820 => "0001010111101110",
1821 => "0001010111010110", 1822 => "0001010110111110", 1823 => "0001010110100111",
1824 => "0001010110001111", 1825 => "0001010101110111", 1826 => "0001010101100000",
1827 => "0001010101001000", 1828 => "0001010100110000", 1829 => "0001010100011001",
1830 => "0001010100000001", 1831 => "0001010011101001", 1832 => "0001010011010001",
1833 => "0001010010111010", 1834 => "0001010010100010", 1835 => "0001010010001010",
1836 => "0001010001110010", 1837 => "0001010001011010", 1838 => "0001010001000011",
1839 => "0001010000101011", 1840 => "0001010000010011", 1841 => "0001001111111011",
1842 => "0001001111100011", 1843 => "0001001111001011", 1844 => "0001001110110011",
1845 => "0001001110011011", 1846 => "0001001110000011", 1847 => "0001001101101100",
1848 => "0001001101010100", 1849 => "0001001100111100", 1850 => "0001001100100100",
1851 => "0001001100001100", 1852 => "0001001011110100", 1853 => "0001001011011100",
1854 => "0001001011000100", 1855 => "0001001010101100", 1856 => "0001001010010100",
1857 => "0001001001111011", 1858 => "0001001001100011", 1859 => "0001001001001011",
1860 => "0001001000110011", 1861 => "0001001000011011", 1862 => "0001001000000011",
1863 => "0001000111101011", 1864 => "0001000111010011", 1865 => "0001000110111011",
1866 => "0001000110100010", 1867 => "0001000110001010", 1868 => "0001000101110010",
1869 => "0001000101011010", 1870 => "0001000101000010", 1871 => "0001000100101010",
1872 => "0001000100010001", 1873 => "0001000011111001", 1874 => "0001000011100001",
1875 => "0001000011001001", 1876 => "0001000010110000", 1877 => "0001000010011000",
1878 => "0001000010000000", 1879 => "0001000001101000", 1880 => "0001000001001111",
1881 => "0001000000110111", 1882 => "0001000000011111", 1883 => "0001000000000110",
1884 => "0000111111101110", 1885 => "0000111111010110", 1886 => "0000111110111101",
1887 => "0000111110100101", 1888 => "0000111110001100", 1889 => "0000111101110100",
1890 => "0000111101011100", 1891 => "0000111101000011", 1892 => "0000111100101011",
1893 => "0000111100010010", 1894 => "0000111011111010", 1895 => "0000111011100010",
1896 => "0000111011001001", 1897 => "0000111010110001", 1898 => "0000111010011000",
1899 => "0000111010000000", 1900 => "0000111001100111", 1901 => "0000111001001111",
1902 => "0000111000110110", 1903 => "0000111000011110", 1904 => "0000111000000101",
1905 => "0000110111101101", 1906 => "0000110111010100", 1907 => "0000110110111100",
1908 => "0000110110100011", 1909 => "0000110110001011", 1910 => "0000110101110010",
1911 => "0000110101011001", 1912 => "0000110101000001", 1913 => "0000110100101000",
1914 => "0000110100010000", 1915 => "0000110011110111", 1916 => "0000110011011110",
1917 => "0000110011000110", 1918 => "0000110010101101", 1919 => "0000110010010101",
1920 => "0000110001111100", 1921 => "0000110001100011", 1922 => "0000110001001011",
1923 => "0000110000110010", 1924 => "0000110000011001", 1925 => "0000110000000001",
1926 => "0000101111101000", 1927 => "0000101111001111", 1928 => "0000101110110110",
1929 => "0000101110011110", 1930 => "0000101110000101", 1931 => "0000101101101100",
1932 => "0000101101010100", 1933 => "0000101100111011", 1934 => "0000101100100010",
1935 => "0000101100001001", 1936 => "0000101011110001", 1937 => "0000101011011000",
1938 => "0000101010111111", 1939 => "0000101010100110", 1940 => "0000101010001101",
1941 => "0000101001110101", 1942 => "0000101001011100", 1943 => "0000101001000011",
1944 => "0000101000101010", 1945 => "0000101000010001", 1946 => "0000100111111001",
1947 => "0000100111100000", 1948 => "0000100111000111", 1949 => "0000100110101110",
1950 => "0000100110010101", 1951 => "0000100101111100", 1952 => "0000100101100100",
1953 => "0000100101001011", 1954 => "0000100100110010", 1955 => "0000100100011001",
1956 => "0000100100000000", 1957 => "0000100011100111", 1958 => "0000100011001110",
1959 => "0000100010110101", 1960 => "0000100010011100", 1961 => "0000100010000100",
1962 => "0000100001101011", 1963 => "0000100001010010", 1964 => "0000100000111001",
1965 => "0000100000100000", 1966 => "0000100000000111", 1967 => "0000011111101110",
1968 => "0000011111010101", 1969 => "0000011110111100", 1970 => "0000011110100011",
1971 => "0000011110001010", 1972 => "0000011101110001", 1973 => "0000011101011000",
1974 => "0000011100111111", 1975 => "0000011100100110", 1976 => "0000011100001101",
1977 => "0000011011110100", 1978 => "0000011011011011", 1979 => "0000011011000010",
1980 => "0000011010101001", 1981 => "0000011010010000", 1982 => "0000011001110111",
1983 => "0000011001011110", 1984 => "0000011001000101", 1985 => "0000011000101100",
1986 => "0000011000010011", 1987 => "0000010111111010", 1988 => "0000010111100001",
1989 => "0000010111001000", 1990 => "0000010110101111", 1991 => "0000010110010110",
1992 => "0000010101111101", 1993 => "0000010101100100", 1994 => "0000010101001011",
1995 => "0000010100110010", 1996 => "0000010100011001", 1997 => "0000010100000000",
1998 => "0000010011100111", 1999 => "0000010011001110", 2000 => "0000010010110101",
2001 => "0000010010011100", 2002 => "0000010010000011", 2003 => "0000010001101010",
2004 => "0000010001010001", 2005 => "0000010000110111", 2006 => "0000010000011110",
2007 => "0000010000000101", 2008 => "0000001111101100", 2009 => "0000001111010011",
2010 => "0000001110111010", 2011 => "0000001110100001", 2012 => "0000001110001000",
2013 => "0000001101101111", 2014 => "0000001101010110", 2015 => "0000001100111101",
2016 => "0000001100100011", 2017 => "0000001100001010", 2018 => "0000001011110001",
2019 => "0000001011011000", 2020 => "0000001010111111", 2021 => "0000001010100110",
2022 => "0000001010001101", 2023 => "0000001001110100", 2024 => "0000001001011011",
2025 => "0000001001000001", 2026 => "0000001000101000", 2027 => "0000001000001111",
2028 => "0000000111110110", 2029 => "0000000111011101", 2030 => "0000000111000100",
2031 => "0000000110101011", 2032 => "0000000110010010", 2033 => "0000000101111000",
2034 => "0000000101011111", 2035 => "0000000101000110", 2036 => "0000000100101101",
2037 => "0000000100010100", 2038 => "0000000011111011", 2039 => "0000000011100010",
2040 => "0000000011001001", 2041 => "0000000010101111", 2042 => "0000000010010110",
2043 => "0000000001111101", 2044 => "0000000001100100", 2045 => "0000000001001011",
2046 => "0000000000110010", 2047 => "0000000000011001", 2048 => "0000000000000000",
2049 => "1111111111100110", 2050 => "1111111111001101", 2051 => "1111111110110100",
2052 => "1111111110011011", 2053 => "1111111110000010", 2054 => "1111111101101001",
2055 => "1111111101010000", 2056 => "1111111100110110", 2057 => "1111111100011101",
2058 => "1111111100000100", 2059 => "1111111011101011", 2060 => "1111111011010010",
2061 => "1111111010111001", 2062 => "1111111010100000", 2063 => "1111111010000111",
2064 => "1111111001101101", 2065 => "1111111001010100", 2066 => "1111111000111011",
2067 => "1111111000100010", 2068 => "1111111000001001", 2069 => "1111110111110000",
2070 => "1111110111010111", 2071 => "1111110110111110", 2072 => "1111110110100100",
2073 => "1111110110001011", 2074 => "1111110101110010", 2075 => "1111110101011001",
2076 => "1111110101000000", 2077 => "1111110100100111", 2078 => "1111110100001110",
2079 => "1111110011110101", 2080 => "1111110011011100", 2081 => "1111110011000010",
2082 => "1111110010101001", 2083 => "1111110010010000", 2084 => "1111110001110111",
2085 => "1111110001011110", 2086 => "1111110001000101", 2087 => "1111110000101100",
2088 => "1111110000010011", 2089 => "1111101111111010", 2090 => "1111101111100001",
2091 => "1111101111001000", 2092 => "1111101110101110", 2093 => "1111101110010101",
2094 => "1111101101111100", 2095 => "1111101101100011", 2096 => "1111101101001010",
2097 => "1111101100110001", 2098 => "1111101100011000", 2099 => "1111101011111111",
2100 => "1111101011100110", 2101 => "1111101011001101", 2102 => "1111101010110100",
2103 => "1111101010011011", 2104 => "1111101010000010", 2105 => "1111101001101001",
2106 => "1111101001010000", 2107 => "1111101000110111", 2108 => "1111101000011110",
2109 => "1111101000000101", 2110 => "1111100111101100", 2111 => "1111100111010011",
2112 => "1111100110111010", 2113 => "1111100110100001", 2114 => "1111100110001000",
2115 => "1111100101101111", 2116 => "1111100101010110", 2117 => "1111100100111101",
2118 => "1111100100100100", 2119 => "1111100100001011", 2120 => "1111100011110010",
2121 => "1111100011011001", 2122 => "1111100011000000", 2123 => "1111100010100111",
2124 => "1111100010001110", 2125 => "1111100001110101", 2126 => "1111100001011100",
2127 => "1111100001000011", 2128 => "1111100000101010", 2129 => "1111100000010001",
2130 => "1111011111111000", 2131 => "1111011111011111", 2132 => "1111011111000110",
2133 => "1111011110101101", 2134 => "1111011110010100", 2135 => "1111011101111011",
2136 => "1111011101100011", 2137 => "1111011101001010", 2138 => "1111011100110001",
2139 => "1111011100011000", 2140 => "1111011011111111", 2141 => "1111011011100110",
2142 => "1111011011001101", 2143 => "1111011010110100", 2144 => "1111011010011011",
2145 => "1111011010000011", 2146 => "1111011001101010", 2147 => "1111011001010001",
2148 => "1111011000111000", 2149 => "1111011000011111", 2150 => "1111011000000110",
2151 => "1111010111101110", 2152 => "1111010111010101", 2153 => "1111010110111100",
2154 => "1111010110100011", 2155 => "1111010110001010", 2156 => "1111010101110010",
2157 => "1111010101011001", 2158 => "1111010101000000", 2159 => "1111010100100111",
2160 => "1111010100001110", 2161 => "1111010011110110", 2162 => "1111010011011101",
2163 => "1111010011000100", 2164 => "1111010010101011", 2165 => "1111010010010011",
2166 => "1111010001111010", 2167 => "1111010001100001", 2168 => "1111010001001001",
2169 => "1111010000110000", 2170 => "1111010000010111", 2171 => "1111001111111110",
2172 => "1111001111100110", 2173 => "1111001111001101", 2174 => "1111001110110100",
2175 => "1111001110011100", 2176 => "1111001110000011", 2177 => "1111001101101010",
2178 => "1111001101010010", 2179 => "1111001100111001", 2180 => "1111001100100001",
2181 => "1111001100001000", 2182 => "1111001011101111", 2183 => "1111001011010111",
2184 => "1111001010111110", 2185 => "1111001010100110", 2186 => "1111001010001101",
2187 => "1111001001110100", 2188 => "1111001001011100", 2189 => "1111001001000011",
2190 => "1111001000101011", 2191 => "1111001000010010", 2192 => "1111000111111010",
2193 => "1111000111100001", 2194 => "1111000111001001", 2195 => "1111000110110000",
2196 => "1111000110011000", 2197 => "1111000101111111", 2198 => "1111000101100111",
2199 => "1111000101001110", 2200 => "1111000100110110", 2201 => "1111000100011101",
2202 => "1111000100000101", 2203 => "1111000011101101", 2204 => "1111000011010100",
2205 => "1111000010111100", 2206 => "1111000010100011", 2207 => "1111000010001011",
2208 => "1111000001110011", 2209 => "1111000001011010", 2210 => "1111000001000010",
2211 => "1111000000101001", 2212 => "1111000000010001", 2213 => "1110111111111001",
2214 => "1110111111100000", 2215 => "1110111111001000", 2216 => "1110111110110000",
2217 => "1110111110010111", 2218 => "1110111101111111", 2219 => "1110111101100111",
2220 => "1110111101001111", 2221 => "1110111100110110", 2222 => "1110111100011110",
2223 => "1110111100000110", 2224 => "1110111011101110", 2225 => "1110111011010101",
2226 => "1110111010111101", 2227 => "1110111010100101", 2228 => "1110111010001101",
2229 => "1110111001110101", 2230 => "1110111001011101", 2231 => "1110111001000100",
2232 => "1110111000101100", 2233 => "1110111000010100", 2234 => "1110110111111100",
2235 => "1110110111100100", 2236 => "1110110111001100", 2237 => "1110110110110100",
2238 => "1110110110011100", 2239 => "1110110110000100", 2240 => "1110110101101011",
2241 => "1110110101010011", 2242 => "1110110100111011", 2243 => "1110110100100011",
2244 => "1110110100001011", 2245 => "1110110011110011", 2246 => "1110110011011011",
2247 => "1110110011000011", 2248 => "1110110010101011", 2249 => "1110110010010011",
2250 => "1110110001111100", 2251 => "1110110001100100", 2252 => "1110110001001100",
2253 => "1110110000110100", 2254 => "1110110000011100", 2255 => "1110110000000100",
2256 => "1110101111101100", 2257 => "1110101111010100", 2258 => "1110101110111100",
2259 => "1110101110100101", 2260 => "1110101110001101", 2261 => "1110101101110101",
2262 => "1110101101011101", 2263 => "1110101101000101", 2264 => "1110101100101110",
2265 => "1110101100010110", 2266 => "1110101011111110", 2267 => "1110101011100110",
2268 => "1110101011001111", 2269 => "1110101010110111", 2270 => "1110101010011111",
2271 => "1110101010001000", 2272 => "1110101001110000", 2273 => "1110101001011000",
2274 => "1110101001000001", 2275 => "1110101000101001", 2276 => "1110101000010001",
2277 => "1110100111111010", 2278 => "1110100111100010", 2279 => "1110100111001011",
2280 => "1110100110110011", 2281 => "1110100110011011", 2282 => "1110100110000100",
2283 => "1110100101101100", 2284 => "1110100101010101", 2285 => "1110100100111101",
2286 => "1110100100100110", 2287 => "1110100100001110", 2288 => "1110100011110111",
2289 => "1110100011100000", 2290 => "1110100011001000", 2291 => "1110100010110001",
2292 => "1110100010011001", 2293 => "1110100010000010", 2294 => "1110100001101011",
2295 => "1110100001010011", 2296 => "1110100000111100", 2297 => "1110100000100101",
2298 => "1110100000001101", 2299 => "1110011111110110", 2300 => "1110011111011111",
2301 => "1110011111000111", 2302 => "1110011110110000", 2303 => "1110011110011001",
2304 => "1110011110000010", 2305 => "1110011101101010", 2306 => "1110011101010011",
2307 => "1110011100111100", 2308 => "1110011100100101", 2309 => "1110011100001110",
2310 => "1110011011110111", 2311 => "1110011011011111", 2312 => "1110011011001000",
2313 => "1110011010110001", 2314 => "1110011010011010", 2315 => "1110011010000011",
2316 => "1110011001101100", 2317 => "1110011001010101", 2318 => "1110011000111110",
2319 => "1110011000100111", 2320 => "1110011000010000", 2321 => "1110010111111001",
2322 => "1110010111100010", 2323 => "1110010111001011", 2324 => "1110010110110100",
2325 => "1110010110011101", 2326 => "1110010110000110", 2327 => "1110010101110000",
2328 => "1110010101011001", 2329 => "1110010101000010", 2330 => "1110010100101011",
2331 => "1110010100010100", 2332 => "1110010011111101", 2333 => "1110010011100111",
2334 => "1110010011010000", 2335 => "1110010010111001", 2336 => "1110010010100010",
2337 => "1110010010001100", 2338 => "1110010001110101", 2339 => "1110010001011110",
2340 => "1110010001001000", 2341 => "1110010000110001", 2342 => "1110010000011010",
2343 => "1110010000000100", 2344 => "1110001111101101", 2345 => "1110001111010111",
2346 => "1110001111000000", 2347 => "1110001110101010", 2348 => "1110001110010011",
2349 => "1110001101111100", 2350 => "1110001101100110", 2351 => "1110001101010000",
2352 => "1110001100111001", 2353 => "1110001100100011", 2354 => "1110001100001100",
2355 => "1110001011110110", 2356 => "1110001011011111", 2357 => "1110001011001001",
2358 => "1110001010110011", 2359 => "1110001010011100", 2360 => "1110001010000110",
2361 => "1110001001110000", 2362 => "1110001001011001", 2363 => "1110001001000011",
2364 => "1110001000101101", 2365 => "1110001000010111", 2366 => "1110001000000001",
2367 => "1110000111101010", 2368 => "1110000111010100", 2369 => "1110000110111110",
2370 => "1110000110101000", 2371 => "1110000110010010", 2372 => "1110000101111100",
2373 => "1110000101100110", 2374 => "1110000101001111", 2375 => "1110000100111001",
2376 => "1110000100100011", 2377 => "1110000100001101", 2378 => "1110000011110111",
2379 => "1110000011100001", 2380 => "1110000011001011", 2381 => "1110000010110110",
2382 => "1110000010100000", 2383 => "1110000010001010", 2384 => "1110000001110100",
2385 => "1110000001011110", 2386 => "1110000001001000", 2387 => "1110000000110010",
2388 => "1110000000011101", 2389 => "1110000000000111", 2390 => "1101111111110001",
2391 => "1101111111011011", 2392 => "1101111111000110", 2393 => "1101111110110000",
2394 => "1101111110011010", 2395 => "1101111110000100", 2396 => "1101111101101111",
2397 => "1101111101011001", 2398 => "1101111101000100", 2399 => "1101111100101110",
2400 => "1101111100011000", 2401 => "1101111100000011", 2402 => "1101111011101101",
2403 => "1101111011011000", 2404 => "1101111011000010", 2405 => "1101111010101101",
2406 => "1101111010010111", 2407 => "1101111010000010", 2408 => "1101111001101101",
2409 => "1101111001010111", 2410 => "1101111001000010", 2411 => "1101111000101101",
2412 => "1101111000010111", 2413 => "1101111000000010", 2414 => "1101110111101101",
2415 => "1101110111010111", 2416 => "1101110111000010", 2417 => "1101110110101101",
2418 => "1101110110011000", 2419 => "1101110110000010", 2420 => "1101110101101101",
2421 => "1101110101011000", 2422 => "1101110101000011", 2423 => "1101110100101110",
2424 => "1101110100011001", 2425 => "1101110100000100", 2426 => "1101110011101111",
2427 => "1101110011011010", 2428 => "1101110011000101", 2429 => "1101110010110000",
2430 => "1101110010011011", 2431 => "1101110010000110", 2432 => "1101110001110001",
2433 => "1101110001011100", 2434 => "1101110001000111", 2435 => "1101110000110010",
2436 => "1101110000011110", 2437 => "1101110000001001", 2438 => "1101101111110100",
2439 => "1101101111011111", 2440 => "1101101111001011", 2441 => "1101101110110110",
2442 => "1101101110100001", 2443 => "1101101110001100", 2444 => "1101101101111000",
2445 => "1101101101100011", 2446 => "1101101101001111", 2447 => "1101101100111010",
2448 => "1101101100100101", 2449 => "1101101100010001", 2450 => "1101101011111100",
2451 => "1101101011101000", 2452 => "1101101011010011", 2453 => "1101101010111111",
2454 => "1101101010101011", 2455 => "1101101010010110", 2456 => "1101101010000010",
2457 => "1101101001101101", 2458 => "1101101001011001", 2459 => "1101101001000101",
2460 => "1101101000110000", 2461 => "1101101000011100", 2462 => "1101101000001000",
2463 => "1101100111110100", 2464 => "1101100111100000", 2465 => "1101100111001011",
2466 => "1101100110110111", 2467 => "1101100110100011", 2468 => "1101100110001111",
2469 => "1101100101111011", 2470 => "1101100101100111", 2471 => "1101100101010011",
2472 => "1101100100111111", 2473 => "1101100100101011", 2474 => "1101100100010111",
2475 => "1101100100000011", 2476 => "1101100011101111", 2477 => "1101100011011011",
2478 => "1101100011000111", 2479 => "1101100010110011", 2480 => "1101100010100000",
2481 => "1101100010001100", 2482 => "1101100001111000", 2483 => "1101100001100100",
2484 => "1101100001010000", 2485 => "1101100000111101", 2486 => "1101100000101001",
2487 => "1101100000010101", 2488 => "1101100000000010", 2489 => "1101011111101110",
2490 => "1101011111011011", 2491 => "1101011111000111", 2492 => "1101011110110100",
2493 => "1101011110100000", 2494 => "1101011110001101", 2495 => "1101011101111001",
2496 => "1101011101100110", 2497 => "1101011101010010", 2498 => "1101011100111111",
2499 => "1101011100101011", 2500 => "1101011100011000", 2501 => "1101011100000101",
2502 => "1101011011110001", 2503 => "1101011011011110", 2504 => "1101011011001011",
2505 => "1101011010111000", 2506 => "1101011010100101", 2507 => "1101011010010001",
2508 => "1101011001111110", 2509 => "1101011001101011", 2510 => "1101011001011000",
2511 => "1101011001000101", 2512 => "1101011000110010", 2513 => "1101011000011111",
2514 => "1101011000001100", 2515 => "1101010111111001", 2516 => "1101010111100110",
2517 => "1101010111010011", 2518 => "1101010111000000", 2519 => "1101010110101101",
2520 => "1101010110011010", 2521 => "1101010110001000", 2522 => "1101010101110101",
2523 => "1101010101100010", 2524 => "1101010101001111", 2525 => "1101010100111101",
2526 => "1101010100101010", 2527 => "1101010100010111", 2528 => "1101010100000101",
2529 => "1101010011110010", 2530 => "1101010011011111", 2531 => "1101010011001101",
2532 => "1101010010111010", 2533 => "1101010010101000", 2534 => "1101010010010101",
2535 => "1101010010000011", 2536 => "1101010001110001", 2537 => "1101010001011110",
2538 => "1101010001001100", 2539 => "1101010000111001", 2540 => "1101010000100111",
2541 => "1101010000010101", 2542 => "1101010000000011", 2543 => "1101001111110000",
2544 => "1101001111011110", 2545 => "1101001111001100", 2546 => "1101001110111010",
2547 => "1101001110101000", 2548 => "1101001110010101", 2549 => "1101001110000011",
2550 => "1101001101110001", 2551 => "1101001101011111", 2552 => "1101001101001101",
2553 => "1101001100111011", 2554 => "1101001100101001", 2555 => "1101001100010111",
2556 => "1101001100000110", 2557 => "1101001011110100", 2558 => "1101001011100010",
2559 => "1101001011010000", 2560 => "1101001010111110", 2561 => "1101001010101101",
2562 => "1101001010011011", 2563 => "1101001010001001", 2564 => "1101001001110111",
2565 => "1101001001100110", 2566 => "1101001001010100", 2567 => "1101001001000011",
2568 => "1101001000110001", 2569 => "1101001000011111", 2570 => "1101001000001110",
2571 => "1101000111111100", 2572 => "1101000111101011", 2573 => "1101000111011010",
2574 => "1101000111001000", 2575 => "1101000110110111", 2576 => "1101000110100101",
2577 => "1101000110010100", 2578 => "1101000110000011", 2579 => "1101000101110010",
2580 => "1101000101100000", 2581 => "1101000101001111", 2582 => "1101000100111110",
2583 => "1101000100101101", 2584 => "1101000100011100", 2585 => "1101000100001011",
2586 => "1101000011111010", 2587 => "1101000011101001", 2588 => "1101000011010111",
2589 => "1101000011000111", 2590 => "1101000010110110", 2591 => "1101000010100101",
2592 => "1101000010010100", 2593 => "1101000010000011", 2594 => "1101000001110010",
2595 => "1101000001100001", 2596 => "1101000001010000", 2597 => "1101000001000000",
2598 => "1101000000101111", 2599 => "1101000000011110", 2600 => "1101000000001110",
2601 => "1100111111111101", 2602 => "1100111111101100", 2603 => "1100111111011100",
2604 => "1100111111001011", 2605 => "1100111110111011", 2606 => "1100111110101010",
2607 => "1100111110011010", 2608 => "1100111110001001", 2609 => "1100111101111001",
2610 => "1100111101101001", 2611 => "1100111101011000", 2612 => "1100111101001000",
2613 => "1100111100111000", 2614 => "1100111100100111", 2615 => "1100111100010111",
2616 => "1100111100000111", 2617 => "1100111011110111", 2618 => "1100111011100111",
2619 => "1100111011010111", 2620 => "1100111011000111", 2621 => "1100111010110110",
2622 => "1100111010100110", 2623 => "1100111010010110", 2624 => "1100111010000110",
2625 => "1100111001110111", 2626 => "1100111001100111", 2627 => "1100111001010111",
2628 => "1100111001000111", 2629 => "1100111000110111", 2630 => "1100111000100111",
2631 => "1100111000011000", 2632 => "1100111000001000", 2633 => "1100110111111000",
2634 => "1100110111101001", 2635 => "1100110111011001", 2636 => "1100110111001001",
2637 => "1100110110111010", 2638 => "1100110110101010", 2639 => "1100110110011011",
2640 => "1100110110001011", 2641 => "1100110101111100", 2642 => "1100110101101100",
2643 => "1100110101011101", 2644 => "1100110101001110", 2645 => "1100110100111110",
2646 => "1100110100101111", 2647 => "1100110100100000", 2648 => "1100110100010001",
2649 => "1100110100000001", 2650 => "1100110011110010", 2651 => "1100110011100011",
2652 => "1100110011010100", 2653 => "1100110011000101", 2654 => "1100110010110110",
2655 => "1100110010100111", 2656 => "1100110010011000", 2657 => "1100110010001001",
2658 => "1100110001111010", 2659 => "1100110001101011", 2660 => "1100110001011100",
2661 => "1100110001001101", 2662 => "1100110000111110", 2663 => "1100110000110000",
2664 => "1100110000100001", 2665 => "1100110000010010", 2666 => "1100110000000100",
2667 => "1100101111110101", 2668 => "1100101111100110", 2669 => "1100101111011000",
2670 => "1100101111001001", 2671 => "1100101110111011", 2672 => "1100101110101100",
2673 => "1100101110011110", 2674 => "1100101110001111", 2675 => "1100101110000001",
2676 => "1100101101110011", 2677 => "1100101101100100", 2678 => "1100101101010110",
2679 => "1100101101001000", 2680 => "1100101100111001", 2681 => "1100101100101011",
2682 => "1100101100011101", 2683 => "1100101100001111", 2684 => "1100101100000001",
2685 => "1100101011110011", 2686 => "1100101011100101", 2687 => "1100101011010111",
2688 => "1100101011001001", 2689 => "1100101010111011", 2690 => "1100101010101101",
2691 => "1100101010011111", 2692 => "1100101010010001", 2693 => "1100101010000011",
2694 => "1100101001110110", 2695 => "1100101001101000", 2696 => "1100101001011010",
2697 => "1100101001001100", 2698 => "1100101000111111", 2699 => "1100101000110001",
2700 => "1100101000100011", 2701 => "1100101000010110", 2702 => "1100101000001000",
2703 => "1100100111111011", 2704 => "1100100111101101", 2705 => "1100100111100000",
2706 => "1100100111010011", 2707 => "1100100111000101", 2708 => "1100100110111000",
2709 => "1100100110101011", 2710 => "1100100110011101", 2711 => "1100100110010000",
2712 => "1100100110000011", 2713 => "1100100101110110", 2714 => "1100100101101001",
2715 => "1100100101011011", 2716 => "1100100101001110", 2717 => "1100100101000001",
2718 => "1100100100110100", 2719 => "1100100100100111", 2720 => "1100100100011010",
2721 => "1100100100001110", 2722 => "1100100100000001", 2723 => "1100100011110100",
2724 => "1100100011100111", 2725 => "1100100011011010", 2726 => "1100100011001110",
2727 => "1100100011000001", 2728 => "1100100010110100", 2729 => "1100100010101000",
2730 => "1100100010011011", 2731 => "1100100010001110", 2732 => "1100100010000010",
2733 => "1100100001110101", 2734 => "1100100001101001", 2735 => "1100100001011100",
2736 => "1100100001010000", 2737 => "1100100001000100", 2738 => "1100100000110111",
2739 => "1100100000101011", 2740 => "1100100000011111", 2741 => "1100100000010010",
2742 => "1100100000000110", 2743 => "1100011111111010", 2744 => "1100011111101110",
2745 => "1100011111100010", 2746 => "1100011111010110", 2747 => "1100011111001010",
2748 => "1100011110111110", 2749 => "1100011110110010", 2750 => "1100011110100110",
2751 => "1100011110011010", 2752 => "1100011110001110", 2753 => "1100011110000010",
2754 => "1100011101110110", 2755 => "1100011101101011", 2756 => "1100011101011111",
2757 => "1100011101010011", 2758 => "1100011101001000", 2759 => "1100011100111100",
2760 => "1100011100110000", 2761 => "1100011100100101", 2762 => "1100011100011001",
2763 => "1100011100001110", 2764 => "1100011100000010", 2765 => "1100011011110111",
2766 => "1100011011101100", 2767 => "1100011011100000", 2768 => "1100011011010101",
2769 => "1100011011001010", 2770 => "1100011010111110", 2771 => "1100011010110011",
2772 => "1100011010101000", 2773 => "1100011010011101", 2774 => "1100011010010010",
2775 => "1100011010000111", 2776 => "1100011001111100", 2777 => "1100011001110001",
2778 => "1100011001100110", 2779 => "1100011001011011", 2780 => "1100011001010000",
2781 => "1100011001000101", 2782 => "1100011000111010", 2783 => "1100011000101111",
2784 => "1100011000100101", 2785 => "1100011000011010", 2786 => "1100011000001111",
2787 => "1100011000000100", 2788 => "1100010111111010", 2789 => "1100010111101111",
2790 => "1100010111100101", 2791 => "1100010111011010", 2792 => "1100010111010000",
2793 => "1100010111000101", 2794 => "1100010110111011", 2795 => "1100010110110000",
2796 => "1100010110100110", 2797 => "1100010110011100", 2798 => "1100010110010010",
2799 => "1100010110000111", 2800 => "1100010101111101", 2801 => "1100010101110011",
2802 => "1100010101101001", 2803 => "1100010101011111", 2804 => "1100010101010101",
2805 => "1100010101001011", 2806 => "1100010101000001", 2807 => "1100010100110111",
2808 => "1100010100101101", 2809 => "1100010100100011", 2810 => "1100010100011001",
2811 => "1100010100001111", 2812 => "1100010100000101", 2813 => "1100010011111100",
2814 => "1100010011110010", 2815 => "1100010011101000", 2816 => "1100010011011111",
2817 => "1100010011010101", 2818 => "1100010011001011", 2819 => "1100010011000010",
2820 => "1100010010111000", 2821 => "1100010010101111", 2822 => "1100010010100110",
2823 => "1100010010011100", 2824 => "1100010010010011", 2825 => "1100010010001010",
2826 => "1100010010000000", 2827 => "1100010001110111", 2828 => "1100010001101110",
2829 => "1100010001100101", 2830 => "1100010001011100", 2831 => "1100010001010010",
2832 => "1100010001001001", 2833 => "1100010001000000", 2834 => "1100010000110111",
2835 => "1100010000101110", 2836 => "1100010000100101", 2837 => "1100010000011101",
2838 => "1100010000010100", 2839 => "1100010000001011", 2840 => "1100010000000010",
2841 => "1100001111111001", 2842 => "1100001111110001", 2843 => "1100001111101000",
2844 => "1100001111011111", 2845 => "1100001111010111", 2846 => "1100001111001110",
2847 => "1100001111000110", 2848 => "1100001110111101", 2849 => "1100001110110101",
2850 => "1100001110101100", 2851 => "1100001110100100", 2852 => "1100001110011100",
2853 => "1100001110010011", 2854 => "1100001110001011", 2855 => "1100001110000011",
2856 => "1100001101111011", 2857 => "1100001101110011", 2858 => "1100001101101010",
2859 => "1100001101100010", 2860 => "1100001101011010", 2861 => "1100001101010010",
2862 => "1100001101001010", 2863 => "1100001101000010", 2864 => "1100001100111010",
2865 => "1100001100110011", 2866 => "1100001100101011", 2867 => "1100001100100011",
2868 => "1100001100011011", 2869 => "1100001100010011", 2870 => "1100001100001100",
2871 => "1100001100000100", 2872 => "1100001011111101", 2873 => "1100001011110101",
2874 => "1100001011101101", 2875 => "1100001011100110", 2876 => "1100001011011110",
2877 => "1100001011010111", 2878 => "1100001011010000", 2879 => "1100001011001000",
2880 => "1100001011000001", 2881 => "1100001010111010", 2882 => "1100001010110010",
2883 => "1100001010101011", 2884 => "1100001010100100", 2885 => "1100001010011101",
2886 => "1100001010010110", 2887 => "1100001010001111", 2888 => "1100001010001000",
2889 => "1100001010000001", 2890 => "1100001001111010", 2891 => "1100001001110011",
2892 => "1100001001101100", 2893 => "1100001001100101", 2894 => "1100001001011110",
2895 => "1100001001011000", 2896 => "1100001001010001", 2897 => "1100001001001010",
2898 => "1100001001000100", 2899 => "1100001000111101", 2900 => "1100001000110110",
2901 => "1100001000110000", 2902 => "1100001000101001", 2903 => "1100001000100011",
2904 => "1100001000011101", 2905 => "1100001000010110", 2906 => "1100001000010000",
2907 => "1100001000001010", 2908 => "1100001000000011", 2909 => "1100000111111101",
2910 => "1100000111110111", 2911 => "1100000111110001", 2912 => "1100000111101011",
2913 => "1100000111100100", 2914 => "1100000111011110", 2915 => "1100000111011000",
2916 => "1100000111010010", 2917 => "1100000111001100", 2918 => "1100000111000111",
2919 => "1100000111000001", 2920 => "1100000110111011", 2921 => "1100000110110101",
2922 => "1100000110101111", 2923 => "1100000110101010", 2924 => "1100000110100100",
2925 => "1100000110011110", 2926 => "1100000110011001", 2927 => "1100000110010011",
2928 => "1100000110001110", 2929 => "1100000110001000", 2930 => "1100000110000011",
2931 => "1100000101111101", 2932 => "1100000101111000", 2933 => "1100000101110011",
2934 => "1100000101101101", 2935 => "1100000101101000", 2936 => "1100000101100011",
2937 => "1100000101011110", 2938 => "1100000101011000", 2939 => "1100000101010011",
2940 => "1100000101001110", 2941 => "1100000101001001", 2942 => "1100000101000100",
2943 => "1100000100111111", 2944 => "1100000100111010", 2945 => "1100000100110101",
2946 => "1100000100110001", 2947 => "1100000100101100", 2948 => "1100000100100111",
2949 => "1100000100100010", 2950 => "1100000100011110", 2951 => "1100000100011001",
2952 => "1100000100010100", 2953 => "1100000100010000", 2954 => "1100000100001011",
2955 => "1100000100000111", 2956 => "1100000100000010", 2957 => "1100000011111110",
2958 => "1100000011111001", 2959 => "1100000011110101", 2960 => "1100000011110001",
2961 => "1100000011101100", 2962 => "1100000011101000", 2963 => "1100000011100100",
2964 => "1100000011100000", 2965 => "1100000011011100", 2966 => "1100000011011000",
2967 => "1100000011010100", 2968 => "1100000011010000", 2969 => "1100000011001100",
2970 => "1100000011001000", 2971 => "1100000011000100", 2972 => "1100000011000000",
2973 => "1100000010111100", 2974 => "1100000010111000", 2975 => "1100000010110101",
2976 => "1100000010110001", 2977 => "1100000010101101", 2978 => "1100000010101010",
2979 => "1100000010100110", 2980 => "1100000010100010", 2981 => "1100000010011111",
2982 => "1100000010011011", 2983 => "1100000010011000", 2984 => "1100000010010101",
2985 => "1100000010010001", 2986 => "1100000010001110", 2987 => "1100000010001011",
2988 => "1100000010000111", 2989 => "1100000010000100", 2990 => "1100000010000001",
2991 => "1100000001111110", 2992 => "1100000001111011", 2993 => "1100000001111000",
2994 => "1100000001110101", 2995 => "1100000001110010", 2996 => "1100000001101111",
2997 => "1100000001101100", 2998 => "1100000001101001", 2999 => "1100000001100110",
3000 => "1100000001100011", 3001 => "1100000001100001", 3002 => "1100000001011110",
3003 => "1100000001011011", 3004 => "1100000001011001", 3005 => "1100000001010110",
3006 => "1100000001010011", 3007 => "1100000001010001", 3008 => "1100000001001110",
3009 => "1100000001001100", 3010 => "1100000001001010", 3011 => "1100000001000111",
3012 => "1100000001000101", 3013 => "1100000001000011", 3014 => "1100000001000000",
3015 => "1100000000111110", 3016 => "1100000000111100", 3017 => "1100000000111010",
3018 => "1100000000111000", 3019 => "1100000000110110", 3020 => "1100000000110100",
3021 => "1100000000110010", 3022 => "1100000000110000", 3023 => "1100000000101110",
3024 => "1100000000101100", 3025 => "1100000000101010", 3026 => "1100000000101000",
3027 => "1100000000100111", 3028 => "1100000000100101", 3029 => "1100000000100011",
3030 => "1100000000100001", 3031 => "1100000000100000", 3032 => "1100000000011110",
3033 => "1100000000011101", 3034 => "1100000000011011", 3035 => "1100000000011010",
3036 => "1100000000011000", 3037 => "1100000000010111", 3038 => "1100000000010110",
3039 => "1100000000010100", 3040 => "1100000000010011", 3041 => "1100000000010010",
3042 => "1100000000010001", 3043 => "1100000000010000", 3044 => "1100000000001111",
3045 => "1100000000001110", 3046 => "1100000000001101", 3047 => "1100000000001100",
3048 => "1100000000001011", 3049 => "1100000000001010", 3050 => "1100000000001001",
3051 => "1100000000001000", 3052 => "1100000000000111", 3053 to 3054=> "1100000000000110",
3055 => "1100000000000101", 3056 to 3057=> "1100000000000100", 3058 to 3059=> "1100000000000011",
3060 to 3061=> "1100000000000010", 3062 to 3064=> "1100000000000001", 3065 to 3079=> "1100000000000000",
3080 to 3082=> "1100000000000001", 3083 to 3084=> "1100000000000010", 3085 to 3086=> "1100000000000011",
3087 to 3088=> "1100000000000100", 3089 => "1100000000000101", 3090 to 3091=> "1100000000000110",
3092 => "1100000000000111", 3093 => "1100000000001000", 3094 => "1100000000001001",
3095 => "1100000000001010", 3096 => "1100000000001011", 3097 => "1100000000001100",
3098 => "1100000000001101", 3099 => "1100000000001110", 3100 => "1100000000001111",
3101 => "1100000000010000", 3102 => "1100000000010001", 3103 => "1100000000010010",
3104 => "1100000000010011", 3105 => "1100000000010100", 3106 => "1100000000010110",
3107 => "1100000000010111", 3108 => "1100000000011000", 3109 => "1100000000011010",
3110 => "1100000000011011", 3111 => "1100000000011101", 3112 => "1100000000011110",
3113 => "1100000000100000", 3114 => "1100000000100001", 3115 => "1100000000100011",
3116 => "1100000000100101", 3117 => "1100000000100111", 3118 => "1100000000101000",
3119 => "1100000000101010", 3120 => "1100000000101100", 3121 => "1100000000101110",
3122 => "1100000000110000", 3123 => "1100000000110010", 3124 => "1100000000110100",
3125 => "1100000000110110", 3126 => "1100000000111000", 3127 => "1100000000111010",
3128 => "1100000000111100", 3129 => "1100000000111110", 3130 => "1100000001000000",
3131 => "1100000001000011", 3132 => "1100000001000101", 3133 => "1100000001000111",
3134 => "1100000001001010", 3135 => "1100000001001100", 3136 => "1100000001001110",
3137 => "1100000001010001", 3138 => "1100000001010011", 3139 => "1100000001010110",
3140 => "1100000001011001", 3141 => "1100000001011011", 3142 => "1100000001011110",
3143 => "1100000001100001", 3144 => "1100000001100011", 3145 => "1100000001100110",
3146 => "1100000001101001", 3147 => "1100000001101100", 3148 => "1100000001101111",
3149 => "1100000001110010", 3150 => "1100000001110101", 3151 => "1100000001111000",
3152 => "1100000001111011", 3153 => "1100000001111110", 3154 => "1100000010000001",
3155 => "1100000010000100", 3156 => "1100000010000111", 3157 => "1100000010001011",
3158 => "1100000010001110", 3159 => "1100000010010001", 3160 => "1100000010010101",
3161 => "1100000010011000", 3162 => "1100000010011011", 3163 => "1100000010011111",
3164 => "1100000010100010", 3165 => "1100000010100110", 3166 => "1100000010101010",
3167 => "1100000010101101", 3168 => "1100000010110001", 3169 => "1100000010110101",
3170 => "1100000010111000", 3171 => "1100000010111100", 3172 => "1100000011000000",
3173 => "1100000011000100", 3174 => "1100000011001000", 3175 => "1100000011001100",
3176 => "1100000011010000", 3177 => "1100000011010100", 3178 => "1100000011011000",
3179 => "1100000011011100", 3180 => "1100000011100000", 3181 => "1100000011100100",
3182 => "1100000011101000", 3183 => "1100000011101100", 3184 => "1100000011110001",
3185 => "1100000011110101", 3186 => "1100000011111001", 3187 => "1100000011111110",
3188 => "1100000100000010", 3189 => "1100000100000111", 3190 => "1100000100001011",
3191 => "1100000100010000", 3192 => "1100000100010100", 3193 => "1100000100011001",
3194 => "1100000100011110", 3195 => "1100000100100010", 3196 => "1100000100100111",
3197 => "1100000100101100", 3198 => "1100000100110001", 3199 => "1100000100110101",
3200 => "1100000100111010", 3201 => "1100000100111111", 3202 => "1100000101000100",
3203 => "1100000101001001", 3204 => "1100000101001110", 3205 => "1100000101010011",
3206 => "1100000101011000", 3207 => "1100000101011110", 3208 => "1100000101100011",
3209 => "1100000101101000", 3210 => "1100000101101101", 3211 => "1100000101110011",
3212 => "1100000101111000", 3213 => "1100000101111101", 3214 => "1100000110000011",
3215 => "1100000110001000", 3216 => "1100000110001110", 3217 => "1100000110010011",
3218 => "1100000110011001", 3219 => "1100000110011110", 3220 => "1100000110100100",
3221 => "1100000110101010", 3222 => "1100000110101111", 3223 => "1100000110110101",
3224 => "1100000110111011", 3225 => "1100000111000001", 3226 => "1100000111000111",
3227 => "1100000111001100", 3228 => "1100000111010010", 3229 => "1100000111011000",
3230 => "1100000111011110", 3231 => "1100000111100100", 3232 => "1100000111101011",
3233 => "1100000111110001", 3234 => "1100000111110111", 3235 => "1100000111111101",
3236 => "1100001000000011", 3237 => "1100001000001010", 3238 => "1100001000010000",
3239 => "1100001000010110", 3240 => "1100001000011101", 3241 => "1100001000100011",
3242 => "1100001000101001", 3243 => "1100001000110000", 3244 => "1100001000110110",
3245 => "1100001000111101", 3246 => "1100001001000100", 3247 => "1100001001001010",
3248 => "1100001001010001", 3249 => "1100001001011000", 3250 => "1100001001011110",
3251 => "1100001001100101", 3252 => "1100001001101100", 3253 => "1100001001110011",
3254 => "1100001001111010", 3255 => "1100001010000001", 3256 => "1100001010001000",
3257 => "1100001010001111", 3258 => "1100001010010110", 3259 => "1100001010011101",
3260 => "1100001010100100", 3261 => "1100001010101011", 3262 => "1100001010110010",
3263 => "1100001010111010", 3264 => "1100001011000001", 3265 => "1100001011001000",
3266 => "1100001011010000", 3267 => "1100001011010111", 3268 => "1100001011011110",
3269 => "1100001011100110", 3270 => "1100001011101101", 3271 => "1100001011110101",
3272 => "1100001011111101", 3273 => "1100001100000100", 3274 => "1100001100001100",
3275 => "1100001100010011", 3276 => "1100001100011011", 3277 => "1100001100100011",
3278 => "1100001100101011", 3279 => "1100001100110011", 3280 => "1100001100111010",
3281 => "1100001101000010", 3282 => "1100001101001010", 3283 => "1100001101010010",
3284 => "1100001101011010", 3285 => "1100001101100010", 3286 => "1100001101101010",
3287 => "1100001101110011", 3288 => "1100001101111011", 3289 => "1100001110000011",
3290 => "1100001110001011", 3291 => "1100001110010011", 3292 => "1100001110011100",
3293 => "1100001110100100", 3294 => "1100001110101100", 3295 => "1100001110110101",
3296 => "1100001110111101", 3297 => "1100001111000110", 3298 => "1100001111001110",
3299 => "1100001111010111", 3300 => "1100001111011111", 3301 => "1100001111101000",
3302 => "1100001111110001", 3303 => "1100001111111001", 3304 => "1100010000000010",
3305 => "1100010000001011", 3306 => "1100010000010100", 3307 => "1100010000011101",
3308 => "1100010000100101", 3309 => "1100010000101110", 3310 => "1100010000110111",
3311 => "1100010001000000", 3312 => "1100010001001001", 3313 => "1100010001010010",
3314 => "1100010001011100", 3315 => "1100010001100101", 3316 => "1100010001101110",
3317 => "1100010001110111", 3318 => "1100010010000000", 3319 => "1100010010001010",
3320 => "1100010010010011", 3321 => "1100010010011100", 3322 => "1100010010100110",
3323 => "1100010010101111", 3324 => "1100010010111000", 3325 => "1100010011000010",
3326 => "1100010011001011", 3327 => "1100010011010101", 3328 => "1100010011011111",
3329 => "1100010011101000", 3330 => "1100010011110010", 3331 => "1100010011111100",
3332 => "1100010100000101", 3333 => "1100010100001111", 3334 => "1100010100011001",
3335 => "1100010100100011", 3336 => "1100010100101101", 3337 => "1100010100110111",
3338 => "1100010101000001", 3339 => "1100010101001011", 3340 => "1100010101010101",
3341 => "1100010101011111", 3342 => "1100010101101001", 3343 => "1100010101110011",
3344 => "1100010101111101", 3345 => "1100010110000111", 3346 => "1100010110010010",
3347 => "1100010110011100", 3348 => "1100010110100110", 3349 => "1100010110110000",
3350 => "1100010110111011", 3351 => "1100010111000101", 3352 => "1100010111010000",
3353 => "1100010111011010", 3354 => "1100010111100101", 3355 => "1100010111101111",
3356 => "1100010111111010", 3357 => "1100011000000100", 3358 => "1100011000001111",
3359 => "1100011000011010", 3360 => "1100011000100101", 3361 => "1100011000101111",
3362 => "1100011000111010", 3363 => "1100011001000101", 3364 => "1100011001010000",
3365 => "1100011001011011", 3366 => "1100011001100110", 3367 => "1100011001110001",
3368 => "1100011001111100", 3369 => "1100011010000111", 3370 => "1100011010010010",
3371 => "1100011010011101", 3372 => "1100011010101000", 3373 => "1100011010110011",
3374 => "1100011010111110", 3375 => "1100011011001010", 3376 => "1100011011010101",
3377 => "1100011011100000", 3378 => "1100011011101100", 3379 => "1100011011110111",
3380 => "1100011100000010", 3381 => "1100011100001110", 3382 => "1100011100011001",
3383 => "1100011100100101", 3384 => "1100011100110000", 3385 => "1100011100111100",
3386 => "1100011101001000", 3387 => "1100011101010011", 3388 => "1100011101011111",
3389 => "1100011101101011", 3390 => "1100011101110110", 3391 => "1100011110000010",
3392 => "1100011110001110", 3393 => "1100011110011010", 3394 => "1100011110100110",
3395 => "1100011110110010", 3396 => "1100011110111110", 3397 => "1100011111001010",
3398 => "1100011111010110", 3399 => "1100011111100010", 3400 => "1100011111101110",
3401 => "1100011111111010", 3402 => "1100100000000110", 3403 => "1100100000010010",
3404 => "1100100000011111", 3405 => "1100100000101011", 3406 => "1100100000110111",
3407 => "1100100001000100", 3408 => "1100100001010000", 3409 => "1100100001011100",
3410 => "1100100001101001", 3411 => "1100100001110101", 3412 => "1100100010000010",
3413 => "1100100010001110", 3414 => "1100100010011011", 3415 => "1100100010101000",
3416 => "1100100010110100", 3417 => "1100100011000001", 3418 => "1100100011001110",
3419 => "1100100011011010", 3420 => "1100100011100111", 3421 => "1100100011110100",
3422 => "1100100100000001", 3423 => "1100100100001110", 3424 => "1100100100011010",
3425 => "1100100100100111", 3426 => "1100100100110100", 3427 => "1100100101000001",
3428 => "1100100101001110", 3429 => "1100100101011011", 3430 => "1100100101101001",
3431 => "1100100101110110", 3432 => "1100100110000011", 3433 => "1100100110010000",
3434 => "1100100110011101", 3435 => "1100100110101011", 3436 => "1100100110111000",
3437 => "1100100111000101", 3438 => "1100100111010011", 3439 => "1100100111100000",
3440 => "1100100111101101", 3441 => "1100100111111011", 3442 => "1100101000001000",
3443 => "1100101000010110", 3444 => "1100101000100011", 3445 => "1100101000110001",
3446 => "1100101000111111", 3447 => "1100101001001100", 3448 => "1100101001011010",
3449 => "1100101001101000", 3450 => "1100101001110110", 3451 => "1100101010000011",
3452 => "1100101010010001", 3453 => "1100101010011111", 3454 => "1100101010101101",
3455 => "1100101010111011", 3456 => "1100101011001001", 3457 => "1100101011010111",
3458 => "1100101011100101", 3459 => "1100101011110011", 3460 => "1100101100000001",
3461 => "1100101100001111", 3462 => "1100101100011101", 3463 => "1100101100101011",
3464 => "1100101100111001", 3465 => "1100101101001000", 3466 => "1100101101010110",
3467 => "1100101101100100", 3468 => "1100101101110011", 3469 => "1100101110000001",
3470 => "1100101110001111", 3471 => "1100101110011110", 3472 => "1100101110101100",
3473 => "1100101110111011", 3474 => "1100101111001001", 3475 => "1100101111011000",
3476 => "1100101111100110", 3477 => "1100101111110101", 3478 => "1100110000000100",
3479 => "1100110000010010", 3480 => "1100110000100001", 3481 => "1100110000110000",
3482 => "1100110000111110", 3483 => "1100110001001101", 3484 => "1100110001011100",
3485 => "1100110001101011", 3486 => "1100110001111010", 3487 => "1100110010001001",
3488 => "1100110010011000", 3489 => "1100110010100111", 3490 => "1100110010110110",
3491 => "1100110011000101", 3492 => "1100110011010100", 3493 => "1100110011100011",
3494 => "1100110011110010", 3495 => "1100110100000001", 3496 => "1100110100010001",
3497 => "1100110100100000", 3498 => "1100110100101111", 3499 => "1100110100111110",
3500 => "1100110101001110", 3501 => "1100110101011101", 3502 => "1100110101101100",
3503 => "1100110101111100", 3504 => "1100110110001011", 3505 => "1100110110011011",
3506 => "1100110110101010", 3507 => "1100110110111010", 3508 => "1100110111001001",
3509 => "1100110111011001", 3510 => "1100110111101001", 3511 => "1100110111111000",
3512 => "1100111000001000", 3513 => "1100111000011000", 3514 => "1100111000100111",
3515 => "1100111000110111", 3516 => "1100111001000111", 3517 => "1100111001010111",
3518 => "1100111001100111", 3519 => "1100111001110111", 3520 => "1100111010000110",
3521 => "1100111010010110", 3522 => "1100111010100110", 3523 => "1100111010110110",
3524 => "1100111011000111", 3525 => "1100111011010111", 3526 => "1100111011100111",
3527 => "1100111011110111", 3528 => "1100111100000111", 3529 => "1100111100010111",
3530 => "1100111100100111", 3531 => "1100111100111000", 3532 => "1100111101001000",
3533 => "1100111101011000", 3534 => "1100111101101001", 3535 => "1100111101111001",
3536 => "1100111110001001", 3537 => "1100111110011010", 3538 => "1100111110101010",
3539 => "1100111110111011", 3540 => "1100111111001011", 3541 => "1100111111011100",
3542 => "1100111111101100", 3543 => "1100111111111101", 3544 => "1101000000001110",
3545 => "1101000000011110", 3546 => "1101000000101111", 3547 => "1101000001000000",
3548 => "1101000001010000", 3549 => "1101000001100001", 3550 => "1101000001110010",
3551 => "1101000010000011", 3552 => "1101000010010100", 3553 => "1101000010100101",
3554 => "1101000010110110", 3555 => "1101000011000111", 3556 => "1101000011010111",
3557 => "1101000011101001", 3558 => "1101000011111010", 3559 => "1101000100001011",
3560 => "1101000100011100", 3561 => "1101000100101101", 3562 => "1101000100111110",
3563 => "1101000101001111", 3564 => "1101000101100000", 3565 => "1101000101110010",
3566 => "1101000110000011", 3567 => "1101000110010100", 3568 => "1101000110100101",
3569 => "1101000110110111", 3570 => "1101000111001000", 3571 => "1101000111011010",
3572 => "1101000111101011", 3573 => "1101000111111100", 3574 => "1101001000001110",
3575 => "1101001000011111", 3576 => "1101001000110001", 3577 => "1101001001000011",
3578 => "1101001001010100", 3579 => "1101001001100110", 3580 => "1101001001110111",
3581 => "1101001010001001", 3582 => "1101001010011011", 3583 => "1101001010101101",
3584 => "1101001010111110", 3585 => "1101001011010000", 3586 => "1101001011100010",
3587 => "1101001011110100", 3588 => "1101001100000110", 3589 => "1101001100010111",
3590 => "1101001100101001", 3591 => "1101001100111011", 3592 => "1101001101001101",
3593 => "1101001101011111", 3594 => "1101001101110001", 3595 => "1101001110000011",
3596 => "1101001110010101", 3597 => "1101001110101000", 3598 => "1101001110111010",
3599 => "1101001111001100", 3600 => "1101001111011110", 3601 => "1101001111110000",
3602 => "1101010000000011", 3603 => "1101010000010101", 3604 => "1101010000100111",
3605 => "1101010000111001", 3606 => "1101010001001100", 3607 => "1101010001011110",
3608 => "1101010001110001", 3609 => "1101010010000011", 3610 => "1101010010010101",
3611 => "1101010010101000", 3612 => "1101010010111010", 3613 => "1101010011001101",
3614 => "1101010011011111", 3615 => "1101010011110010", 3616 => "1101010100000101",
3617 => "1101010100010111", 3618 => "1101010100101010", 3619 => "1101010100111101",
3620 => "1101010101001111", 3621 => "1101010101100010", 3622 => "1101010101110101",
3623 => "1101010110001000", 3624 => "1101010110011010", 3625 => "1101010110101101",
3626 => "1101010111000000", 3627 => "1101010111010011", 3628 => "1101010111100110",
3629 => "1101010111111001", 3630 => "1101011000001100", 3631 => "1101011000011111",
3632 => "1101011000110010", 3633 => "1101011001000101", 3634 => "1101011001011000",
3635 => "1101011001101011", 3636 => "1101011001111110", 3637 => "1101011010010001",
3638 => "1101011010100101", 3639 => "1101011010111000", 3640 => "1101011011001011",
3641 => "1101011011011110", 3642 => "1101011011110001", 3643 => "1101011100000101",
3644 => "1101011100011000", 3645 => "1101011100101011", 3646 => "1101011100111111",
3647 => "1101011101010010", 3648 => "1101011101100110", 3649 => "1101011101111001",
3650 => "1101011110001101", 3651 => "1101011110100000", 3652 => "1101011110110100",
3653 => "1101011111000111", 3654 => "1101011111011011", 3655 => "1101011111101110",
3656 => "1101100000000010", 3657 => "1101100000010101", 3658 => "1101100000101001",
3659 => "1101100000111101", 3660 => "1101100001010000", 3661 => "1101100001100100",
3662 => "1101100001111000", 3663 => "1101100010001100", 3664 => "1101100010100000",
3665 => "1101100010110011", 3666 => "1101100011000111", 3667 => "1101100011011011",
3668 => "1101100011101111", 3669 => "1101100100000011", 3670 => "1101100100010111",
3671 => "1101100100101011", 3672 => "1101100100111111", 3673 => "1101100101010011",
3674 => "1101100101100111", 3675 => "1101100101111011", 3676 => "1101100110001111",
3677 => "1101100110100011", 3678 => "1101100110110111", 3679 => "1101100111001011",
3680 => "1101100111100000", 3681 => "1101100111110100", 3682 => "1101101000001000",
3683 => "1101101000011100", 3684 => "1101101000110000", 3685 => "1101101001000101",
3686 => "1101101001011001", 3687 => "1101101001101101", 3688 => "1101101010000010",
3689 => "1101101010010110", 3690 => "1101101010101011", 3691 => "1101101010111111",
3692 => "1101101011010011", 3693 => "1101101011101000", 3694 => "1101101011111100",
3695 => "1101101100010001", 3696 => "1101101100100101", 3697 => "1101101100111010",
3698 => "1101101101001111", 3699 => "1101101101100011", 3700 => "1101101101111000",
3701 => "1101101110001100", 3702 => "1101101110100001", 3703 => "1101101110110110",
3704 => "1101101111001011", 3705 => "1101101111011111", 3706 => "1101101111110100",
3707 => "1101110000001001", 3708 => "1101110000011110", 3709 => "1101110000110010",
3710 => "1101110001000111", 3711 => "1101110001011100", 3712 => "1101110001110001",
3713 => "1101110010000110", 3714 => "1101110010011011", 3715 => "1101110010110000",
3716 => "1101110011000101", 3717 => "1101110011011010", 3718 => "1101110011101111",
3719 => "1101110100000100", 3720 => "1101110100011001", 3721 => "1101110100101110",
3722 => "1101110101000011", 3723 => "1101110101011000", 3724 => "1101110101101101",
3725 => "1101110110000010", 3726 => "1101110110011000", 3727 => "1101110110101101",
3728 => "1101110111000010", 3729 => "1101110111010111", 3730 => "1101110111101101",
3731 => "1101111000000010", 3732 => "1101111000010111", 3733 => "1101111000101101",
3734 => "1101111001000010", 3735 => "1101111001010111", 3736 => "1101111001101101",
3737 => "1101111010000010", 3738 => "1101111010010111", 3739 => "1101111010101101",
3740 => "1101111011000010", 3741 => "1101111011011000", 3742 => "1101111011101101",
3743 => "1101111100000011", 3744 => "1101111100011000", 3745 => "1101111100101110",
3746 => "1101111101000100", 3747 => "1101111101011001", 3748 => "1101111101101111",
3749 => "1101111110000100", 3750 => "1101111110011010", 3751 => "1101111110110000",
3752 => "1101111111000110", 3753 => "1101111111011011", 3754 => "1101111111110001",
3755 => "1110000000000111", 3756 => "1110000000011101", 3757 => "1110000000110010",
3758 => "1110000001001000", 3759 => "1110000001011110", 3760 => "1110000001110100",
3761 => "1110000010001010", 3762 => "1110000010100000", 3763 => "1110000010110110",
3764 => "1110000011001011", 3765 => "1110000011100001", 3766 => "1110000011110111",
3767 => "1110000100001101", 3768 => "1110000100100011", 3769 => "1110000100111001",
3770 => "1110000101001111", 3771 => "1110000101100110", 3772 => "1110000101111100",
3773 => "1110000110010010", 3774 => "1110000110101000", 3775 => "1110000110111110",
3776 => "1110000111010100", 3777 => "1110000111101010", 3778 => "1110001000000001",
3779 => "1110001000010111", 3780 => "1110001000101101", 3781 => "1110001001000011",
3782 => "1110001001011001", 3783 => "1110001001110000", 3784 => "1110001010000110",
3785 => "1110001010011100", 3786 => "1110001010110011", 3787 => "1110001011001001",
3788 => "1110001011011111", 3789 => "1110001011110110", 3790 => "1110001100001100",
3791 => "1110001100100011", 3792 => "1110001100111001", 3793 => "1110001101010000",
3794 => "1110001101100110", 3795 => "1110001101111100", 3796 => "1110001110010011",
3797 => "1110001110101010", 3798 => "1110001111000000", 3799 => "1110001111010111",
3800 => "1110001111101101", 3801 => "1110010000000100", 3802 => "1110010000011010",
3803 => "1110010000110001", 3804 => "1110010001001000", 3805 => "1110010001011110",
3806 => "1110010001110101", 3807 => "1110010010001100", 3808 => "1110010010100010",
3809 => "1110010010111001", 3810 => "1110010011010000", 3811 => "1110010011100111",
3812 => "1110010011111101", 3813 => "1110010100010100", 3814 => "1110010100101011",
3815 => "1110010101000010", 3816 => "1110010101011001", 3817 => "1110010101110000",
3818 => "1110010110000110", 3819 => "1110010110011101", 3820 => "1110010110110100",
3821 => "1110010111001011", 3822 => "1110010111100010", 3823 => "1110010111111001",
3824 => "1110011000010000", 3825 => "1110011000100111", 3826 => "1110011000111110",
3827 => "1110011001010101", 3828 => "1110011001101100", 3829 => "1110011010000011",
3830 => "1110011010011010", 3831 => "1110011010110001", 3832 => "1110011011001000",
3833 => "1110011011011111", 3834 => "1110011011110111", 3835 => "1110011100001110",
3836 => "1110011100100101", 3837 => "1110011100111100", 3838 => "1110011101010011",
3839 => "1110011101101010", 3840 => "1110011110000010", 3841 => "1110011110011001",
3842 => "1110011110110000", 3843 => "1110011111000111", 3844 => "1110011111011111",
3845 => "1110011111110110", 3846 => "1110100000001101", 3847 => "1110100000100101",
3848 => "1110100000111100", 3849 => "1110100001010011", 3850 => "1110100001101011",
3851 => "1110100010000010", 3852 => "1110100010011001", 3853 => "1110100010110001",
3854 => "1110100011001000", 3855 => "1110100011100000", 3856 => "1110100011110111",
3857 => "1110100100001110", 3858 => "1110100100100110", 3859 => "1110100100111101",
3860 => "1110100101010101", 3861 => "1110100101101100", 3862 => "1110100110000100",
3863 => "1110100110011011", 3864 => "1110100110110011", 3865 => "1110100111001011",
3866 => "1110100111100010", 3867 => "1110100111111010", 3868 => "1110101000010001",
3869 => "1110101000101001", 3870 => "1110101001000001", 3871 => "1110101001011000",
3872 => "1110101001110000", 3873 => "1110101010001000", 3874 => "1110101010011111",
3875 => "1110101010110111", 3876 => "1110101011001111", 3877 => "1110101011100110",
3878 => "1110101011111110", 3879 => "1110101100010110", 3880 => "1110101100101110",
3881 => "1110101101000101", 3882 => "1110101101011101", 3883 => "1110101101110101",
3884 => "1110101110001101", 3885 => "1110101110100101", 3886 => "1110101110111100",
3887 => "1110101111010100", 3888 => "1110101111101100", 3889 => "1110110000000100",
3890 => "1110110000011100", 3891 => "1110110000110100", 3892 => "1110110001001100",
3893 => "1110110001100100", 3894 => "1110110001111100", 3895 => "1110110010010011",
3896 => "1110110010101011", 3897 => "1110110011000011", 3898 => "1110110011011011",
3899 => "1110110011110011", 3900 => "1110110100001011", 3901 => "1110110100100011",
3902 => "1110110100111011", 3903 => "1110110101010011", 3904 => "1110110101101011",
3905 => "1110110110000100", 3906 => "1110110110011100", 3907 => "1110110110110100",
3908 => "1110110111001100", 3909 => "1110110111100100", 3910 => "1110110111111100",
3911 => "1110111000010100", 3912 => "1110111000101100", 3913 => "1110111001000100",
3914 => "1110111001011101", 3915 => "1110111001110101", 3916 => "1110111010001101",
3917 => "1110111010100101", 3918 => "1110111010111101", 3919 => "1110111011010101",
3920 => "1110111011101110", 3921 => "1110111100000110", 3922 => "1110111100011110",
3923 => "1110111100110110", 3924 => "1110111101001111", 3925 => "1110111101100111",
3926 => "1110111101111111", 3927 => "1110111110010111", 3928 => "1110111110110000",
3929 => "1110111111001000", 3930 => "1110111111100000", 3931 => "1110111111111001",
3932 => "1111000000010001", 3933 => "1111000000101001", 3934 => "1111000001000010",
3935 => "1111000001011010", 3936 => "1111000001110011", 3937 => "1111000010001011",
3938 => "1111000010100011", 3939 => "1111000010111100", 3940 => "1111000011010100",
3941 => "1111000011101101", 3942 => "1111000100000101", 3943 => "1111000100011101",
3944 => "1111000100110110", 3945 => "1111000101001110", 3946 => "1111000101100111",
3947 => "1111000101111111", 3948 => "1111000110011000", 3949 => "1111000110110000",
3950 => "1111000111001001", 3951 => "1111000111100001", 3952 => "1111000111111010",
3953 => "1111001000010010", 3954 => "1111001000101011", 3955 => "1111001001000011",
3956 => "1111001001011100", 3957 => "1111001001110100", 3958 => "1111001010001101",
3959 => "1111001010100110", 3960 => "1111001010111110", 3961 => "1111001011010111",
3962 => "1111001011101111", 3963 => "1111001100001000", 3964 => "1111001100100001",
3965 => "1111001100111001", 3966 => "1111001101010010", 3967 => "1111001101101010",
3968 => "1111001110000011", 3969 => "1111001110011100", 3970 => "1111001110110100",
3971 => "1111001111001101", 3972 => "1111001111100110", 3973 => "1111001111111110",
3974 => "1111010000010111", 3975 => "1111010000110000", 3976 => "1111010001001001",
3977 => "1111010001100001", 3978 => "1111010001111010", 3979 => "1111010010010011",
3980 => "1111010010101011", 3981 => "1111010011000100", 3982 => "1111010011011101",
3983 => "1111010011110110", 3984 => "1111010100001110", 3985 => "1111010100100111",
3986 => "1111010101000000", 3987 => "1111010101011001", 3988 => "1111010101110010",
3989 => "1111010110001010", 3990 => "1111010110100011", 3991 => "1111010110111100",
3992 => "1111010111010101", 3993 => "1111010111101110", 3994 => "1111011000000110",
3995 => "1111011000011111", 3996 => "1111011000111000", 3997 => "1111011001010001",
3998 => "1111011001101010", 3999 => "1111011010000011", 4000 => "1111011010011011",
4001 => "1111011010110100", 4002 => "1111011011001101", 4003 => "1111011011100110",
4004 => "1111011011111111", 4005 => "1111011100011000", 4006 => "1111011100110001",
4007 => "1111011101001010", 4008 => "1111011101100011", 4009 => "1111011101111011",
4010 => "1111011110010100", 4011 => "1111011110101101", 4012 => "1111011111000110",
4013 => "1111011111011111", 4014 => "1111011111111000", 4015 => "1111100000010001",
4016 => "1111100000101010", 4017 => "1111100001000011", 4018 => "1111100001011100",
4019 => "1111100001110101", 4020 => "1111100010001110", 4021 => "1111100010100111",
4022 => "1111100011000000", 4023 => "1111100011011001", 4024 => "1111100011110010",
4025 => "1111100100001011", 4026 => "1111100100100100", 4027 => "1111100100111101",
4028 => "1111100101010110", 4029 => "1111100101101111", 4030 => "1111100110001000",
4031 => "1111100110100001", 4032 => "1111100110111010", 4033 => "1111100111010011",
4034 => "1111100111101100", 4035 => "1111101000000101", 4036 => "1111101000011110",
4037 => "1111101000110111", 4038 => "1111101001010000", 4039 => "1111101001101001",
4040 => "1111101010000010", 4041 => "1111101010011011", 4042 => "1111101010110100",
4043 => "1111101011001101", 4044 => "1111101011100110", 4045 => "1111101011111111",
4046 => "1111101100011000", 4047 => "1111101100110001", 4048 => "1111101101001010",
4049 => "1111101101100011", 4050 => "1111101101111100", 4051 => "1111101110010101",
4052 => "1111101110101110", 4053 => "1111101111001000", 4054 => "1111101111100001",
4055 => "1111101111111010", 4056 => "1111110000010011", 4057 => "1111110000101100",
4058 => "1111110001000101", 4059 => "1111110001011110", 4060 => "1111110001110111",
4061 => "1111110010010000", 4062 => "1111110010101001", 4063 => "1111110011000010",
4064 => "1111110011011100", 4065 => "1111110011110101", 4066 => "1111110100001110",
4067 => "1111110100100111", 4068 => "1111110101000000", 4069 => "1111110101011001",
4070 => "1111110101110010", 4071 => "1111110110001011", 4072 => "1111110110100100",
4073 => "1111110110111110", 4074 => "1111110111010111", 4075 => "1111110111110000",
4076 => "1111111000001001", 4077 => "1111111000100010", 4078 => "1111111000111011",
4079 => "1111111001010100", 4080 => "1111111001101101", 4081 => "1111111010000111",
4082 => "1111111010100000", 4083 => "1111111010111001", 4084 => "1111111011010010",
4085 => "1111111011101011", 4086 => "1111111100000100", 4087 => "1111111100011101",
4088 => "1111111100110110", 4089 => "1111111101010000", 4090 => "1111111101101001",
4091 => "1111111110000010", 4092 => "1111111110011011", 4093 => "1111111110110100",
4094 => "1111111111001101", 4095 => "1111111111100110" );
attribute EQUIVALENT_REGISTER_REMOVAL : string;
begin
memory_access_guard_0: process (addr0)
begin
addr0_tmp <= addr0;
--synthesis translate_off
if (CONV_INTEGER(addr0) > mem_size-1) then
addr0_tmp <= (others => '0');
else
addr0_tmp <= addr0;
end if;
--synthesis translate_on
end process;
p_rom_access: process (clk)
begin
if (clk'event and clk = '1') then
if (ce0 = '1') then
q0 <= mem(CONV_INTEGER(addr0_tmp));
end if;
end if;
end process;
end rtl;
Library IEEE;
use IEEE.std_logic_1164.all;
entity nco_sine_lut_V is
generic (
DataWidth : INTEGER := 16;
AddressRange : INTEGER := 4096;
AddressWidth : INTEGER := 12);
port (
reset : IN STD_LOGIC;
clk : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0));
end entity;
architecture arch of nco_sine_lut_V is
component nco_sine_lut_V_rom is
port (
clk : IN STD_LOGIC;
addr0 : IN STD_LOGIC_VECTOR;
ce0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR);
end component;
begin
nco_sine_lut_V_rom_U : component nco_sine_lut_V_rom
port map (
clk => clk,
addr0 => address0,
ce0 => ce0,
q0 => q0);
end architecture;
|
-------------------------------------------------------------
-- MSS copyright 2011-2014
-- Filename: COM5402.VHD
-- Author: Alain Zarembowitch / MSS
-- Version: 6
-- Date last modified: 1/31/14
-- Inheritance: N/A
--
-- description: Internet IP stack: IP/TCP/UDP/ARP/PING.
-- The IP stack relies on the lower layers: MAC (COM5401) and PHY (Integrated circuit)
-- Interfaces directly with COM-5401SOFT MAC protocol layer or equivalent.
--
-- Rev 2 8/21/11 AZ
-- Change tx strategy. Transmission is triggered when MAC_TX_CTS = '1' without any
-- flow control breaks within a frame. Reason: the MAC tx elastic buffer is now 4KB,
-- large enough for 2 maximum size frames.
--
-- Rev 3 11/10/13 AZ
-- Progressively replacing ASYNC_RESET with SYNC_RESET
--
-- Rev 4 11/10/13 AZ
-- Added MAC_TX_SOF flag for an easier interface with Xilinx tri-mode MAC
-- Corrected IP header bug in UDP_TX.vhd
--
-- Rev 5 1/28/14 AZ
-- Increased EFF_RX_WINDOW_SIZE_PARTIAL precision to 17 bits to detect abnormal negative window size reports
--
-- Rev 6 1/31/14 AZ
-- moved TX_IDLE_TIMEOUT up to a generic parameter.
---------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.com5402pkg.all; -- defines global types, number of TCP streams, etc
library UNISIM;
use UNISIM.VComponents.all;
entity COM5402 is
generic (
CLK_FREQUENCY: integer := 56;
-- CLK frequency in MHz. Needed to compute actual delays.
TX_IDLE_TIMEOUT: integer range 0 to 50:= 50;
-- inactive input timeout, expressed in 4us units. -- 50*4us = 200us
-- Controls the transmit stream segmentation: data in the elastic buffer will be transmitted if
-- no input is received within TX_IDLE_TIMEOUT, without waiting for the transmit frame to be filled with MSS data bytes.
SIMULATION: std_logic := '0'
-- 1 during simulation with Wireshark .cap file, '0' otherwise
-- Wireshark many not be able to collect offloaded checksum computations.
-- when SIMULATION = '1': (a) IP header checksum is valid if 0000,
-- (b) TCP checksum computation is forced to a valid 00001 irrespective of the 16-bit checksum
-- captured by Wireshark.
);
Port (
--//-- CLK, RESET
CLK: in std_logic;
-- All signals are synchronous with CLK
-- CLK must be a global clock 125 MHz or faster to match the Gbps MAC speed.
ASYNC_RESET: in std_logic; -- to be phased out. replace with SYNC_RESET
SYNC_RESET: in std_logic;
--//-- CONFIGURATION
-- configuration signals are synchonous with CLK
-- Synchronous with CLK clock.
MAC_ADDR : IN std_logic_vector(47 downto 0);
IPv4_ADDR: in std_logic_vector(31 downto 0);
IPv6_ADDR: in std_logic_vector(127 downto 0);
SUBNET_MASK: in std_logic_vector(31 downto 0);
GATEWAY_IP_ADDR: in std_logic_vector(31 downto 0);
-- local IP address. 4 bytes for IPv4, 16 bytes for IPv6
-- Natural order (MSB) 172.16.1.128 (LSB) as transmitted in the IP frame.
--// User-initiated connection reset for stream I
CONNECTION_RESET: in std_logic_vector((NTCPSTREAMS-1) downto 0);
--//-- Protocol -> Transmit MAC Interface
-- 32-bit CRC is automatically appended by the MAC layer. User should not supply it.
-- Synchonous with the user-side CLK
MAC_TX_DATA: out std_logic_vector(7 downto 0);
-- MAC reads the data at the rising edge of CLK when MAC_TX_DATA_VALID = '1'
MAC_TX_DATA_VALID: out std_logic;
-- data valid
MAC_TX_SOF: out std_logic;
-- start of frame: '1' when sending the first byte.
-- Aligned with MAC_TX_DATA_VALID
MAC_TX_EOF: out std_logic;
-- End of frame: '1' when sending the last byte in a packet to be transmitted.
-- Aligned with MAC_TX_DATA_VALID
MAC_TX_CTS: in std_logic;
-- MAC-generated Clear To Send flow control signal, indicating room in the
-- MAC tx elastic buffer for a complete maximum size frame 1518B.
-- The user should check that this signal is high before deciding to send
-- sending the next frame.
-- Note: MAC_TX_CTS may go low while the frame is transfered in. Ignore it as space is guaranteed
-- at the start of frame.
--//-- Receive MAC -> Protocol
-- Valid rx packets only: packets with bad CRC or invalid address are discarded.
-- The 32-bit CRC is always removed by the MAC layer.
-- Synchonous with the user-side CLK
MAC_RX_DATA: in std_logic_vector(7 downto 0);
-- USER reads the data at the rising edge of CLK when MAC_RX_DATA_VALID = '1'
MAC_RX_DATA_VALID: in std_logic;
-- data valid
MAC_RX_SOF: in std_logic;
-- '1' when sending the first byte in a received packet.
-- Aligned with MAC_RX_DATA_VALID
MAC_RX_EOF: in std_logic;
-- '1' when sending the last byte in a received packet.
-- Aligned with MAC_RX_DATA_VALID
--//-- Application <- UDP rx
UDP_RX_DATA: out std_logic_vector(7 downto 0);
UDP_RX_DATA_VALID: out std_logic;
UDP_RX_SOF: out std_logic;
UDP_RX_EOF: out std_logic;
-- 1 CLK pulse indicating that UDP_RX_DATA is the last byte in the UDP data field.
-- ALWAYS CHECK UDP_RX_DATA_VALID at the end of packet (UDP_RX_EOF = '1') to confirm
-- that the UDP packet is valid. External buffer may have to backtrack to the the last
-- valid pointer to discard an invalid UDP packet.
-- Reason: we only knows about bad UDP packets at the end.
UDP_RX_DEST_PORT_NO: in std_logic_vector(15 downto 0);
--//-- Application -> UDP tx
UDP_TX_DATA: in std_logic_vector(7 downto 0);
UDP_TX_DATA_VALID: in std_logic;
UDP_TX_SOF: in std_logic; -- 1 CLK-wide pulse to mark the first byte in the tx UDP frame
UDP_TX_EOF: in std_logic; -- 1 CLK-wide pulse to mark the last byte in the tx UDP frame
UDP_TX_CTS: out std_logic;
UDP_TX_ACK: out std_logic; -- 1 CLK-wide pulse indicating that the previous UDP frame is being sent
UDP_TX_NAK: out std_logic; -- 1 CLK-wide pulse indicating that the previous UDP frame could not be sent
UDP_TX_DEST_IP_ADDR: in std_logic_vector(127 downto 0);
UDP_TX_DEST_PORT_NO: in std_logic_vector(15 downto 0);
UDP_TX_SOURCE_PORT_NO: in std_logic_vector(15 downto 0);
--//-- Application <- TCP rx
-- NTCPSTREAMS can operate independently and concurrently. No scheduling arbitration needed here.
TCP_RX_DATA: out SLV8xNTCPSTREAMStype;
TCP_RX_DATA_VALID: out std_logic_vector((NTCPSTREAMS-1) downto 0);
TCP_RX_RTS: out std_logic_vector((NTCPSTREAMS-1) downto 0); -- Ready To Send
TCP_RX_CTS: in std_logic_vector((NTCPSTREAMS-1) downto 0); -- Clear To Send
--//-- Application -> TCP tx
-- NTCPSTREAMS can operate independently and concurrently. No scheduling arbitration needed here.
TCP_TX_DATA: in SLV8xNTCPSTREAMStype;
TCP_TX_DATA_VALID: in std_logic_vector((NTCPSTREAMS-1) downto 0);
TCP_TX_CTS: out std_logic_vector((NTCPSTREAMS-1) downto 0);
-- Clear To Send = transmit flow control.
-- App is responsible for checking the CTS signal before sending APP_DATA
--//-- TEST POINTS, COMSCOPE TRACES
CS1: out std_logic_vector(7 downto 0);
CS1_CLK: out std_logic;
CS2: out std_logic_vector(7 downto 0);
CS2_CLK: out std_logic;
TP: out std_logic_vector(10 downto 1)
);
end entity;
architecture Behavioral of COM5402 is
--------------------------------------------------------
-- COMPONENTS
--------------------------------------------------------
COMPONENT TIMER_4US
GENERIC (
CLK_FREQUENCY: integer
);
PORT(
ASYNC_RESET : IN std_logic;
CLK : IN std_logic;
TICK_4US : OUT std_logic;
TICK_100MS: out std_logic
);
END COMPONENT;
COMPONENT PACKET_PARSING
GENERIC (
IPv6_ENABLED: std_logic;
SIMULATION: std_logic
);
PORT(
ASYNC_RESET : IN std_logic;
CLK : IN std_logic;
TICK_4US : IN std_logic;
MAC_RX_DATA : IN std_logic_vector(7 downto 0);
MAC_RX_DATA_VALID : IN std_logic;
MAC_RX_SOF : IN std_logic;
MAC_RX_EOF : IN std_logic;
IPv4_ADDR: in std_logic_vector(31 downto 0);
IPv6_ADDR: in std_logic_vector(127 downto 0);
IP_RX_DATA: out std_logic_vector(7 downto 0);
IP_RX_DATA_VALID: out std_logic;
IP_RX_SOF: out std_logic;
IP_RX_EOF: out std_logic;
IP_BYTE_COUNT: out std_logic_vector(15 downto 0);
IP_HEADER_FLAG: out std_logic;
RX_TYPE : OUT std_logic_vector(3 downto 0);
RX_TYPE_RDY : OUT std_logic;
RX_IPv4_6n: out std_logic;
RX_IP_PROTOCOL : OUT std_logic_vector(7 downto 0);
RX_IP_PROTOCOL_RDY : OUT std_logic;
VALID_DEST_IP : OUT std_logic;
VALID_DEST_IP_RDY : OUT std_logic;
IP_HEADER_CHECKSUM_VALID: out std_logic;
IP_HEADER_CHECKSUM_VALID_RDY: out std_logic;
RX_SOURCE_MAC_ADDR: out std_logic_vector(47 downto 0);
RX_SOURCE_IP_ADDR: out std_logic_vector(127 downto 0);
RX_SOURCE_TCP_PORT_NO: out std_logic_vector(15 downto 0);
RX_DEST_IP_ADDR: out std_logic_vector(127 downto 0);
RX_DEST_TCP_PORT_NO: out std_logic_vector(15 downto 0);
RX_UDP_CKSUM: out std_logic_vector(16 downto 0);
RX_UDP_CKSUM_RDY: out std_logic;
RX_TCP_HEADER_FLAG: out std_logic;
RX_TCP_FLAGS: out std_logic_vector(7 downto 0);
RX_TCP_CKSUM: out std_logic_vector(16 downto 0);
RX_TCP_SEQ_NO: out std_logic_vector(31 downto 0);
RX_TCP_ACK_NO: out std_logic_vector(31 downto 0);
RX_TCP_WINDOW_SIZE: out std_logic_vector(15 downto 0);
CS1 : OUT std_logic_vector(7 downto 0);
CS1_CLK : OUT std_logic;
CS2 : OUT std_logic_vector(7 downto 0);
CS2_CLK : OUT std_logic;
TP : OUT std_logic_vector(10 downto 1)
);
END COMPONENT;
COMPONENT PING
GENERIC (
IPv6_ENABLED: std_logic;
MAX_PING_SIZE: std_logic_vector(15 downto 0)
);
PORT(
ASYNC_RESET : IN std_logic;
CLK : IN std_logic;
MAC_RX_DATA : IN std_logic_vector(7 downto 0);
MAC_RX_DATA_VALID : IN std_logic;
MAC_RX_SOF : IN std_logic;
MAC_RX_EOF : IN std_logic;
MAC_ADDR : IN std_logic_vector(47 downto 0);
IPv4_ADDR: in std_logic_vector(31 downto 0);
IPv6_ADDR: in std_logic_vector(127 downto 0);
RX_IPv4_6n: in std_logic;
RX_IP_PROTOCOL : IN std_logic_vector(7 downto 0);
RX_IP_PROTOCOL_RDY : IN std_logic;
IP_RX_DATA_VALID: in std_logic;
IP_RX_EOF : IN std_logic;
MAC_TX_CTS : IN std_logic;
MAC_TX_DATA : OUT std_logic_vector(7 downto 0);
MAC_TX_DATA_VALID : OUT std_logic;
MAC_TX_EOF : OUT std_logic;
RTS : OUT std_logic;
TP : OUT std_logic_vector(10 downto 1)
);
END COMPONENT;
COMPONENT ARP
PORT(
ASYNC_RESET : IN std_logic;
CLK : IN std_logic;
MAC_RX_DATA : IN std_logic_vector(7 downto 0);
MAC_RX_DATA_VALID : IN std_logic;
MAC_RX_SOF : IN std_logic;
MAC_RX_EOF : IN std_logic;
MAC_ADDR : IN std_logic_vector(47 downto 0);
IPv4_ADDR: in std_logic_vector(31 downto 0);
RX_TYPE : IN std_logic_vector(3 downto 0);
RX_TYPE_RDY : IN std_logic;
RX_SOURCE_MAC_ADDR: in std_logic_vector(47 downto 0);
RX_SOURCE_IP_ADDR: in std_logic_vector(31 downto 0);
MAC_TX_CTS : IN std_logic;
MAC_TX_DATA : OUT std_logic_vector(7 downto 0);
MAC_TX_DATA_VALID : OUT std_logic;
MAC_TX_EOF : OUT std_logic;
RTS : OUT std_logic;
TP : OUT std_logic_vector(10 downto 1)
);
END COMPONENT;
COMPONENT WHOIS2
PORT(
CLK : IN std_logic;
SYNC_RESET : IN std_logic;
WHOIS_IP_ADDR : IN std_logic_vector(31 downto 0);
WHOIS_START : IN std_logic;
MAC_ADDR : IN std_logic_vector(47 downto 0);
IPv4_ADDR : IN std_logic_vector(31 downto 0);
MAC_TX_CTS : IN std_logic;
WHOIS_RDY : OUT std_logic;
MAC_TX_DATA : OUT std_logic_vector(7 downto 0);
MAC_TX_DATA_VALID : OUT std_logic;
MAX_TX_EOF : OUT std_logic;
RTS : OUT std_logic;
TP : OUT std_logic_vector(10 downto 1)
);
END COMPONENT;
COMPONENT ARP_CACHE2
PORT(
SYNC_RESET: in std_logic;
CLK : IN std_logic;
TICK_100MS : IN std_logic;
RT_IP_ADDR : IN std_logic_vector(31 downto 0);
RT_REQ_RTS : IN std_logic;
RT_CTS: out std_logic;
RT_MAC_REPLY : OUT std_logic_vector(47 downto 0);
RT_MAC_RDY : OUT std_logic;
RT_NAK: out std_logic;
MAC_ADDR : IN std_logic_vector(47 downto 0);
IPv4_ADDR : IN std_logic_vector(31 downto 0);
SUBNET_MASK : IN std_logic_vector(31 downto 0);
GATEWAY_IP_ADDR: in std_logic_vector(31 downto 0);
RX_SOURCE_ADDR_RDY: in std_logic;
RX_SOURCE_MAC_ADDR: in std_logic_vector(47 downto 0);
RX_SOURCE_IP_ADDR: in std_logic_vector(31 downto 0);
WHOIS_IP_ADDR : OUT std_logic_vector(31 downto 0);
WHOIS_START : OUT std_logic;
SREG1 : OUT std_logic_vector(7 downto 0);
SREG2 : OUT std_logic_vector(7 downto 0);
SREG3 : OUT std_logic_vector(7 downto 0);
SREG4 : OUT std_logic_vector(7 downto 0);
SREG5 : OUT std_logic_vector(7 downto 0);
SREG6 : OUT std_logic_vector(7 downto 0);
TP : OUT std_logic_vector(10 downto 1)
);
END COMPONENT;
COMPONENT UDP2SERIAL
GENERIC (
PORT_NO: std_logic_vector(15 downto 0);
CLK_FREQUENCY: integer
);
PORT(
ASYNC_RESET : IN std_logic;
CLK : IN std_logic;
IP_RX_DATA : IN std_logic_vector(7 downto 0);
IP_RX_DATA_VALID : IN std_logic;
IP_RX_SOF : IN std_logic;
IP_RX_EOF : IN std_logic;
IP_HEADER_FLAG : IN std_logic;
RX_IP_PROTOCOL : IN std_logic_vector(7 downto 0);
RX_IP_PROTOCOL_RDY : IN std_logic;
SERIAL_OUT : OUT std_logic;
TP : OUT std_logic_vector(10 downto 1)
);
END COMPONENT;
COMPONENT UDP_RX
PORT(
ASYNC_RESET : IN std_logic;
CLK : IN std_logic;
IP_RX_DATA : IN std_logic_vector(7 downto 0);
IP_RX_DATA_VALID : IN std_logic;
IP_RX_SOF : IN std_logic;
IP_RX_EOF : IN std_logic;
IP_BYTE_COUNT: in std_logic_vector(15 downto 0);
IP_HEADER_FLAG : IN std_logic;
RX_IP_PROTOCOL : IN std_logic_vector(7 downto 0);
RX_IP_PROTOCOL_RDY : IN std_logic;
RX_UDP_CKSUM: in std_logic_vector(16 downto 0);
RX_UDP_CKSUM_RDY: in std_logic;
PORT_NO: in std_logic_vector(15 downto 0);
APP_DATA : OUT std_logic_vector(7 downto 0);
APP_DATA_VALID : OUT std_logic;
APP_SOF : OUT std_logic;
APP_EOF : OUT std_logic;
APP_SRC_UDP_PORT: OUT std_logic_vector(15 downto 0);
TP : OUT std_logic_vector(10 downto 1)
);
END COMPONENT;
COMPONENT UDP_TX
generic (
NBUFS: integer ;
IPv6_ENABLED: std_logic
);
PORT(
CLK : IN std_logic;
SYNC_RESET : IN std_logic;
TICK_4US: in std_logic;
APP_DATA : IN std_logic_vector(7 downto 0);
APP_DATA_VALID : IN std_logic;
APP_SOF : IN std_logic;
APP_EOF : IN std_logic;
APP_CTS : OUT std_logic;
DEST_IP_ADDR: in std_logic_vector(127 downto 0);
DEST_PORT_NO : IN std_logic_vector(15 downto 0);
SOURCE_PORT_NO : IN std_logic_vector(15 downto 0);
IPv4_6n: in std_logic;
MAC_ADDR: in std_logic_vector(47 downto 0);
IPv4_ADDR: in std_logic_vector(31 downto 0);
IPv6_ADDR: in std_logic_vector(127 downto 0);
IP_ID: in std_logic_vector(15 downto 0);
ACK : OUT std_logic;
NAK : OUT std_logic;
RT_IP_ADDR : OUT std_logic_vector(31 downto 0);
RT_REQ_RTS: out std_logic;
RT_REQ_CTS: in std_logic;
RT_MAC_REPLY : IN std_logic_vector(47 downto 0);
RT_MAC_RDY : IN std_logic;
RT_NAK: in std_logic;
MAC_TX_DATA : OUT std_logic_vector(7 downto 0);
MAC_TX_DATA_VALID : OUT std_logic;
MAC_TX_EOF : OUT std_logic;
MAC_TX_CTS : IN std_logic;
RTS: out std_logic := '0';
TP : OUT std_logic_vector(10 downto 1)
);
END COMPONENT;
COMPONENT TCP_SERVER
GENERIC (
MSS: std_logic_vector(15 downto 0);
IPv6_ENABLED: std_logic;
SIMULATION: std_logic
);
PORT(
CLK : IN std_logic;
SYNC_RESET: in std_logic;
TICK_4US: in std_logic;
TICK_100MS: in std_logic;
MAC_ADDR: in std_logic_vector(47 downto 0);
TCP_LOCAL_PORTS: in SLV16xNTCPSTREAMStype;
CONNECTION_RESET: in std_logic_vector((NTCPSTREAMS-1) downto 0);
IP_RX_DATA: in std_logic_vector(7 downto 0);
IP_RX_DATA_VALID: in std_logic;
IP_RX_SOF: in std_logic;
IP_RX_EOF: in std_logic;
IP_BYTE_COUNT: in std_logic_vector(15 downto 0);
IP_HEADER_FLAG: in std_logic;
RX_IPv4_6n: in std_logic;
RX_IP_PROTOCOL: in std_logic_vector(7 downto 0);
RX_IP_PROTOCOL_RDY: in std_logic;
RX_SOURCE_MAC_ADDR: in std_logic_vector(47 downto 0);
RX_SOURCE_IP_ADDR: in std_logic_vector(127 downto 0);
RX_SOURCE_TCP_PORT_NO: in std_logic_vector(15 downto 0);
RX_TCP_HEADER_FLAG: in std_logic;
RX_TCP_FLAGS: in std_logic_vector(7 downto 0);
RX_TCP_CKSUM: in std_logic_vector(16 downto 0);
RX_TCP_SEQ_NO: in std_logic_vector(31 downto 0);
RX_TCP_ACK_NO: in std_logic_vector(31 downto 0);
RX_TCP_WINDOW_SIZE: in std_logic_vector(15 downto 0);
RX_DEST_TCP_PORT_NO: in std_logic_vector(15 downto 0);
RX_DATA: out std_logic_vector(7 downto 0);
RX_DATA_VALID: out std_logic;
RX_SOF: out std_logic;
RX_STREAM_NO: out integer range 0 to (NTCPSTREAMS-1);
RX_EOF: out std_logic;
RX_FREE_SPACE: in SLV16xNTCPSTREAMStype;
TX_PACKET_SEQUENCE_START_OUT: out std_logic;
TX_DEST_MAC_ADDR_OUT: out std_logic_vector(47 downto 0);
TX_DEST_IP_ADDR_OUT: out std_logic_vector(127 downto 0);
TX_DEST_PORT_NO_OUT: out std_logic_vector(15 downto 0);
TX_SOURCE_PORT_NO_OUT: out std_logic_vector(15 downto 0);
TX_IPv4_6n_OUT: out std_logic;
TX_SEQ_NO_OUT: out std_logic_vector(31 downto 0);
TX_ACK_NO_OUT: out std_logic_vector(31 downto 0);
TX_ACK_WINDOW_LENGTH_OUT: out std_logic_vector(15 downto 0);
TX_FLAGS_OUT: out std_logic_vector(7 downto 0);
TX_PACKET_TYPE_OUT : out std_logic_vector(1 downto 0);
MAC_TX_EOF: in std_logic; -- need to know when packet tx is complete
RTS: out std_logic := '0';
EFF_RX_WINDOW_SIZE_PARTIAL: out std_logic_vector(16 downto 0);
EFF_RX_WINDOW_SIZE_PARTIAL_STREAM: out integer range 0 to (NTCPSTREAMS-1) := 0;
EFF_RX_WINDOW_SIZE_PARTIAL_VALID: out std_logic; -- 1 CLK-wide pulse to indicate that the above information is valid
TX_SEQ_NO: out SLV17xNTCPSTREAMStype;
RX_TCP_ACK_NO_D: out SLV17xNTCPSTREAMStype;
CONNECTED_FLAG: out std_logic_vector((NTCPSTREAMS-1) downto 0);
TX_STREAM_SEL: in integer range 0 to (NTCPSTREAMS-1) := 0;
TX_PAYLOAD_RTS: in std_logic;
TX_PAYLOAD_SIZE: in std_logic_vector(10 downto 0);
TP: out std_logic_vector(10 downto 1)
);
END COMPONENT;
COMPONENT TCP_TXBUF is
generic (
NBUFS: integer;
TX_IDLE_TIMEOUT: integer range 0 to 50;
MSS: std_logic_vector(15 downto 0)
);
Port (
--//-- CLK, RESET
CLK: in std_logic;
SYNC_RESET: in std_logic;
TICK_4US: in std_logic;
APP_DATA: in SLV8xNTCPSTREAMStype;
APP_DATA_VALID: in std_logic_vector((NTCPSTREAMS-1) downto 0);
APP_CTS: out std_logic_vector((NTCPSTREAMS-1) downto 0);
EFF_RX_WINDOW_SIZE_PARTIAL_IN: in std_logic_vector(16 downto 0);
EFF_RX_WINDOW_SIZE_PARTIAL_STREAM: in integer range 0 to (NTCPSTREAMS-1) := 0;
EFF_RX_WINDOW_SIZE_PARTIAL_VALID: in std_logic; -- 1 CLK-wide pulse to indicate that the above information is valid
TX_SEQ_NO_IN: in SLV17xNTCPSTREAMStype;
RX_TCP_ACK_NO_D: in SLV17xNTCPSTREAMStype;
CONNECTED_FLAG: in std_logic_vector((NTCPSTREAMS-1) downto 0);
TX_STREAM_SEL: out integer range 0 to (NTCPSTREAMS-1) := 0;
TX_PAYLOAD_RTS: out std_logic;
TX_PAYLOAD_CHECKSUM: out std_logic_vector(16 downto 0);
TX_PAYLOAD_SIZE: out std_logic_vector(10 downto 0);
TX_PAYLOAD_CTS: in std_logic;
TX_PAYLOAD_DATA: out std_logic_vector(7 downto 0);
TX_PAYLOAD_DATA_VALID: out std_logic;
MAC_TX_EOF: in std_logic; -- need to know when packet tx is complete
TP: out std_logic_vector(10 downto 1)
);
end COMPONENT;
COMPONENT TCP_TX
GENERIC (
MSS: std_logic_vector(15 downto 0);
IPv6_ENABLED: std_logic
);
PORT(
ASYNC_RESET : IN std_logic;
CLK : IN std_logic;
MAC_ADDR : IN std_logic_vector(47 downto 0);
IPv4_ADDR : IN std_logic_vector(31 downto 0);
IPv6_ADDR : IN std_logic_vector(127 downto 0);
TX_PACKET_SEQUENCE_START : IN std_logic;
TX_DEST_MAC_ADDR_IN : IN std_logic_vector(47 downto 0);
TX_DEST_IP_ADDR_IN : IN std_logic_vector(127 downto 0);
TX_DEST_PORT_NO_IN : IN std_logic_vector(15 downto 0);
TX_SOURCE_PORT_NO_IN : IN std_logic_vector(15 downto 0);
TX_IPv4_6n_IN : IN std_logic;
TX_SEQ_NO_IN : IN std_logic_vector(31 downto 0);
TX_ACK_NO_IN : IN std_logic_vector(31 downto 0);
TX_ACK_WINDOW_LENGTH_IN : IN std_logic_vector(15 downto 0);
IP_ID_IN : IN std_logic_vector(15 downto 0);
TX_FLAGS_IN : IN std_logic_vector(7 downto 0);
TX_PACKET_TYPE_IN : IN std_logic_vector(1 downto 0);
TX_PAYLOAD_DATA : IN std_logic_vector(7 downto 0);
TX_PAYLOAD_DATA_VALID : IN std_logic;
TX_PAYLOAD_RTS : IN std_logic;
TX_PAYLOAD_CTS : OUT std_logic;
TX_PAYLOAD_SIZE : IN std_logic_vector(10 downto 0);
TX_PAYLOAD_CHECKSUM: in std_logic_vector(16 downto 0);
MAC_TX_CTS : IN std_logic;
MAC_TX_DATA : OUT std_logic_vector(7 downto 0);
MAC_TX_DATA_VALID : OUT std_logic;
MAC_TX_EOF : OUT std_logic;
TP : OUT std_logic_vector(10 downto 1)
);
END COMPONENT;
COMPONENT TCP_RXBUFNDEMUX2
GENERIC (
NBUFS: integer
);
PORT(
SYNC_RESET : IN std_logic;
CLK : IN std_logic;
RX_DATA : IN std_logic_vector(7 downto 0);
RX_DATA_VALID : IN std_logic;
RX_SOF : IN std_logic;
RX_STREAM_NO: in integer range 0 to (NTCPSTREAMS-1);
RX_EOF : IN std_logic;
RX_FREE_SPACE: OUT SLV16xNTCPSTREAMStype;
RX_APP_DATA: out SLV8xNTCPSTREAMStype;
RX_APP_DATA_VALID: out std_logic_vector((NTCPSTREAMS-1) downto 0);
RX_APP_SOF: out std_logic_vector((NTCPSTREAMS-1) downto 0);
RX_APP_EOF: out std_logic_vector((NTCPSTREAMS-1) downto 0);
RX_APP_RTS: out std_logic_vector((NTCPSTREAMS-1) downto 0);
RX_APP_CTS: in std_logic_vector((NTCPSTREAMS-1) downto 0);
TP : OUT std_logic_vector(10 downto 1)
);
END COMPONENT;
--------------------------------------------------------
-- SIGNALS
--------------------------------------------------------
-- NOTATIONS:
-- _E as one-CLK early sample
-- _D as one-CLK delayed sample
-- _D2 as two-CLKs delayed sample
--//-- TIMERS -----------------------------
signal TICK_4US: std_logic := '0';
signal TICK_100MS_rt: std_logic := '0';
signal TICK_100MS: std_logic := '0';
--//-- MAC INTERFACE --------------
signal MAC_TX_DATA_VALID_local : std_logic := '0';
signal MAC_TX_EOF_FLAG : std_logic := '0';
signal MAC_TX_EOF_local : std_logic := '0';
--//-- PARSE INCOMING PACKET --------------
signal RX_TYPE: std_logic_vector(3 downto 0) := (others => '0');
signal RX_TYPE_RDY : std_logic := '0';
signal RX_IPv4_6n : std_logic := '0';
signal RX_IP_PROTOCOL : std_logic_vector(7 downto 0) := (others => '0');
signal RX_IP_PROTOCOL_RDY : std_logic := '0';
signal IP_RX_DATA : std_logic_vector(7 downto 0) := (others => '0');
signal IP_RX_DATA_VALID : std_logic := '0';
signal IP_RX_SOF : std_logic := '0';
signal IP_RX_EOF : std_logic := '0';
signal IP_BYTE_COUNT : std_logic_vector(15 downto 0) := (others => '0');
signal IP_HEADER_FLAG : std_logic := '0';
signal RX_UDP_CKSUM: std_logic_vector(16 downto 0) := (others => '0');
signal RX_UDP_CKSUM_RDY: std_logic := '0';
signal RX_TCP_HEADER_FLAG: std_logic := '0';
signal RX_TCP_FLAGS: std_logic_vector(7 downto 0) := (others => '0');
signal RX_TCP_CKSUM: std_logic_vector(16 downto 0) := (others => '0');
signal RX_TCP_SEQ_NO: std_logic_vector(31 downto 0) := (others => '0');
signal RX_TCP_ACK_NO: std_logic_vector(31 downto 0) := (others => '0');
signal RX_TCP_WINDOW_SIZE: std_logic_vector(15 downto 0) := (others => '0');
signal RX_DEST_TCP_PORT_NO: std_logic_vector(15 downto 0) := (others => '0');
signal TP_PARSING: std_logic_vector(10 downto 1);
signal RX_SOURCE_MAC_ADDR: std_logic_vector(47 downto 0) := (others => '0');
signal RX_SOURCE_IP_ADDR: std_logic_vector(127 downto 0) := (others => '0');
signal RX_SOURCE_TCP_PORT_NO: std_logic_vector(15 downto 0) := (others => '0');
signal RX_DEST_IP_ADDR: std_logic_vector(127 downto 0) := (others => '0');
signal IP_HEADER_CHECKSUM_VALID: std_logic := '0';
signal IP_HEADER_CHECKSUM_VALID_RDY: std_logic := '0';
--//-- ARP REPLY --------------
signal ARP_MAC_TX_DATA: std_logic_vector(7 downto 0) := x"00";
signal ARP_MAC_TX_DATA_VALID: std_logic := '0';
signal ARP_MAC_TX_EOF: std_logic := '0';
signal ARP_MAC_TX_CTS: std_logic := '0';
signal ARP_RTS: std_logic := '0';
signal TP_ARP: std_logic_vector(10 downto 1);
--//-- PING REPLY --------------
signal PING_MAC_TX_DATA: std_logic_vector(7 downto 0) := x"00";
signal PING_MAC_TX_DATA_VALID: std_logic := '0';
signal PING_MAC_TX_EOF: std_logic := '0';
signal PING_MAC_TX_CTS: std_logic := '0';
signal PING_RTS: std_logic := '0';
signal TP_PING: std_logic_vector(10 downto 1);
--//-- WHOIS ---------------------------------------------
signal WHOIS_IP_ADDR: std_logic_vector(31 downto 0) := (others => '0');
signal WHOIS_START: std_logic := '0';
signal WHOIS_RDY: std_logic := '0';
signal WHOIS_MAC_TX_DATA: std_logic_vector(7 downto 0) := x"00";
signal WHOIS_MAC_TX_DATA_VALID: std_logic := '0';
signal WHOIS_MAC_TX_EOF: std_logic := '0';
signal WHOIS_MAC_TX_CTS: std_logic := '0';
signal WHOIS_RTS: std_logic := '0';
signal TP_WHOIS: std_logic_vector(10 downto 1) := (others => '0');
--//-- ARP CACHE -----------------------------------------
signal RT_IP_ADDR: std_logic_vector(31 downto 0) := (others => '0');
signal RT_REQ_RTS: std_logic := '0';
signal RT_CTS: std_logic := '0';
signal RT_MAC_REPLY: std_logic_vector(47 downto 0) := (others => '0');
signal RT_MAC_RDY: std_logic := '0';
signal RT_NAK: std_logic := '0';
signal TP_ARP_CACHE2: std_logic_vector(10 downto 1) := (others => '0');
--//-- UDP RX ------------------------------------
signal TP_UDP_RX: std_logic_vector(10 downto 1) := (others => '0');
--//-- UDP TX ------------------------------------
signal UDP001_RT_REQ_RTS: std_logic := '0';
signal UDP001_RT_REQ_CTS: std_logic := '0';
signal UDP001_RT_IP_ADDR: std_logic_vector(31 downto 0) := (others => '0');
signal UDP001_RT_MAC_RDY: std_logic := '0';
signal UDP001_RT_NAK: std_logic := '0';
signal UDP001_MAC_TX_DATA: std_logic_vector(7 downto 0) := x"00";
signal UDP001_MAC_TX_DATA_VALID: std_logic := '0';
signal UDP001_MAC_TX_EOF: std_logic := '0';
signal UDP001_MAC_TX_CTS: std_logic := '0';
signal UDP001_RTS: std_logic := '0';
signal TP_UDP_TX: std_logic_vector(10 downto 1) := (others => '0');
signal UDP_TX_ACK_local: std_logic := '0';
signal UDP_TX_NAK_local: std_logic := '0';
--//-- TCP RX ------------------------------------
-- TCP server 001
signal TCP_LOCAL_PORTS: SLV16xNTCPSTREAMStype;
signal TCP001_MAC_TX_DATA: std_logic_vector(7 downto 0) := x"00";
signal TCP001_MAC_TX_DATA_VALID: std_logic := '0';
signal TCP001_MAC_TX_EOF: std_logic := '0';
signal TCP001_MAC_TX_CTS: std_logic := '0';
signal TCP001_RTS: std_logic := '0';
signal TCP001_RX_DATA: std_logic_vector(7 downto 0) := x"00";
signal TCP001_RX_DATA_VALID: std_logic := '0';
signal TCP001_RX_SOF: std_logic := '0';
signal TCP001_RX_STREAM_NO: integer range 0 to (NTCPSTREAMS-1);
signal TCP001_RX_EOF: std_logic := '0';
signal TCP001_RX_FREE_SPACE: SLV16xNTCPSTREAMStype;
signal TCP001_TX_PACKET_SEQUENCE_START: std_logic := '0';
signal TCP001_TX_DEST_MAC_ADDR: std_logic_vector(47 downto 0) := (others => '0');
signal TCP001_TX_DEST_IP_ADDR: std_logic_vector(127 downto 0) := (others => '0');
signal TCP001_TX_DEST_PORT_NO: std_logic_vector(15 downto 0) := (others => '0');
signal TCP001_TX_SOURCE_PORT_NO: std_logic_vector(15 downto 0) := (others => '0');
signal TCP001_TX_IPv4_6n: std_logic := '0';
signal TCP001_TX_SEQ_NO: std_logic_vector(31 downto 0) := (others => '0');
signal TCP001_TX_ACK_NO: std_logic_vector(31 downto 0) := (others => '0');
signal TCP001_TX_ACK_WINDOW_LENGTH: std_logic_vector(15 downto 0) := (others => '0');
signal TCP001_TX_FLAGS: std_logic_vector(7 downto 0) := (others => '0');
signal TCP001_TX_PACKET_TYPE: std_logic_vector(1 downto 0) := (others => '0');
signal TCP001_EFF_RX_WINDOW_SIZE_PARTIAL: std_logic_vector(16 downto 0) := (others => '0');
signal TCP001_EFF_RX_WINDOW_SIZE_PARTIAL_STREAM: integer range 0 to (NTCPSTREAMS-1);
signal TCP001_EFF_RX_WINDOW_SIZE_PARTIAL_VALID: std_logic := '0'; -- 1 CLK-wide pulse to indicate that the above information is valid
signal TCP001_TX_SEQ_NOxNTCPSTREAMS: SLV17xNTCPSTREAMStype;
signal TCP001_RX_ACK_NOxNTCPSTREAMS: SLV17xNTCPSTREAMStype;
signal TCP001_CONNECTED_FLAG: std_logic_vector((NTCPSTREAMS-1) downto 0) := (others => '0');
signal TCP001_TX_PAYLOAD_DATA: std_logic_vector(7 downto 0) := x"00";
signal TCP001_TX_PAYLOAD_DATA_VALID: std_logic := '0';
signal TCP001_TX_PAYLOAD_RTS: std_logic := '0';
signal TCP001_TX_PAYLOAD_CTS: std_logic := '0';
signal TCP001_TX_PAYLOAD_SIZE: std_logic_vector(10 downto 0) := (others => '0');
signal TCP001_TX_PAYLOAD_CHECKSUM: std_logic_vector(16 downto 0) := "0" & x"0000";
signal TCP001_TX_STREAM_SEL: integer range 0 to (NTCPSTREAMS-1);
signal TCP001_TCP_TX_CTS: std_logic_vector((NTCPSTREAMS-1) downto 0) := (others => '0');
signal TP_TCP_SERVER: std_logic_vector(10 downto 1);
signal TP_TCP_TXBUF: std_logic_vector(10 downto 1);
-- TCP server 002
--signal TCP_LOCAL_PORTS: SLV16xNTCPSTREAMStype;
--signal TCP002_MAC_TX_DATA: std_logic_vector(7 downto 0) := x"00";
--signal TCP002_MAC_TX_DATA_VALID: std_logic := '0';
--signal TCP002_MAC_TX_EOF: std_logic := '0';
--signal TCP002_MAC_TX_CTS: std_logic := '0';
--signal TCP002_RTS: std_logic := '0';
--signal TCP002_RX_DATA: std_logic_vector(7 downto 0) := x"00";
--signal TCP002_RX_DATA_VALID: std_logic := '0';
--signal TCP002_RX_SOF: std_logic := '0';
--signal TCP002_RX_STREAM_NO: integer range 0 to (NTCPSTREAMS-1);
--signal TCP002_RX_EOF: std_logic := '0';
--signal TCP002_RX_FREE_SPACE: std_logic_vector(15 downto 0) := x"0400";
--signal TCP002_TX_PACKET_SEQUENCE_START: std_logic := '0';
--signal TCP002_TX_DEST_MAC_ADDR: std_logic_vector(47 downto 0) := (others => '0');
--signal TCP002_TX_DEST_IP_ADDR: std_logic_vector(127 downto 0) := (others => '0');
--signal TCP002_TX_DEST_PORT_NO: std_logic_vector(15 downto 0) := (others => '0');
--signal TCP002_TX_SOURCE_PORT_NO: std_logic_vector(15 downto 0) := (others => '0');
--signal TCP002_TX_IPv4_6n: std_logic := '0';
--signal TCP002_TX_SEQ_NO: std_logic_vector(31 downto 0) := (others => '0');
--signal TCP002_TX_ACK_NO: std_logic_vector(31 downto 0) := (others => '0');
--signal TCP002_TX_ACK_WINDOW_LENGTH: std_logic_vector(15 downto 0) := (others => '0');
--signal TCP002_TX_FLAGS: std_logic_vector(7 downto 0) := (others => '0');
--signal TCP002_TX_PACKET_TYPE: std_logic_vector(1 downto 0) := (others => '0');
--signal TCP002_EFF_RX_WINDOW_SIZE_PARTIAL: std_logic_vector(15 downto 0) := (others => '0');
--signal TCP002_EFF_RX_WINDOW_SIZE_PARTIAL_STREAM: integer range 0 to (NTCPSTREAMS-1);
--signal TCP002_EFF_RX_WINDOW_SIZE_PARTIAL_VALID: std_logic := '0'; -- 1 CLK-wide pulse to indicate that the above information is valid
--signal TCP002_TX_SEQ_NOxNTCPSTREAMS: SLV16xNTCPSTREAMStype;
--signal TCP002_RX_ACK_NOxNTCPSTREAMS: SLV16xNTCPSTREAMStype;
--signal TCP002_CONNECTED_FLAG: std_logic_vector((NTCPSTREAMS-1) downto 0) := (others => '0');
--signal TCP002_TX_PAYLOAD_DATA: std_logic_vector(7 downto 0) := x"00";
--signal TCP002_TX_PAYLOAD_DATA_VALID: std_logic := '0';
--signal TCP002_TX_PAYLOAD_RTS: std_logic := '0';
--signal TCP002_TX_PAYLOAD_CTS: std_logic := '0';
--signal TCP002_TX_PAYLOAD_SIZE: std_logic_vector(10 downto 0) := (others => '0');
--signal TCP002_TX_PAYLOAD_CHECKSUM: std_logic_vector(16 downto 0) := "0" & x"0000";
--signal TCP002_TX_STREAM_SEL: integer range 0 to (NTCPSTREAMS-1);
--signal TCP002_TCP_TX_CTS: std_logic_vector((NTCPSTREAMS-1) downto 0) := (others => '0');
--//-- APP -> TCP TX BUFFER
signal TCP_TXBUF_DATA: std_logic_vector(7 downto 0) := x"00";
signal TCP_TXBUF_DATA_VALID: std_logic := '0';
signal TCP_TXBUF_SOF: std_logic := '0';
signal TCP_TXBUF_EOF: std_logic := '0';
signal TCP_TXBUF_RTS: std_logic := '0';
signal TCP_TXBUF_CTS: std_logic := '0';
--???signal TCP_TXBUF_PAYLOAD_SIZE: std_logic_vector(15 downto 0) := x"0000";
signal TCP_TXBUF_PARTIAL_CKSUM: std_logic_vector(15 downto 0) := x"0000";
signal TCP_TXBUF_RPTR: std_logic_vector(31 downto 0) := x"00000000";
signal TCP_TXBUF_RPTR_CONFIRMED: std_logic_vector(31 downto 0) := x"00000000";
--//-- TRANSMISSION ARBITER --------------
signal IP_ID: std_logic_vector(15 downto 0) := x"0000";
signal TX_MUX_STATE: integer range 0 to 10; -- up to 6 protocol engines. Increase size if more.
--//-- ROUTING TABLE ARBITER --------------
signal RT_MUX_STATE: integer range 0 to 10;
-- 1 + number of transmit components vying for access to the routing table. Adjust as needed.
--//-- TEST POINTS
------------------------------------------------------
-- IMPLEMENTATION
--------------------------------------------------------
begin
--//-- TIMERS -----------------------------
Inst_TIMER_4US: TIMER_4US
GENERIC MAP(
CLK_FREQUENCY => CLK_FREQUENCY
)
PORT MAP(
ASYNC_RESET => ASYNC_RESET,
CLK => CLK,
TICK_4US => TICK_4US,
TICK_100MS => TICK_100MS_rt
);
TICK_100MS <= TICK_4US when (SIMULATION = '1') else TICK_100MS_rt; -- to accelerate simulations
--//-- PARSE INCOMING PACKET --------------
-- Code is common to all protocols. Extracts key information from incoming packets.
Inst_PACKET_PARSING: PACKET_PARSING
GENERIC MAP(
IPv6_ENABLED => IPv6_ENABLED,
SIMULATION => SIMULATION
)
PORT MAP(
ASYNC_RESET => ASYNC_RESET,
CLK => CLK,
TICK_4US => TICK_4US,
MAC_RX_DATA => MAC_RX_DATA,
MAC_RX_DATA_VALID => MAC_RX_DATA_VALID,
MAC_RX_SOF => MAC_RX_SOF,
MAC_RX_EOF => MAC_RX_EOF,
IPv4_ADDR => IPv4_ADDR,
IPv6_ADDR => IPv6_ADDR,
IP_RX_DATA => IP_RX_DATA,
IP_RX_DATA_VALID => IP_RX_DATA_VALID,
IP_RX_SOF => IP_RX_SOF,
IP_RX_EOF => IP_RX_EOF,
IP_BYTE_COUNT => IP_BYTE_COUNT,
IP_HEADER_FLAG => IP_HEADER_FLAG,
RX_TYPE => RX_TYPE,
RX_TYPE_RDY => RX_TYPE_RDY,
RX_IPv4_6n => RX_IPv4_6n,
RX_IP_PROTOCOL => RX_IP_PROTOCOL,
RX_IP_PROTOCOL_RDY => RX_IP_PROTOCOL_RDY,
VALID_DEST_IP => open,
VALID_DEST_IP_RDY => open,
IP_HEADER_CHECKSUM_VALID => IP_HEADER_CHECKSUM_VALID,
IP_HEADER_CHECKSUM_VALID_RDY => IP_HEADER_CHECKSUM_VALID_RDY,
RX_SOURCE_MAC_ADDR => RX_SOURCE_MAC_ADDR,
RX_SOURCE_IP_ADDR => RX_SOURCE_IP_ADDR,
RX_SOURCE_TCP_PORT_NO => RX_SOURCE_TCP_PORT_NO,
RX_DEST_IP_ADDR => RX_DEST_IP_ADDR,
RX_DEST_TCP_PORT_NO => RX_DEST_TCP_PORT_NO,
RX_UDP_CKSUM => RX_UDP_CKSUM,
RX_UDP_CKSUM_RDY => RX_UDP_CKSUM_RDY,
-- RX_TCP_BYTE_COUNT => RX_TCP_BYTE_COUNT,
RX_TCP_HEADER_FLAG => RX_TCP_HEADER_FLAG,
RX_TCP_FLAGS => RX_TCP_FLAGS,
RX_TCP_CKSUM => RX_TCP_CKSUM,
RX_TCP_SEQ_NO => RX_TCP_SEQ_NO,
RX_TCP_ACK_NO => RX_TCP_ACK_NO,
RX_TCP_WINDOW_SIZE => RX_TCP_WINDOW_SIZE,
CS1 => open,
CS1_CLK => open,
CS2 => open,
CS2_CLK => open,
TP => TP_PARSING
);
--//-- ARP REPLY --------------
-- Instantiated once per PHY. IPv4-only. Use NDP for IPv6.
Inst_ARP: ARP
PORT MAP(
ASYNC_RESET => ASYNC_RESET,
CLK => CLK,
MAC_RX_DATA => MAC_RX_DATA,
MAC_RX_DATA_VALID => MAC_RX_DATA_VALID,
MAC_RX_SOF => MAC_RX_SOF,
MAC_RX_EOF => MAC_RX_EOF,
MAC_ADDR => MAC_ADDR,
IPv4_ADDR => IPv4_ADDR,
RX_TYPE => RX_TYPE,
RX_TYPE_RDY => RX_TYPE_RDY,
RX_SOURCE_MAC_ADDR => RX_SOURCE_MAC_ADDR,
RX_SOURCE_IP_ADDR => RX_SOURCE_IP_ADDR(31 downto 0),
MAC_TX_DATA => ARP_MAC_TX_DATA,
MAC_TX_DATA_VALID => ARP_MAC_TX_DATA_VALID,
MAC_TX_EOF => ARP_MAC_TX_EOF,
MAC_TX_CTS => ARP_MAC_TX_CTS,
RTS => ARP_RTS,
TP => TP_ARP
);
--//-- PING REPLY --------------
-- Instantiated once per PHY.
Inst_PING: PING
GENERIC MAP(
IPv6_ENABLED => IPv6_ENABLED,
MAX_PING_SIZE => x"0200" -- 512 byte threshold for ping requests
)
PORT MAP(
ASYNC_RESET => ASYNC_RESET,
CLK => CLK,
MAC_RX_DATA => MAC_RX_DATA,
MAC_RX_DATA_VALID => MAC_RX_DATA_VALID,
MAC_RX_SOF => MAC_RX_SOF,
MAC_RX_EOF => MAC_RX_EOF,
MAC_ADDR => MAC_ADDR,
IPv4_ADDR => IPv4_ADDR,
IPv6_ADDR => IPv6_ADDR,
RX_IPv4_6n => RX_IPv4_6n,
RX_IP_PROTOCOL => RX_IP_PROTOCOL,
RX_IP_PROTOCOL_RDY => RX_IP_PROTOCOL_RDY,
IP_RX_DATA_VALID => IP_RX_DATA_VALID,
IP_RX_EOF => IP_RX_EOF,
MAC_TX_DATA => PING_MAC_TX_DATA,
MAC_TX_DATA_VALID => PING_MAC_TX_DATA_VALID,
MAC_TX_EOF => PING_MAC_TX_EOF,
MAC_TX_CTS => PING_MAC_TX_CTS,
RTS => PING_RTS,
TP => TP_PING
);
--//-- WHOIS ---------------------------------------------
-- Sends ARP requests
-- Currently only used by UDP tx
WHOIS2_X: if(NUDPTX /= 0) generate
WHOIS2_001: WHOIS2 PORT MAP(
SYNC_RESET => SYNC_RESET,
CLK => CLK,
WHOIS_IP_ADDR => WHOIS_IP_ADDR,
WHOIS_START => WHOIS_START,
WHOIS_RDY => WHOIS_RDY, -- unused
MAC_ADDR => MAC_ADDR,
IPv4_ADDR => IPv4_ADDR,
MAC_TX_DATA => WHOIS_MAC_TX_DATA,
MAC_TX_DATA_VALID => WHOIS_MAC_TX_DATA_VALID,
MAX_TX_EOF => WHOIS_MAC_TX_EOF,
MAC_TX_CTS => WHOIS_MAC_TX_CTS,
RTS => WHOIS_RTS,
TP => TP_WHOIS
);
end generate;
--//-- ARP CACHE (ROUTING TABLE) -----------------------------------------
-- Routing table mapping destination IP addresses and associated MAC addresses.
-- Currently only used by UDP tx
ARP_CACHE2_X: if(NUDPTX /= 0) generate
ARP_CACHE2_001: ARP_CACHE2 PORT MAP(
SYNC_RESET => SYNC_RESET,
CLK => CLK,
TICK_100MS => TICK_100MS,
RT_IP_ADDR => RT_IP_ADDR,
RT_REQ_RTS => RT_REQ_RTS,
RT_CTS => RT_CTS,
RT_MAC_REPLY => RT_MAC_REPLY,
RT_MAC_RDY => RT_MAC_RDY,
RT_NAK => RT_NAK,
MAC_ADDR => MAC_ADDR,
IPv4_ADDR => IPv4_ADDR,
SUBNET_MASK => SUBNET_MASK,
GATEWAY_IP_ADDR => GATEWAY_IP_ADDR,
WHOIS_IP_ADDR => WHOIS_IP_ADDR,
WHOIS_START => WHOIS_START,
RX_SOURCE_ADDR_RDY => MAC_RX_EOF,
RX_SOURCE_MAC_ADDR => RX_SOURCE_MAC_ADDR,
RX_SOURCE_IP_ADDR => RX_SOURCE_IP_ADDR(31 downto 0), -- IPv4 only
SREG1 => open,
SREG2 => open,
SREG3 => open,
SREG4 => open,
SREG5 => open,
SREG6 => open,
TP => TP_ARP_CACHE2
);
end generate;
--//-- UDP RX to Serial (Monitoring and control) ---------
Inst_UDP2SERIAL: UDP2SERIAL
GENERIC MAP(
PORT_NO => x"0405", --1029
CLK_FREQUENCY => CLK_FREQUENCY
)
PORT MAP(
ASYNC_RESET => ASYNC_RESET,
CLK => CLK,
IP_RX_DATA => IP_RX_DATA,
IP_RX_DATA_VALID => IP_RX_DATA_VALID,
IP_RX_SOF => IP_RX_SOF,
IP_RX_EOF => IP_RX_EOF,
IP_HEADER_FLAG => IP_HEADER_FLAG,
RX_IP_PROTOCOL => RX_IP_PROTOCOL,
RX_IP_PROTOCOL_RDY => RX_IP_PROTOCOL_RDY,
SERIAL_OUT => open,
TP => open
);
--//-- UDP RX ------------------------------------
UDP_RX_X: if(NUDPRX /= 0) generate
UDP_RX_001: UDP_RX
PORT MAP(
ASYNC_RESET => ASYNC_RESET,
CLK => CLK,
IP_RX_DATA => IP_RX_DATA,
IP_RX_DATA_VALID => IP_RX_DATA_VALID,
IP_RX_SOF => IP_RX_SOF,
IP_RX_EOF => IP_RX_EOF,
IP_BYTE_COUNT => IP_BYTE_COUNT,
IP_HEADER_FLAG => IP_HEADER_FLAG,
RX_IP_PROTOCOL => RX_IP_PROTOCOL,
RX_IP_PROTOCOL_RDY => RX_IP_PROTOCOL_RDY,
RX_UDP_CKSUM => RX_UDP_CKSUM,
RX_UDP_CKSUM_RDY => RX_UDP_CKSUM_RDY,
-- configuration
PORT_NO => UDP_RX_DEST_PORT_NO,
-- Application interface
APP_DATA => UDP_RX_DATA,
APP_DATA_VALID => UDP_RX_DATA_VALID,
APP_SOF => UDP_RX_SOF,
APP_EOF => UDP_RX_EOF,
APP_SRC_UDP_PORT => open,
TP => TP_UDP_RX
);
end generate;
--//-- UDP TX ------------------------------------
UDP_TX_NZ: if(NUDPTX /= 0) generate
UDP_TX_001: UDP_TX
GENERIC MAP(
NBUFS => 1,
IPv6_ENABLED => '0'
)
PORT MAP(
CLK => CLK,
SYNC_RESET => SYNC_RESET,
TICK_4US => TICK_4US,
-- Application interface
APP_DATA => UDP_TX_DATA,
APP_DATA_VALID => UDP_TX_DATA_VALID,
APP_SOF => UDP_TX_SOF,
APP_EOF => UDP_TX_EOF,
APP_CTS => UDP_TX_CTS,
ACK => UDP_TX_ACK_local,
NAK => UDP_TX_NAK_local,
DEST_IP_ADDR => UDP_TX_DEST_IP_ADDR,
DEST_PORT_NO => UDP_TX_DEST_PORT_NO,
SOURCE_PORT_NO => UDP_TX_SOURCE_PORT_NO,
IPv4_6n => '1',
-- Configuration
MAC_ADDR => MAC_ADDR,
IPv4_ADDR => IPv4_ADDR,
IPv6_ADDR => IPv6_ADDR,
IP_ID => IP_ID,
-- Routing
RT_IP_ADDR => UDP001_RT_IP_ADDR,
RT_REQ_RTS => UDP001_RT_REQ_RTS,
RT_REQ_CTS => UDP001_RT_REQ_CTS,
RT_MAC_REPLY => RT_MAC_REPLY,
RT_MAC_RDY => UDP001_RT_MAC_RDY,
RT_NAK => UDP001_RT_NAK,
-- MAC interface
MAC_TX_DATA => UDP001_MAC_TX_DATA,
MAC_TX_DATA_VALID => UDP001_MAC_TX_DATA_VALID,
MAC_TX_EOF => UDP001_MAC_TX_EOF,
MAC_TX_CTS => UDP001_MAC_TX_CTS,
RTS => UDP001_RTS,
TP => TP_UDP_TX
);
end generate;
UDP_TX_ACK <= UDP_TX_ACK_local;
UDP_TX_NAK <= UDP_TX_NAK_local;
--//-- TCP SERVER 001 ------------------------------------
-- declare the port number for each TCP stream (NTCPSTREAMS streams, declared in com5402pkg)
TCP_SERVER_X: if (NTCPSTREAMS /= 0) generate
-- TCP_SERVER does the conversion between TCP port number and stream number (and vice versa)
TCP_LOCAL_PORTS(0) <= x"0400"; -- port 1024
TCP_LOCAL_PORTS(1) <= x"0401"; -- port 1025
--TCP_LOCAL_PORTS(2) <= x"0402"; -- port 1026
TCP_SERVER_001: TCP_SERVER
GENERIC MAP(
MSS => x"05B4", -- 1460 bytes
IPv6_ENABLED => IPv6_ENABLED,
SIMULATION => SIMULATION
)
PORT MAP(
CLK => CLK,
SYNC_RESET => SYNC_RESET,
TICK_4US => TICK_4US,
TICK_100MS => TICK_100MS,
MAC_ADDR => MAC_ADDR,
TCP_LOCAL_PORTS => TCP_LOCAL_PORTS,
CONNECTION_RESET => CONNECTION_RESET,
IP_RX_DATA => IP_RX_DATA,
IP_RX_DATA_VALID => IP_RX_DATA_VALID,
IP_RX_SOF => IP_RX_SOF,
IP_RX_EOF => IP_RX_EOF,
IP_BYTE_COUNT => IP_BYTE_COUNT,
IP_HEADER_FLAG => IP_HEADER_FLAG,
RX_IPv4_6n => RX_IPv4_6n,
RX_IP_PROTOCOL => RX_IP_PROTOCOL,
RX_IP_PROTOCOL_RDY => RX_IP_PROTOCOL_RDY,
RX_SOURCE_MAC_ADDR => RX_SOURCE_MAC_ADDR,
RX_SOURCE_IP_ADDR => RX_SOURCE_IP_ADDR,
RX_SOURCE_TCP_PORT_NO => RX_SOURCE_TCP_PORT_NO,
-- RX_TCP_BYTE_COUNT => RX_TCP_BYTE_COUNT,
RX_TCP_HEADER_FLAG => RX_TCP_HEADER_FLAG,
RX_TCP_FLAGS => RX_TCP_FLAGS,
RX_TCP_CKSUM => RX_TCP_CKSUM,
RX_TCP_SEQ_NO => RX_TCP_SEQ_NO,
RX_TCP_ACK_NO => RX_TCP_ACK_NO,
RX_TCP_WINDOW_SIZE => RX_TCP_WINDOW_SIZE,
RX_DEST_TCP_PORT_NO => RX_DEST_TCP_PORT_NO,
RX_DATA => TCP001_RX_DATA,
RX_DATA_VALID => TCP001_RX_DATA_VALID,
RX_SOF => TCP001_RX_SOF,
RX_STREAM_NO => TCP001_RX_STREAM_NO,
RX_EOF => TCP001_RX_EOF,
RX_FREE_SPACE => TCP001_RX_FREE_SPACE,
TX_PACKET_SEQUENCE_START_OUT => TCP001_TX_PACKET_SEQUENCE_START,
TX_DEST_MAC_ADDR_OUT => TCP001_TX_DEST_MAC_ADDR,
TX_DEST_IP_ADDR_OUT => TCP001_TX_DEST_IP_ADDR,
TX_DEST_PORT_NO_OUT => TCP001_TX_DEST_PORT_NO,
TX_SOURCE_PORT_NO_OUT => TCP001_TX_SOURCE_PORT_NO,
TX_IPv4_6n_OUT => TCP001_TX_IPv4_6n,
TX_SEQ_NO_OUT => TCP001_TX_SEQ_NO,
TX_ACK_NO_OUT => TCP001_TX_ACK_NO,
TX_ACK_WINDOW_LENGTH_OUT => TCP001_TX_ACK_WINDOW_LENGTH,
TX_FLAGS_OUT => TCP001_TX_FLAGS,
TX_PACKET_TYPE_OUT => TCP001_TX_PACKET_TYPE,
MAC_TX_EOF => TCP001_MAC_TX_EOF,
RTS => TCP001_RTS,
EFF_RX_WINDOW_SIZE_PARTIAL => TCP001_EFF_RX_WINDOW_SIZE_PARTIAL,
EFF_RX_WINDOW_SIZE_PARTIAL_STREAM => TCP001_EFF_RX_WINDOW_SIZE_PARTIAL_STREAM,
EFF_RX_WINDOW_SIZE_PARTIAL_VALID => TCP001_EFF_RX_WINDOW_SIZE_PARTIAL_VALID,
TX_SEQ_NO => TCP001_TX_SEQ_NOxNTCPSTREAMS,
RX_TCP_ACK_NO_D => TCP001_RX_ACK_NOxNTCPSTREAMS,
TX_STREAM_SEL => TCP001_TX_STREAM_SEL,
TX_PAYLOAD_RTS => TCP001_TX_PAYLOAD_RTS,
TX_PAYLOAD_SIZE => TCP001_TX_PAYLOAD_SIZE,
CONNECTED_FLAG => TCP001_CONNECTED_FLAG,
TP => TP_TCP_SERVER
);
-- assemble tx packet (MAC/IP/TCP)
Inst_TCP_TX: TCP_TX
GENERIC MAP(
MSS => x"05B4", -- 1460 bytes
IPv6_ENABLED => IPv6_ENABLED
)
PORT MAP(
ASYNC_RESET => ASYNC_RESET,
CLK => CLK,
MAC_ADDR => MAC_ADDR,
IPv4_ADDR => IPv4_ADDR,
IPv6_ADDR => IPv6_ADDR,
TX_PACKET_SEQUENCE_START => TCP001_TX_PACKET_SEQUENCE_START,
TX_DEST_MAC_ADDR_IN => TCP001_TX_DEST_MAC_ADDR,
TX_DEST_IP_ADDR_IN => TCP001_TX_DEST_IP_ADDR,
TX_DEST_PORT_NO_IN => TCP001_TX_DEST_PORT_NO,
TX_SOURCE_PORT_NO_IN => TCP001_TX_SOURCE_PORT_NO,
TX_IPv4_6n_IN => TCP001_TX_IPv4_6n,
TX_SEQ_NO_IN => TCP001_TX_SEQ_NO,
TX_ACK_NO_IN => TCP001_TX_ACK_NO,
TX_ACK_WINDOW_LENGTH_IN => TCP001_TX_ACK_WINDOW_LENGTH,
IP_ID_IN => IP_ID,
TX_FLAGS_IN => TCP001_TX_FLAGS,
TX_PACKET_TYPE_IN => TCP001_TX_PACKET_TYPE,
TX_PAYLOAD_DATA => TCP001_TX_PAYLOAD_DATA,
TX_PAYLOAD_DATA_VALID => TCP001_TX_PAYLOAD_DATA_VALID,
TX_PAYLOAD_RTS => TCP001_TX_PAYLOAD_RTS,
TX_PAYLOAD_CTS => TCP001_TX_PAYLOAD_CTS,
TX_PAYLOAD_SIZE => TCP001_TX_PAYLOAD_SIZE,
TX_PAYLOAD_CHECKSUM => TCP001_TX_PAYLOAD_CHECKSUM,
MAC_TX_DATA => TCP001_MAC_TX_DATA,
MAC_TX_DATA_VALID => TCP001_MAC_TX_DATA_VALID,
MAC_TX_EOF => TCP001_MAC_TX_EOF,
MAC_TX_CTS => TCP001_MAC_TX_CTS,
TP => open
);
Inst_TCP_RXBUFNDEMUX2: TCP_RXBUFNDEMUX2
GENERIC MAP(
NBUFS => 8 -- must be large enough to include 2 MSS per enabled TCP stream. Min = 2. Recommended 4 or 8.
)
PORT MAP(
SYNC_RESET => SYNC_RESET,
CLK => CLK,
RX_DATA => TCP001_RX_DATA,
RX_DATA_VALID => TCP001_RX_DATA_VALID,
RX_SOF => TCP001_RX_SOF,
RX_STREAM_NO => TCP001_RX_STREAM_NO,
RX_EOF => TCP001_RX_EOF,
RX_FREE_SPACE => TCP001_RX_FREE_SPACE,
RX_APP_DATA => TCP_RX_DATA,
RX_APP_DATA_VALID => TCP_RX_DATA_VALID,
RX_APP_SOF => open,
RX_APP_EOF => open,
RX_APP_CTS => TCP_RX_CTS,
RX_APP_RTS => TCP_RX_RTS,
TP => open
);
Inst_TCP_TXBUF: TCP_TXBUF
GENERIC MAP(
NBUFS => 8,
TX_IDLE_TIMEOUT => TX_IDLE_TIMEOUT,
MSS => x"05B4" -- 1460 bytes, consistent with Ethernet MTU of 1500 bytes.
)
PORT MAP(
CLK => CLK,
SYNC_RESET => SYNC_RESET,
TICK_4US => TICK_4US,
-- application interface -------
APP_DATA => TCP_TX_DATA,
APP_DATA_VALID => TCP_TX_DATA_VALID,
APP_CTS => TCP001_TCP_TX_CTS,
-- TCP_SERVER interface -------
EFF_RX_WINDOW_SIZE_PARTIAL_IN => TCP001_EFF_RX_WINDOW_SIZE_PARTIAL,
EFF_RX_WINDOW_SIZE_PARTIAL_STREAM => TCP001_EFF_RX_WINDOW_SIZE_PARTIAL_STREAM,
EFF_RX_WINDOW_SIZE_PARTIAL_VALID => TCP001_EFF_RX_WINDOW_SIZE_PARTIAL_VALID,
TX_SEQ_NO_IN => TCP001_TX_SEQ_NOxNTCPSTREAMS,
RX_TCP_ACK_NO_D => TCP001_RX_ACK_NOxNTCPSTREAMS,
CONNECTED_FLAG => TCP001_CONNECTED_FLAG,
TX_STREAM_SEL => TCP001_TX_STREAM_SEL,
-- TCP_TX interface -------
TX_PAYLOAD_DATA => TCP001_TX_PAYLOAD_DATA,
TX_PAYLOAD_DATA_VALID => TCP001_TX_PAYLOAD_DATA_VALID,
TX_PAYLOAD_RTS => TCP001_TX_PAYLOAD_RTS,
TX_PAYLOAD_CTS => TCP001_TX_PAYLOAD_CTS,
TX_PAYLOAD_SIZE => TCP001_TX_PAYLOAD_SIZE,
TX_PAYLOAD_CHECKSUM => TCP001_TX_PAYLOAD_CHECKSUM,
MAC_TX_EOF => TCP001_MAC_TX_EOF,
TP => TP_TCP_TXBUF
);
TCP_TX_CTS <= TCP001_TCP_TX_CTS;
end generate;
--//-- IP ID generation
-- Increment IP ID every time an IP datagram is sent
IP_ID_GEN_001: process(ASYNC_RESET, CLK)
begin
if(ASYNC_RESET = '1') then
IP_ID <= (others => '0');
elsif rising_edge(CLK) then
if(TCP001_MAC_TX_EOF = '1') or (UDP001_MAC_TX_EOF = '1') then
-- if(TCP001_MAC_TX_EOF = '1') or (TCP002_MAC_TX_EOF = '1') or (UDP001_MAC_TX_EOF = '1') then
-- increment every time an IP packet is send.
-- Adjust as needed when other IP/UDP/TCP components are instantiated
IP_ID <= IP_ID + 1;
end if;
end if;
end process;
--//-- TRANSMISSION ARBITER --------------
-- determines the source for the next packet to be transmitted.
-- State machine to prevent overlapping between two packets ready...
-- For example, one has to wait until a UDP packet has completed transmission
-- before starting to send a TCP packet.
TX_MUX_001: process(CLK)
begin
if rising_edge(CLK) then
if(SYNC_RESET = '1') then
TX_MUX_STATE <= 0; -- idle
elsif(TX_MUX_STATE = 0) and (MAC_TX_CTS = '1') then
-- from idle to ...
if(ARP_RTS = '1') then
TX_MUX_STATE <= 1; -- enable ARP response
elsif(PING_RTS = '1') then
TX_MUX_STATE <= 2; -- enable PING response
elsif(TCP001_RTS = '1') and (NTCPSTREAMS /= 0) then
TX_MUX_STATE <= 3; -- enable TCP001 transmission
elsif(WHOIS_RTS = '1') and (NUDPTX /= 0) then
TX_MUX_STATE <= 4; -- enable WHOIS transmission
elsif(UDP001_RTS = '1') and (NUDPTX /= 0) then
TX_MUX_STATE <= 5; -- enable UDP001 transmission (duplicate as needed)
-- elsif(TCP002_RTS = '1') and (NTCPSTREAMS /= 0) then
-- TX_MUX_STATE <= 6; -- enable TCP002 transmission
end if;
-- Done transmitting. go from ... to idle
elsif(TX_MUX_STATE = 1) and (ARP_MAC_TX_EOF = '1') then
TX_MUX_STATE <= 0; -- idle
elsif(TX_MUX_STATE = 2) and (PING_MAC_TX_EOF = '1') then
TX_MUX_STATE <= 0; -- idle
elsif(TX_MUX_STATE = 3) and (TCP001_MAC_TX_EOF = '1') and (NTCPSTREAMS /= 0) then
TX_MUX_STATE <= 0; -- idle
elsif(TX_MUX_STATE = 4) and (WHOIS_MAC_TX_EOF = '1') and (NUDPTX /= 0) then
TX_MUX_STATE <= 0; -- idle
elsif(TX_MUX_STATE = 5) and (UDP001_MAC_TX_EOF = '1') and (NUDPTX /= 0) then -- (duplicate as needed)
TX_MUX_STATE <= 0; -- idle
-- elsif(TX_MUX_STATE = 6) and (TCP002_MAC_TX_EOF = '1') and (NTCPSTREAMS /= 0) then
-- TX_MUX_STATE <= 0; -- idle
end if;
end if;
end process;
TX_MUX_002: process(TX_MUX_STATE, ARP_MAC_TX_EOF, ARP_MAC_TX_DATA_VALID, ARP_MAC_TX_DATA,
PING_MAC_TX_EOF, PING_MAC_TX_DATA_VALID, PING_MAC_TX_DATA,
TCP001_MAC_TX_EOF, TCP001_MAC_TX_DATA_VALID, TCP001_MAC_TX_DATA,
WHOIS_MAC_TX_DATA, WHOIS_MAC_TX_DATA_VALID, WHOIS_MAC_TX_EOF,
UDP001_MAC_TX_DATA, UDP001_MAC_TX_DATA_VALID, UDP001_MAC_TX_EOF)
begin
case(TX_MUX_STATE) is
when (1) =>
MAC_TX_DATA <= ARP_MAC_TX_DATA;
MAC_TX_DATA_VALID_local <= ARP_MAC_TX_DATA_VALID;
MAC_TX_EOF_local <= ARP_MAC_TX_EOF;
when (2) =>
MAC_TX_DATA <= PING_MAC_TX_DATA;
MAC_TX_DATA_VALID_local <= PING_MAC_TX_DATA_VALID;
MAC_TX_EOF_local <= PING_MAC_TX_EOF;
when (3) =>
MAC_TX_DATA <= TCP001_MAC_TX_DATA;
MAC_TX_DATA_VALID_local <= TCP001_MAC_TX_DATA_VALID;
MAC_TX_EOF_local <= TCP001_MAC_TX_EOF;
when (4) =>
MAC_TX_DATA <= WHOIS_MAC_TX_DATA;
MAC_TX_DATA_VALID_local <= WHOIS_MAC_TX_DATA_VALID;
MAC_TX_EOF_local <= WHOIS_MAC_TX_EOF;
when (5) =>
MAC_TX_DATA <= UDP001_MAC_TX_DATA;
MAC_TX_DATA_VALID_local <= UDP001_MAC_TX_DATA_VALID;
MAC_TX_EOF_local <= UDP001_MAC_TX_EOF;
-- when (6) =>
-- MAC_TX_DATA <= TCP002_MAC_TX_DATA;
-- MAC_TX_DATA_VALID_local <= TCP002_MAC_TX_DATA_VALID;
-- MAC_TX_EOF_local <= TCP002_MAC_TX_EOF;
when others =>
MAC_TX_DATA <= (others => '0');
MAC_TX_DATA_VALID_local <= '0';
MAC_TX_EOF_local <= '0';
end case;
end process;
MAC_TX_DATA_VALID <= MAC_TX_DATA_VALID_local;
MAC_TX_EOF <= MAC_TX_EOF_local;
-- reconstruct a SOF pulse for local loopback
SOF_GEN: process(CLK)
begin
if rising_edge(CLK) then
if(SYNC_RESET = '1') then
MAC_TX_EOF_FLAG <= '1';
elsif(MAC_TX_EOF_local = '1') then
MAC_TX_EOF_FLAG <= '1';
elsif(MAC_TX_DATA_VALID_local = '1') then
MAC_TX_EOF_FLAG <= '0';
end if;
end if;
end process;
MAC_TX_SOF <= '1' when (MAC_TX_DATA_VALID_local = '1') and (MAC_TX_EOF_FLAG = '1') else '0';
-- Route "Clear To Send" signal from the MAC to the proper protocol component
ARP_MAC_TX_CTS <= '1' when (TX_MUX_STATE = 1) else '0';
PING_MAC_TX_CTS <= '1' when (TX_MUX_STATE = 2) else '0';
TCP001_MAC_TX_CTS <= '1' when (TX_MUX_STATE = 3) else '0';
WHOIS_MAC_TX_CTS <= '1' when (TX_MUX_STATE = 4) else '0';
UDP001_MAC_TX_CTS <= '1' when (TX_MUX_STATE = 5) else '0';
--TCP002_MAC_TX_CTS <= '1' when (TX_MUX_STATE = 6) else '0';
--//-- ROUTING TABLE ARBITER --------------
-- Since several components could send simultaneous routing (RT) requests, one must
-- determine who can access the routing table next
RT_MUX_001: process(ASYNC_RESET, CLK)
begin
if(ASYNC_RESET = '1') then
RT_MUX_STATE <= 0; -- idle
elsif rising_edge(CLK) then
if(RT_MUX_STATE = 0) then
-- from idle to ...
if(UDP001_RT_REQ_RTS = '1') then
RT_MUX_STATE <= 1; -- gives UDP001 access to the routing table
-- elsif(UDP002_RT_REQ_RTS = '1') then
-- RT_MUX_STATE <= 2; -- gives UDP002 access to the routing table
-- elsif(UDP003_RT_REQ_RTS = '1') then
-- RT_MUX_STATE <= 3; -- gives UDP003 access to the routing table
end if;
-- Routing table transaction complete. go back to idle
elsif (RT_MAC_RDY = '1') or (RT_NAK = '1') then
RT_MUX_STATE <= 0; -- idle
end if;
end if;
end process;
RT_MUX_002: process(RT_MUX_STATE, UDP001_RT_IP_ADDR, UDP001_RT_REQ_RTS)
begin
case(RT_MUX_STATE) is
when (1) =>
RT_IP_ADDR <= UDP001_RT_IP_ADDR;
RT_REQ_RTS <= UDP001_RT_REQ_RTS;
-- when (2) =>
-- RT_IP_ADDR <= UDP002_RT_IP_ADDR;
-- --when (3) =>
-- RT_IP_ADDR <= UDP003_RT_IP_ADDR;
-- etc...
when others =>
RT_IP_ADDR <= (others => '0');
RT_REQ_RTS <= '0';
end case;
end process;
UDP001_RT_REQ_CTS <= RT_CTS when (RT_MUX_STATE = 1) else '0';
--UDP002_RT_REQ_CTS <= RT_CTS when (RT_MUX_STATE = 2) else '0';
--UDP003_RT_REQ_CTS <= RT_CTS when (RT_MUX_STATE = 3) else '0';
-- etc...
UDP001_RT_MAC_RDY <= RT_MAC_RDY when (RT_MUX_STATE = 1) else '0';
--UDP002_RT_MAC_RDY <= RT_MAC_RDY when (RT_MUX_STATE = 2) else '0';
--UDP003_RT_MAC_RDY <= RT_MAC_RDY when (RT_MUX_STATE = 3) else '0';
-- etc...
UDP001_RT_NAK <= RT_NAK when (RT_MUX_STATE = 1) else '0';
--UDP002_RT_NAK <= RT_NAK when (RT_MUX_STATE = 2) else '0';
--UDP003_RT_NAK <= RT_NAK when (RT_MUX_STATE = 3) else '0';
-- etc...
--//-- TEST POINTS
TP <= TP_TCP_SERVER;
--TP(1) <= '1' when (TX_MUX_STATE=1) else '0'; -- arp
--TP(2) <= '1' when (TX_MUX_STATE=2) else '0'; -- ping
--TP(3) <= '1' when (TX_MUX_STATE=4) else '0'; -- whois
--TP(4) <= '1' when (TX_MUX_STATE=5) else '0'; -- udp tx
--TP(5) <= UDP_TX_DATA_VALID;
--TP(6) <= UDP_TX_ACK_local;
--TP(7) <= UDP_TX_NAK_local;
--TP(8) <= WHOIS_START;
--TP(9) <= RT_REQ_RTS;
--TP(10) <= RT_MAC_RDY;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity shiftr00 is
port(
clkcshr: in std_logic ;
codopcshr: in std_logic_vector ( 3 downto 0 );
portAcshr: in std_logic_vector ( 7 downto 0 );
inFlagcshr: in std_logic;
outcshr: out std_logic_vector ( 7 downto 0 );
outFlagcshr: out std_logic );
end;
architecture shiftr0 of shiftr00 is
begin
pshr: process(codopcshr, portAcshr)
begin
if(codopcshr = "1010") then
outcshr(7) <= '0';
outcshr(6 downto 0) <= portAcshr(7 downto 1);
outFlagcshr <= '1';
else
outcshr <= (others => 'Z');
outFlagcshr <= 'Z';
end if;
end process pshr;
-- pnand: process(clknd, codopnd, inFlagnd)
-- --variable auxnd: bit:='0';
-- begin
-- if (clknd = '1') then
----clknd'event and
-- if (codopnd = "0100") then
-- if (inFlagnd = '1') then
-- --if (auxnd = '0') then
-- --auxnd:= '1';
-- outnd <= portAnd nand portBnd;
-- outFlagnd <= '1';
-- --end if;
-- else
-- outFlagnd <= '0';
-- end if;
-- else
-- outnd <= (others => 'Z');
-- outFlagnd <= 'Z';
-- --auxnd:='0';
-- end if;
-- end if;
-- end process pnand;
end shiftr0;
|
library verilog;
use verilog.vl_types.all;
entity MeioSomador4Bits_vlg_vec_tst is
end MeioSomador4Bits_vlg_vec_tst;
|
-- $Id: bp_rs232_2l4l_iob.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2010-2011 by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: bp_rs232_2l4l_iob - syn
-- Description: iob's for internal(2line) + external(4line) rs232, with select
--
-- Dependencies: bp_rs232_2line_iob
-- bp_rs232_4line_iob
--
-- Test bench: -
--
-- Target Devices: generic
-- Tool versions: xst 12.1-14,7; ghdl 0.26-0.31
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-08-14 406 1.2.2 fix mistake in tx and rts relay
-- 2011-08-07 404 1.2.1 add RELAY generic and a relay stage towards IOB's
-- 2011-08-06 403 1.2 add pipeline flops; add RESET signal
-- 2011-07-09 391 1.1 moved and renamed to bpgen
-- 2011-07-02 387 1.0.1 use bp_rs232_[24]line_iob now
-- 2010-04-17 278 1.0 Initial version
------------------------------------------------------------------------------
--
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
use work.bpgenlib.all;
-- ----------------------------------------------------------------------------
entity bp_rs232_2l4l_iob is -- iob's for dual 2l+4l rs232, w/ select
generic (
RELAY : boolean := false); -- add a relay stage towards IOB's
port (
CLK : in slbit; -- clock
RESET : in slbit := '0'; -- reset
SEL : in slbit; -- select, '0' for port 0
RXD : out slbit; -- receive data (board view)
TXD : in slbit; -- transmit data (board view)
CTS_N : out slbit; -- clear to send (act. low)
RTS_N : in slbit; -- request to send (act. low)
I_RXD0 : in slbit; -- pad-i: p0: receive data (board view)
O_TXD0 : out slbit; -- pad-o: p0: transmit data (board view)
I_RXD1 : in slbit; -- pad-i: p1: receive data (board view)
O_TXD1 : out slbit; -- pad-o: p1: transmit data (board view)
I_CTS1_N : in slbit; -- pad-i: p1: clear to send (act. low)
O_RTS1_N : out slbit -- pad-o: p1: request to send (act. low)
);
end bp_rs232_2l4l_iob;
architecture syn of bp_rs232_2l4l_iob is
signal RXD0 : slbit := '0';
signal RXD1 : slbit := '0';
signal CTS1_N : slbit := '0';
signal R_RXD : slbit := '1';
signal R_CTS_N : slbit := '0';
signal R_TXD0 : slbit := '1';
signal R_TXD1 : slbit := '1';
signal R_RTS1_N : slbit := '0';
signal RR_RXD0 : slbit := '1';
signal RR_TXD0 : slbit := '1';
signal RR_RXD1 : slbit := '1';
signal RR_TXD1 : slbit := '1';
signal RR_CTS1_N : slbit := '0';
signal RR_RTS1_N : slbit := '0';
begin
-- On Digilent Atlys bords the IOBs for P0 and P1 are on diagonally opposide
-- corners of the die, which causes very long (7-8ns) routing delays to a LUT
-- in the middle. The RELAY generic allows to add 'relay flops' between IOB
-- flops and the mux implented in proc_regs_mux.
--
-- The data flow is
-- iob-flop relay-flop if-flop port
-- RXD0 -> RR_RXD0 -> R_RXD -> RXD
-- TXD0 <- RR_TXD0 <- R_TXD0 <- TXD
-- RXD1 -> RR_RXD1 -> R_RXD -> RXD
-- TXD1 <- RR_TXD1 <- R_TXD1 <- TXD
-- CTS1_N -> RR_CTS1_N -> R_CTS_N -> CTS
-- RTS1_N <- RR_RTS1_N <- R_RTS1_N <- RTS
P0 : bp_rs232_2line_iob
port map (
CLK => CLK,
RXD => RXD0,
TXD => RR_TXD0,
I_RXD => I_RXD0,
O_TXD => O_TXD0
);
P1 : bp_rs232_4line_iob
port map (
CLK => CLK,
RXD => RXD1,
TXD => RR_TXD1,
CTS_N => CTS1_N,
RTS_N => RR_RTS1_N,
I_RXD => I_RXD1,
O_TXD => O_TXD1,
I_CTS_N => I_CTS1_N,
O_RTS_N => O_RTS1_N
);
DORELAY : if RELAY generate
proc_regs_pipe: process (CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
RR_RXD0 <= '1';
RR_TXD0 <= '1';
RR_RXD1 <= '1';
RR_TXD1 <= '1';
RR_CTS1_N <= '0';
RR_RTS1_N <= '0';
else
RR_RXD0 <= RXD0;
RR_TXD0 <= R_TXD0;
RR_RXD1 <= RXD1;
RR_TXD1 <= R_TXD1;
RR_CTS1_N <= CTS1_N;
RR_RTS1_N <= R_RTS1_N;
end if;
end if;
end process proc_regs_pipe;
end generate DORELAY;
NORELAY : if not RELAY generate
RR_RXD0 <= RXD0;
RR_TXD0 <= R_TXD0;
RR_RXD1 <= RXD1;
RR_TXD1 <= R_TXD1;
RR_CTS1_N <= CTS1_N;
RR_RTS1_N <= R_RTS1_N;
end generate NORELAY;
proc_regs_mux: process (CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
R_RXD <= '1';
R_CTS_N <= '0';
R_TXD0 <= '1';
R_TXD1 <= '1';
R_RTS1_N <= '0';
else
if SEL = '0' then -- use 2-line rs232, no flow cntl
R_RXD <= RR_RXD0; -- get port 0 inputs
R_CTS_N <= '0';
R_TXD0 <= TXD; -- set port 0 output
R_TXD1 <= '1'; -- port 1 outputs to idle state
R_RTS1_N <= '0';
else -- otherwise use 4-line rs232
R_RXD <= RR_RXD1; -- get port 1 inputs
R_CTS_N <= RR_CTS1_N;
R_TXD0 <= '1'; -- port 0 output to idle state
R_TXD1 <= TXD; -- set port 1 outputs
R_RTS1_N <= RTS_N;
end if;
end if;
end if;
end process proc_regs_mux;
RXD <= R_RXD;
CTS_N <= R_CTS_N;
end syn;
|
--------------------------------------------------------------------------
-- package com tipos basicos
--------------------------------------------------------------------------
library IEEE;
use IEEE.Std_Logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.conv_std_logic_vector;
package PhoenixPackage is
-----------------------------------------------------------------------
-- OCP PARAMETERS
-----------------------------------------------------------------------
------------------ command Enconding - p. 13 ---------------------------
constant IDLE: Std_Logic_Vector(2 downto 0) :="000";
constant WR: Std_Logic_Vector(2 downto 0) :="001";
constant RD: Std_Logic_Vector(2 downto 0) :="010";
constant RDEX: Std_Logic_Vector(2 downto 0) :="011";
constant BCST: Std_Logic_Vector(2 downto 0) :="111";
-----------------------Response Enconding------------------------------
constant DVA: Std_Logic_Vector(1 downto 0) :="01";
constant ERR: Std_Logic_Vector(1 downto 0) :="11";
constant NULO: Std_Logic_Vector(1 downto 0) :="00";
constant ALVO: Std_Logic_Vector(7 downto 0) :="00000000";
---------------------------------------------------------
-- CONSTANTS INDEPENDENTES
---------------------------------------------------------
constant NPORT: integer := 5;
constant EAST : integer := 0;
constant WEST : integer := 1;
constant NORTH : integer := 2;
constant SOUTH : integer := 3;
constant LOCAL : integer := 4;
---------------------------------------------------------
-- CONSTANT DEPENDENTE DA LARGURA DE BANDA DA REDE
---------------------------------------------------------
constant TAM_FLIT : integer range 1 to 64 := 16;
constant METADEFLIT : integer range 1 to 32 := (TAM_FLIT/2);
constant QUARTOFLIT : integer range 1 to 16 := (TAM_FLIT/4);
---------------------------------------------------------
-- CONSTANTS DEPENDENTES DA PROFUNDIDADE DA FILA
---------------------------------------------------------
constant TAM_BUFFER: integer := 16;
constant TAM_POINTER : integer range 1 to 32 := 5;
---------------------------------------------------------
-- CONSTANTS DEPENDENTES DO NUMERO DE ROTEADORES
---------------------------------------------------------
constant NUM_X : integer := 5;
constant NUM_Y : integer := 5;
constant NROT: integer := NUM_X*NUM_Y;
constant MIN_X : integer := 0;
constant MIN_Y : integer := 0;
constant MAX_X : integer := NUM_X-1;
constant MAX_Y : integer := NUM_Y-1;
---------------------------------------------------------
-- CONSTANT TB
---------------------------------------------------------
constant TAM_LINHA : integer := 500;
---------------------------------------------------------
-- VARIAVEIS DO NOVO HARDWARE
---------------------------------------------------------
subtype reg21 is std_logic_vector(20 downto 0);
type buffControl is array(0 to 4) of std_logic_vector((TAM_FLIT-1) downto 0);
type RouterControl is (invalidRegion, validRegion, faultPort, portError);
type ArrayRouterControl is array(NPORT downto 0) of RouterControl;
constant c_WR_ROUT_TAB : integer := 1;
constant c_WR_FAULT_TAB : integer := 2;
constant c_RD_FAULT_TAB_STEP1 : integer := 3;
constant c_RD_FAULT_TAB_STEP2 : integer := 4;
constant c_TEST_LINKS : integer := 5;
---------------------------------------------------------
-- SUBTIPOS, TIPOS E FUNCOES
---------------------------------------------------------
subtype reg3 is std_logic_vector(2 downto 0);
subtype reg8 is std_logic_vector(7 downto 0);
subtype reg32 is std_logic_vector(31 downto 0);
subtype regNrot is std_logic_vector((NROT-1) downto 0);
subtype regNport is std_logic_vector((NPORT-1) downto 0);
subtype regflit is std_logic_vector((TAM_FLIT-1) downto 0);
subtype regmetadeflit is std_logic_vector(((TAM_FLIT/2)-1) downto 0);
subtype regquartoflit is std_logic_vector((QUARTOFLIT-1) downto 0);
subtype pointer is std_logic_vector((TAM_POINTER-1) downto 0);
type buff is array(0 to TAM_BUFFER-1) of regflit;
type arrayNport_reg3 is array((NPORT-1) downto 0) of reg3;
type arrayNport_reg8 is array((NPORT-1) downto 0) of reg8;
type arrayNport_regflit is array((NPORT-1) downto 0) of regflit;
type arrayNrot_reg3 is array((NROT-1) downto 0) of reg3;
type arrayNrot_regflit is array((NROT-1) downto 0) of regflit;
type arrayNrot_regmetadeflit is array((NROT-1) downto 0) of regmetadeflit;
type arrayNrot_regNport is array((NROT-1) downto 0) of regNport;
function CONV_VECTOR( int: integer ) return std_logic_vector;
type arrayRegNport is array ((NPORT-1) downto 0) of regNport;
type routingTable is array(0 to MAX_X, 0 to MAX_Y) of std_logic_vector(NPORT-1 downto 0);
---------------------------------------------------------
-- FUNCOES TB
---------------------------------------------------------
function CONV_VECTOR( letra : string(1 to TAM_LINHA); pos: integer ) return std_logic_vector;
function CONV_HEX( int : integer ) return string;
function CONV_STRING_4BITS( dado : std_logic_vector(3 downto 0)) return string;
function CONV_STRING_8BITS( dado : std_logic_vector(7 downto 0)) return string;
function CONV_STRING_16BITS( dado : std_logic_vector(15 downto 0)) return string;
function CONV_STRING_32BITS( dado : std_logic_vector(31 downto 0)) return string;
function NUMBER_TO_ADDRESS(number: integer) return regflit;
function ADDRESS_TO_NUMBER (address: std_logic_vector) return integer;
function ADDRESS_TO_NUMBER_NOIA (address: std_logic_vector) return integer;
function to_hstring(value: std_logic_vector) return string;
function PORT_NAME(value: integer) return string;
function GET_ADDR(index : integer) return regflit;
end PhoenixPackage;
package body PhoenixPackage is
--
-- dado o index do roteador retorna o endereço correspondente
--
function GET_ADDR( index: integer) return regflit is
variable addrX, addrY: regmetadeflit;
variable addr: regflit;
begin
addrX := CONV_STD_LOGIC_VECTOR(index/NUM_X,METADEFLIT);
addrY := CONV_STD_LOGIC_VECTOR(index mod NUM_Y, METADEFLIT);
addr := addrX & addrY;
return addr;
end GET_ADDR;
--
-- converte um inteiro em um std_logic_vector(2 downto 0)
--
function CONV_VECTOR( int: integer ) return std_logic_vector is
variable bin: reg3;
begin
case(int) is
when 0 => bin := "000";
when 1 => bin := "001";
when 2 => bin := "010";
when 3 => bin := "011";
when 4 => bin := "100";
when 5 => bin := "101";
when 6 => bin := "110";
when 7 => bin := "111";
when others => bin := "000";
end case;
return bin;
end CONV_VECTOR;
---------------------------------------------------------
-- FUNCOES TB
---------------------------------------------------------
--
-- converte um caracter de uma dada linha em um std_logic_vector
--
function CONV_VECTOR( letra:string(1 to TAM_LINHA); pos: integer ) return std_logic_vector is
variable bin: std_logic_vector(3 downto 0);
begin
case (letra(pos)) is
when '0' => bin := "0000";
when '1' => bin := "0001";
when '2' => bin := "0010";
when '3' => bin := "0011";
when '4' => bin := "0100";
when '5' => bin := "0101";
when '6' => bin := "0110";
when '7' => bin := "0111";
when '8' => bin := "1000";
when '9' => bin := "1001";
when 'A' => bin := "1010";
when 'B' => bin := "1011";
when 'C' => bin := "1100";
when 'D' => bin := "1101";
when 'E' => bin := "1110";
when 'F' => bin := "1111";
when others => bin := "0000";
end case;
return bin;
end CONV_VECTOR;
-- converte um inteiro em um string
function CONV_HEX( int: integer ) return string is
variable str: string(1 to 1);
begin
case(int) is
when 0 => str := "0";
when 1 => str := "1";
when 2 => str := "2";
when 3 => str := "3";
when 4 => str := "4";
when 5 => str := "5";
when 6 => str := "6";
when 7 => str := "7";
when 8 => str := "8";
when 9 => str := "9";
when 10 => str := "A";
when 11 => str := "B";
when 12 => str := "C";
when 13 => str := "D";
when 14 => str := "E";
when 15 => str := "F";
when others => str := "U";
end case;
return str;
end CONV_HEX;
function CONV_STRING_4BITS(dado : std_logic_vector(3 downto 0)) return string is
variable str: string(1 to 1);
begin
str := CONV_HEX(CONV_INTEGER(dado));
return str;
end CONV_STRING_4BITS;
function CONV_STRING_8BITS(dado : std_logic_vector(7 downto 0)) return string is
variable str1,str2: string(1 to 1);
variable str: string(1 to 2);
begin
str1 := CONV_STRING_4BITS(dado(7 downto 4));
str2 := CONV_STRING_4BITS(dado(3 downto 0));
str := str1 & str2;
return str;
end CONV_STRING_8BITS;
function CONV_STRING_16BITS(dado : std_logic_vector(15 downto 0)) return string is
variable str1,str2: string(1 to 2);
variable str: string(1 to 4);
begin
str1 := CONV_STRING_8BITS(dado(15 downto 8));
str2 := CONV_STRING_8BITS(dado(7 downto 0));
str := str1 & str2;
return str;
end CONV_STRING_16BITS;
function CONV_STRING_32BITS(dado : std_logic_vector(31 downto 0)) return string is
variable str1,str2: string(1 to 4);
variable str: string(1 to 8);
begin
str1 := CONV_STRING_16BITS(dado(31 downto 16));
str2 := CONV_STRING_16BITS(dado(15 downto 0));
str := str1 & str2;
return str;
end CONV_STRING_32BITS;
function NUMBER_TO_ADDRESS( number: integer ) return regflit is
variable address: regflit := (others => '0');
begin
address(TAM_FLIT-1 downto METADEFLIT) := (others=>'0');
address(METADEFLIT-1 downto QUARTOFLIT) := CONV_STD_LOGIC_VECTOR(number/NUM_X, QUARTOFLIT);
address(QUARTOFLIT-1 downto 0) := CONV_STD_LOGIC_VECTOR(number mod NUM_Y, QUARTOFLIT);
return address;
end NUMBER_TO_ADDRESS;
function ADDRESS_TO_NUMBER (address: std_logic_vector) return integer is
variable number: integer := 0;
alias addrX is address(METADEFLIT-1 downto QUARTOFLIT);
alias addrY is address(QUARTOFLIT-1 downto 0);
variable X : integer := CONV_INTEGER(addrX);
variable Y : integer := CONV_INTEGER(addrY);
begin
number := Y*(MAX_X+1) + X;
return number;
end ADDRESS_TO_NUMBER;
function ADDRESS_TO_NUMBER_NOIA (address: std_logic_vector) return integer is
variable number: integer := 0;
alias addrX is address(METADEFLIT-1 downto QUARTOFLIT);
alias addrY is address(QUARTOFLIT-1 downto 0);
variable X : integer := CONV_INTEGER(addrX);
variable Y : integer := CONV_INTEGER(addrY);
begin
number := X*(MAX_Y+1) + Y;
return number;
end ADDRESS_TO_NUMBER_NOIA;
-- converte hexa para string
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4; -- numero minimo de blocos de 4 bits (truncado)
variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - value'length) - 1); -- valores finais, no caso do value nao ser multiplo de 4
variable ivalue : STD_LOGIC_VECTOR(0 to ne*4 - 1); -- o valor em si.
variable result : STRING(1 to ne); -- blocos de 4 bits
variable quad : STD_LOGIC_VECTOR(0 to 3); -- um bloco.
begin
if value'length < 1 then
return result;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
function PORT_NAME(value: integer) return string is
variable str: string (1 to 8);
begin
case value is
when EAST => str(1 to 4) := "EAST";
when WEST => str(1 to 4) := "WEST";
when NORTH => str(1 to 5) := "NORTH";
when SOUTH => str(1 to 5) := "SOUTH";
when LOCAL => str(1 to 5) := "LOCAL";
when others => str(1 to 7) := "INVALID";
end case;
return str;
end function PORT_NAME;
end PhoenixPackage; |
library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_MISC_STICKYFLAG24 is
port(
PCLK : in vl_logic;
PRESETN : in vl_logic;
SET : in vl_logic_vector(23 downto 0);
CLR : in vl_logic_vector(23 downto 0);
FLAG : out vl_logic_vector(23 downto 0)
);
end F2DSS_ACE_MISC_STICKYFLAG24;
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_MISC_STICKYFLAG24 is
port(
PCLK : in vl_logic;
PRESETN : in vl_logic;
SET : in vl_logic_vector(23 downto 0);
CLR : in vl_logic_vector(23 downto 0);
FLAG : out vl_logic_vector(23 downto 0)
);
end F2DSS_ACE_MISC_STICKYFLAG24;
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_MISC_STICKYFLAG24 is
port(
PCLK : in vl_logic;
PRESETN : in vl_logic;
SET : in vl_logic_vector(23 downto 0);
CLR : in vl_logic_vector(23 downto 0);
FLAG : out vl_logic_vector(23 downto 0)
);
end F2DSS_ACE_MISC_STICKYFLAG24;
|
library ieee;
use ieee.std_logic_1164.all;
entity foo_m is
port (
clock : in std_logic;
a : in std_logic;
b : in std_logic;
x : out std_logic;
y : out std_logic
);
end entity;
architecture rtl of foo_m is
begin
process (clock) begin
if (rising_edge(clock)) then
x <= a and b;
y <= a or b;
end if;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
entity foo_m is
port (
clock : in std_logic;
a : in std_logic;
b : in std_logic;
x : out std_logic;
y : out std_logic
);
end entity;
architecture rtl of foo_m is
begin
process (clock) begin
if (rising_edge(clock)) then
x <= a and b;
y <= a or b;
end if;
end process;
end architecture;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 09/27/2016 04:46:45 PM
-- Design Name:
-- Module Name: top_level - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VComponents.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top_level is
Port ( ---------------------------------------------------------------------------
-- Xilinx Hard IP Interface
-- . Clock and Resets
pcie_clk_p : in std_logic;
pcie_clk_n : in std_logic;
clk200_n : in STD_LOGIC;
clk200_p : in STD_LOGIC;
rst_n_i : in STD_LOGIC;
sys_rst_n_i : in STD_LOGIC;
-- . Serial I/F
pci_exp_txn : out std_logic_vector(4-1 downto 0);--output wire [4 -1:0] pci_exp_txn ,
pci_exp_txp : out std_logic_vector(4-1 downto 0);--output wire [4 -1:0] pci_exp_txp ,
pci_exp_rxn : in std_logic_vector(4-1 downto 0);--input wire [4 -1:0] pci_exp_rxn ,
pci_exp_rxp : in std_logic_vector(4-1 downto 0);
-- . IO
usr_sw_i : in STD_LOGIC_VECTOR (2 downto 0);
usr_led_o : out STD_LOGIC_VECTOR (2 downto 0);
--front_led_o : out STD_LOGIC_VECTOR (3 downto 0);
-- . DDR3
ddr3_dq : inout std_logic_vector(63 downto 0);
ddr3_dqs_p : inout std_logic_vector(7 downto 0);
ddr3_dqs_n : inout std_logic_vector(7 downto 0);
--init_calib_complete : out std_logic;
ddr3_addr : out std_logic_vector(14 downto 0);
ddr3_ba : out std_logic_vector(2 downto 0);
ddr3_ras_n : out std_logic;
ddr3_cas_n : out std_logic;
ddr3_we_n : out std_logic;
ddr3_reset_n : out std_logic;
ddr3_ck_p : out std_logic_vector(0 downto 0);
ddr3_ck_n : out std_logic_vector(0 downto 0);
ddr3_cke : out std_logic_vector(0 downto 0);
ddr3_cs_n : out std_logic_vector(0 downto 0);
ddr3_dm : out std_logic_vector(7 downto 0);
ddr3_odt : out std_logic_vector(0 downto 0)
);
end top_level;
architecture Behavioral of top_level is
constant AXI_BUS_WIDTH : integer := 64;
component simple_counter is
Port (
rst_i : in STD_LOGIC;
clk_i : in STD_LOGIC;
count_o : out STD_LOGIC_VECTOR (28 downto 0)
);
end component;
COMPONENT pcie_7x_0
PORT (
pci_exp_txp : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
pci_exp_txn : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
pci_exp_rxp : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
pci_exp_rxn : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
user_clk_out : OUT STD_LOGIC;
user_reset_out : OUT STD_LOGIC;
user_lnk_up : OUT STD_LOGIC;
user_app_rdy : OUT STD_LOGIC;
tx_buf_av : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
tx_cfg_req : OUT STD_LOGIC;
tx_err_drop : OUT STD_LOGIC;
s_axis_tx_tready : OUT STD_LOGIC;
s_axis_tx_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_tx_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tx_tlast : IN STD_LOGIC;
s_axis_tx_tvalid : IN STD_LOGIC;
s_axis_tx_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_rx_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_rx_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_rx_tlast : OUT STD_LOGIC;
m_axis_rx_tvalid : OUT STD_LOGIC;
m_axis_rx_tready : IN STD_LOGIC;
m_axis_rx_tuser : OUT STD_LOGIC_VECTOR(21 DOWNTO 0);
cfg_status : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_command : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_dstatus : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_dcommand : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_lstatus : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_lcommand : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_dcommand2 : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_pcie_link_state : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
cfg_pmcsr_pme_en : OUT STD_LOGIC;
cfg_pmcsr_powerstate : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
cfg_pmcsr_pme_status : OUT STD_LOGIC;
cfg_received_func_lvl_rst : OUT STD_LOGIC;
cfg_interrupt : IN STD_LOGIC;
cfg_interrupt_rdy : OUT STD_LOGIC;
cfg_interrupt_assert : IN STD_LOGIC;
cfg_interrupt_di : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_interrupt_do : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_interrupt_mmenable : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
cfg_interrupt_msienable : OUT STD_LOGIC;
cfg_interrupt_msixenable : OUT STD_LOGIC;
cfg_interrupt_msixfm : OUT STD_LOGIC;
cfg_interrupt_stat : IN STD_LOGIC;
cfg_pciecap_interrupt_msgnum : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
cfg_to_turnoff : OUT STD_LOGIC;
cfg_bus_number : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_device_number : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
cfg_function_number : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
cfg_msg_received : OUT STD_LOGIC;
cfg_msg_data : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_bridge_serr_en : OUT STD_LOGIC;
cfg_slot_control_electromech_il_ctl_pulse : OUT STD_LOGIC;
cfg_root_control_syserr_corr_err_en : OUT STD_LOGIC;
cfg_root_control_syserr_non_fatal_err_en : OUT STD_LOGIC;
cfg_root_control_syserr_fatal_err_en : OUT STD_LOGIC;
cfg_root_control_pme_int_en : OUT STD_LOGIC;
cfg_aer_rooterr_corr_err_reporting_en : OUT STD_LOGIC;
cfg_aer_rooterr_non_fatal_err_reporting_en : OUT STD_LOGIC;
cfg_aer_rooterr_fatal_err_reporting_en : OUT STD_LOGIC;
cfg_aer_rooterr_corr_err_received : OUT STD_LOGIC;
cfg_aer_rooterr_non_fatal_err_received : OUT STD_LOGIC;
cfg_aer_rooterr_fatal_err_received : OUT STD_LOGIC;
cfg_msg_received_err_cor : OUT STD_LOGIC;
cfg_msg_received_err_non_fatal : OUT STD_LOGIC;
cfg_msg_received_err_fatal : OUT STD_LOGIC;
cfg_msg_received_pm_as_nak : OUT STD_LOGIC;
cfg_msg_received_pm_pme : OUT STD_LOGIC;
cfg_msg_received_pme_to_ack : OUT STD_LOGIC;
cfg_msg_received_assert_int_a : OUT STD_LOGIC;
cfg_msg_received_assert_int_b : OUT STD_LOGIC;
cfg_msg_received_assert_int_c : OUT STD_LOGIC;
cfg_msg_received_assert_int_d : OUT STD_LOGIC;
cfg_msg_received_deassert_int_a : OUT STD_LOGIC;
cfg_msg_received_deassert_int_b : OUT STD_LOGIC;
cfg_msg_received_deassert_int_c : OUT STD_LOGIC;
cfg_msg_received_deassert_int_d : OUT STD_LOGIC;
cfg_msg_received_setslotpowerlimit : OUT STD_LOGIC;
cfg_vc_tcvc_map : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
sys_clk : IN STD_LOGIC;
sys_rst_n : IN STD_LOGIC
);
END COMPONENT;
component app is
Generic(
AXI_BUS_WIDTH : integer := 64;
DMA_MEMORY_SELECTED : string := "DDR3"
);
Port ( clk_i : in STD_LOGIC;
sys_clk_n_i : IN STD_LOGIC;
sys_clk_p_i : IN STD_LOGIC;
rst_i : in STD_LOGIC;
user_lnk_up_i : in STD_LOGIC;
user_app_rdy_i : in STD_LOGIC;
-- AXI-Stream bus
m_axis_tx_tready_i : in STD_LOGIC;
m_axis_tx_tdata_o : out STD_LOGIC_VECTOR(AXI_BUS_WIDTH-1 DOWNTO 0);
m_axis_tx_tkeep_o : out STD_LOGIC_VECTOR(AXI_BUS_WIDTH/8-1 DOWNTO 0);
m_axis_tx_tlast_o : out STD_LOGIC;
m_axis_tx_tvalid_o : out STD_LOGIC;
m_axis_tx_tuser_o : out STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_rx_tdata_i : in STD_LOGIC_VECTOR(AXI_BUS_WIDTH-1 DOWNTO 0);
s_axis_rx_tkeep_i : in STD_LOGIC_VECTOR(AXI_BUS_WIDTH/8-1 DOWNTO 0);
s_axis_rx_tlast_i : in STD_LOGIC;
s_axis_rx_tvalid_i : in STD_LOGIC;
s_axis_rx_tready_o : out STD_LOGIC;
s_axis_rx_tuser_i : in STD_LOGIC_VECTOR(21 DOWNTO 0);
-- PCIe interrupt config
cfg_interrupt_o : out STD_LOGIC;
cfg_interrupt_rdy_i : in STD_LOGIC;
cfg_interrupt_assert_o : out STD_LOGIC;
cfg_interrupt_di_o : out STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_interrupt_do_i : in STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_interrupt_mmenable_i : in STD_LOGIC_VECTOR(2 DOWNTO 0);
cfg_interrupt_msienable_i : in STD_LOGIC;
cfg_interrupt_msixenable_i : in STD_LOGIC;
cfg_interrupt_msixfm_i : in STD_LOGIC;
cfg_interrupt_stat_o : out STD_LOGIC;
cfg_pciecap_interrupt_msgnum_o : out STD_LOGIC_VECTOR(4 DOWNTO 0);
-- PCIe ID
cfg_bus_number_i : in STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_device_number_i : in STD_LOGIC_VECTOR(4 DOWNTO 0);
cfg_function_number_i : in STD_LOGIC_VECTOR(2 DOWNTO 0);
-- PCIe debug
tx_err_drop_i : in STD_LOGIC;
cfg_dstatus_i : in STD_LOGIC_VECTOR(15 DOWNTO 0);
--DDR3
ddr3_dq_io : inout std_logic_vector(63 downto 0);
ddr3_dqs_p_io : inout std_logic_vector(7 downto 0);
ddr3_dqs_n_io : inout std_logic_vector(7 downto 0);
--init_calib_complete_o : out std_logic;
ddr3_addr_o : out std_logic_vector(14 downto 0);
ddr3_ba_o : out std_logic_vector(2 downto 0);
ddr3_ras_n_o : out std_logic;
ddr3_cas_n_o : out std_logic;
ddr3_we_n_o : out std_logic;
ddr3_reset_n_o : out std_logic;
ddr3_ck_p_o : out std_logic_vector(0 downto 0);
ddr3_ck_n_o : out std_logic_vector(0 downto 0);
ddr3_cke_o : out std_logic_vector(0 downto 0);
ddr3_cs_n_o : out std_logic_vector(0 downto 0);
ddr3_dm_o : out std_logic_vector(7 downto 0);
ddr3_odt_o : out std_logic_vector(0 downto 0);
--I/O
usr_sw_i : in STD_LOGIC_VECTOR (2 downto 0);
usr_led_o : out STD_LOGIC_VECTOR (3 downto 0);
front_led_o : out STD_LOGIC_VECTOR (3 downto 0)
);
end component;
--Clocks
signal sys_clk : STD_LOGIC;
--signal clk200 : STD_LOGIC;
signal aclk : STD_LOGIC;
signal arstn_s : STD_LOGIC;
signal rst_s : STD_LOGIC;
--Wishbone bus
signal usr_led_s : std_logic_vector(3 downto 0);
--signal count_s : STD_LOGIC_VECTOR (28 downto 0);
-- AXI-stream bus to PCIE
signal s_axis_tx_tready_s : STD_LOGIC;
signal s_axis_tx_tdata_s : STD_LOGIC_VECTOR(AXI_BUS_WIDTH-1 DOWNTO 0);
signal s_axis_tx_tkeep_s : STD_LOGIC_VECTOR(AXI_BUS_WIDTH/8-1 DOWNTO 0);
signal s_axis_tx_tlast_s : STD_LOGIC;
signal s_axis_tx_tvalid_s : STD_LOGIC;
signal s_axis_tx_tuser_s : STD_LOGIC_VECTOR(3 DOWNTO 0);
signal m_axis_rx_tdata_s : STD_LOGIC_VECTOR(AXI_BUS_WIDTH-1 DOWNTO 0);
signal m_axis_rx_tkeep_s : STD_LOGIC_VECTOR(AXI_BUS_WIDTH/8-1 DOWNTO 0);
signal m_axis_rx_tlast_s : STD_LOGIC;
signal m_axis_rx_tvalid_s : STD_LOGIC;
signal m_axis_rx_tready_s : STD_LOGIC;
signal m_axis_rx_tuser_s : STD_LOGIC_VECTOR(21 DOWNTO 0);
-- PCIE signals
signal user_lnk_up_s : STD_LOGIC;
signal user_app_rdy_s : STD_LOGIC;
signal tx_err_drop_s : STD_LOGIC;
signal cfg_interrupt_s : STD_LOGIC;
signal cfg_interrupt_rdy_s : STD_LOGIC;
signal cfg_interrupt_assert_s : STD_LOGIC;
signal cfg_interrupt_di_s : STD_LOGIC_VECTOR(7 DOWNTO 0);
signal cfg_interrupt_do_s : STD_LOGIC_VECTOR(7 DOWNTO 0);
signal cfg_interrupt_mmenable_s : STD_LOGIC_VECTOR(2 DOWNTO 0);
signal cfg_interrupt_msienable_s : STD_LOGIC;
signal cfg_interrupt_msixenable_s : STD_LOGIC;
signal cfg_interrupt_msixfm_s : STD_LOGIC;
signal cfg_interrupt_stat_s : STD_LOGIC;
signal cfg_pciecap_interrupt_msgnum_s : STD_LOGIC_VECTOR(4 DOWNTO 0);
-- PCIE ID
signal cfg_bus_number_s : STD_LOGIC_VECTOR(7 DOWNTO 0);
signal cfg_device_number_s : STD_LOGIC_VECTOR(4 DOWNTO 0);
signal cfg_function_number_s : STD_LOGIC_VECTOR(2 DOWNTO 0);
--PCIE debug
signal cfg_dstatus_s : STD_LOGIC_VECTOR(15 DOWNTO 0);
begin
-- LVDS input to internal single
-- CLK_IBUFDS : IBUFDS
-- generic map(
-- IOSTANDARD => "DEFAULT"
-- )
-- port map(
-- I => clk200_p,
-- IB => clk200_n,
-- O => clk200
-- );
-- design_1_0: component design_1
-- port map (
-- CLK_IN_D_clk_n(0) => pcie_clk_n,
-- CLK_IN_D_clk_p(0) => pcie_clk_p,
-- IBUF_OUT(0) => sys_clk
-- );
refclk_ibuf : IBUFDS_GTE2
port map(
O => sys_clk,
ODIV2 => open,
I => pcie_clk_p,
IB => pcie_clk_n,
CEB => '0');
rst_s <= not rst_n_i;
arstn_s <= sys_rst_n_i or rst_n_i;
pcie_0 : pcie_7x_0
PORT MAP (
pci_exp_txp => pci_exp_txp,
pci_exp_txn => pci_exp_txn,
pci_exp_rxp => pci_exp_rxp,
pci_exp_rxn => pci_exp_rxn,
user_clk_out => aclk,
user_reset_out => open, -- TODO
user_lnk_up => user_lnk_up_s,
user_app_rdy => user_app_rdy_s,
tx_err_drop => tx_err_drop_s,
s_axis_tx_tready => s_axis_tx_tready_s,
s_axis_tx_tdata => s_axis_tx_tdata_s,
s_axis_tx_tkeep => s_axis_tx_tkeep_s,
s_axis_tx_tlast => s_axis_tx_tlast_s,
s_axis_tx_tvalid => s_axis_tx_tvalid_s,
s_axis_tx_tuser => s_axis_tx_tuser_s,
m_axis_rx_tdata => m_axis_rx_tdata_s,
m_axis_rx_tkeep => m_axis_rx_tkeep_s,
m_axis_rx_tlast => m_axis_rx_tlast_s,
m_axis_rx_tvalid => m_axis_rx_tvalid_s,
m_axis_rx_tready => m_axis_rx_tready_s,
m_axis_rx_tuser => m_axis_rx_tuser_s,
cfg_interrupt => cfg_interrupt_s,
cfg_interrupt_rdy => cfg_interrupt_rdy_s,
cfg_interrupt_assert => cfg_interrupt_assert_s,
cfg_interrupt_di => cfg_interrupt_di_s,
cfg_interrupt_do => cfg_interrupt_do_s,
cfg_interrupt_mmenable => cfg_interrupt_mmenable_s,
cfg_interrupt_msienable => cfg_interrupt_msienable_s,
cfg_interrupt_msixenable => cfg_interrupt_msixenable_s,
cfg_interrupt_msixfm => cfg_interrupt_msixfm_s,
cfg_interrupt_stat => cfg_interrupt_stat_s,
cfg_pciecap_interrupt_msgnum => cfg_pciecap_interrupt_msgnum_s,
cfg_dstatus => cfg_dstatus_s,
cfg_bus_number => cfg_bus_number_s,
cfg_device_number => cfg_device_number_s,
cfg_function_number => cfg_function_number_s,
sys_clk => sys_clk,
sys_rst_n => sys_rst_n_i
);
app_0:app
generic map(
AXI_BUS_WIDTH => 64,
DMA_MEMORY_SELECTED => "BRAM"
)
port map(
clk_i => aclk,
sys_clk_n_i => clk200_n,
sys_clk_p_i => clk200_p,
rst_i => rst_s,
user_lnk_up_i => user_lnk_up_s,
user_app_rdy_i => user_app_rdy_s,
-- AXI-Stream bus
m_axis_tx_tready_i => s_axis_tx_tready_s,
m_axis_tx_tdata_o => s_axis_tx_tdata_s,
m_axis_tx_tkeep_o => s_axis_tx_tkeep_s,
m_axis_tx_tlast_o => s_axis_tx_tlast_s,
m_axis_tx_tvalid_o => s_axis_tx_tvalid_s,
m_axis_tx_tuser_o => s_axis_tx_tuser_s,
s_axis_rx_tdata_i => m_axis_rx_tdata_s,
s_axis_rx_tkeep_i => m_axis_rx_tkeep_s,
s_axis_rx_tlast_i => m_axis_rx_tlast_s,
s_axis_rx_tvalid_i => m_axis_rx_tvalid_s,
s_axis_rx_tready_o => m_axis_rx_tready_s,
s_axis_rx_tuser_i => m_axis_rx_tuser_s,
-- PCIe interrupt config
cfg_interrupt_o => cfg_interrupt_s,
cfg_interrupt_rdy_i => cfg_interrupt_rdy_s,
cfg_interrupt_assert_o => cfg_interrupt_assert_s,
cfg_interrupt_di_o => cfg_interrupt_di_s,
cfg_interrupt_do_i => cfg_interrupt_do_s,
cfg_interrupt_mmenable_i => cfg_interrupt_mmenable_s,
cfg_interrupt_msienable_i => cfg_interrupt_msienable_s,
cfg_interrupt_msixenable_i => cfg_interrupt_msixenable_s,
cfg_interrupt_msixfm_i => cfg_interrupt_msixfm_s,
cfg_interrupt_stat_o => cfg_interrupt_stat_s,
cfg_pciecap_interrupt_msgnum_o => cfg_pciecap_interrupt_msgnum_s,
-- PCIe ID
cfg_bus_number_i => cfg_bus_number_s,
cfg_device_number_i => cfg_device_number_s,
cfg_function_number_i => cfg_function_number_s,
-- PCIe debug
tx_err_drop_i => tx_err_drop_s,
cfg_dstatus_i => cfg_dstatus_s,
--DDR3
ddr3_dq_io => ddr3_dq,
ddr3_dqs_p_io => ddr3_dqs_p,
ddr3_dqs_n_io => ddr3_dqs_n,
--init_calib_complete_o => init_calib_complete,
ddr3_addr_o => ddr3_addr,
ddr3_ba_o => ddr3_ba,
ddr3_ras_n_o => ddr3_ras_n,
ddr3_cas_n_o => ddr3_cas_n,
ddr3_we_n_o => ddr3_we_n,
ddr3_reset_n_o => ddr3_reset_n,
ddr3_ck_p_o => ddr3_ck_p,
ddr3_ck_n_o => ddr3_ck_n,
ddr3_cke_o => ddr3_cke,
ddr3_cs_n_o => ddr3_cs_n,
ddr3_dm_o => ddr3_dm,
ddr3_odt_o => ddr3_odt,
--I/O
usr_sw_i => usr_sw_i,
usr_led_o => usr_led_s,
front_led_o => open--front_led_o
);
usr_led_o <= usr_led_s(2 downto 0);
--m_axis_rx_tready_s <= '1';
end Behavioral;
|
-- NetUP Universal Dual DVB-CI FPGA firmware
-- http://www.netup.tv
--
-- Copyright (c) 2014 NetUP Inc, AVB Labs
-- License: GPLv3
-- altera vhdl_input_version vhdl_2008
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.avblabs_common_pkg.all;
entity dvb_dma is
port (
rst : in std_logic;
clk : in std_logic;
-- control port
address : in std_logic_vector(3 downto 0);
byteenable : in std_logic_vector(3 downto 0);
writedata : in std_logic_vector(31 downto 0);
write : in std_logic;
readdata : out std_logic_vector(31 downto 0);
interrupt : out std_logic;
-- DVB port
dvb_sop : in std_logic;
dvb_data : in std_logic_vector(7 downto 0);
dvb_dval : in std_logic;
-- memory port
mem_size : out std_logic_vector(6 downto 0);
mem_addr : out std_logic_vector(63 downto 3);
mem_byteen : out std_logic_vector(7 downto 0);
mem_wrdata : out std_logic_vector(63 downto 0);
mem_write : out std_logic;
mem_waitreq : in std_logic
);
end;
architecture rtl of dvb_dma is
constant REG_DMA_CTRLSTAT : natural := 0;
constant REG_DMA_CTRLSTAT_SET : natural := 0;
constant REG_DMA_CTRLSTAT_CLR : natural := 1;
constant REG_DMA_START_ADDR_L : natural := 2; -- first address of the ring buffer
constant REG_DMA_START_ADDR_H : natural := 3;
constant REG_DMA_SIZE : natural := 4; -- packet size, number of packets per interrupt block and number of blocks per buffer
constant REG_DMA_TIMEOUT : natural := 5; -- force interrupt when timer expires
constant REG_DMA_CURR_ADDR_L : natural := 6;
constant REG_DMA_CURR_ADDR_H : natural := 7;
constant REG_STAT_PKT_RECEIVED : natural := 8;
constant REG_STAT_PKT_ACCEPTED : natural := 9;
constant REG_STAT_PKT_OVERRUNS : natural := 10;
constant REG_STAT_PKT_UNDERRUNS : natural := 11;
constant REG_STAT_FIFO_OVERRUNS : natural := 12;
constant BIT_DMA_RUN : natural := 0;
constant BIT_DMA_IRQ : natural := 9;
signal dma_ctrlstat_reg : std_logic_vector(31 downto 0);
signal dma_start_addr : std_logic_vector(63 downto 0);
signal dma_timeout : std_logic_vector(31 downto 0);
alias dma_start_addr_l : std_logic_vector(31 downto 0) is dma_start_addr(31 downto 0);
alias dma_start_addr_h : std_logic_vector(31 downto 0) is dma_start_addr(63 downto 32);
signal dma_packet_size : std_logic_vector(7 downto 0);
signal dma_block_size : std_logic_vector(23 downto 8);
signal dma_length : std_logic_vector(31 downto 24);
-- control stat register fields
signal dma_run : std_logic;
signal dma_irq : std_logic;
signal dma_curr_addr : unsigned(63 downto 0);
alias dma_curr_addr_l : unsigned(31 downto 0) is dma_curr_addr(31 downto 0);
alias dma_curr_addr_h : unsigned(31 downto 0) is dma_curr_addr(63 downto 32);
signal dma_irq_reset : std_logic;
signal pkt_size : unsigned(dma_packet_size'length downto 0);
signal block_size : unsigned(dma_block_size'length downto 0);
signal blocks_num : unsigned(dma_length'length downto 0);
signal dvb_latch_data : std_logic_vector(dvb_data'range);
signal dvb_latch_dval : std_logic;
signal dvb_overrun_n : std_logic;
signal fifo_overflow : std_logic;
signal dvb_cnt : unsigned(pkt_size'range);
signal write_page : unsigned(2 downto 0);
signal write_addr_l : unsigned(dma_packet_size'range);
alias write_addr_h is write_page(write_page'left - 1 downto write_page'right);
signal stat_pkts_received : unsigned(31 downto 0);
signal stat_pkts_accepted : unsigned(31 downto 0);
signal stat_pkt_overruns : unsigned(31 downto 0);
signal stat_pkt_underruns : unsigned(31 downto 0);
signal stat_fifo_overruns : unsigned(31 downto 0);
signal dma_cnt : unsigned(pkt_size'range);
signal read_page : unsigned(2 downto 0);
alias read_addr_l is dma_cnt(dma_cnt'left - 1 downto dma_cnt'right + 3);
alias read_addr_h is read_page(read_page'left - 1 downto read_page'right);
signal fifo_full : std_logic;
signal fifo_empty : std_logic;
signal fifo_rdclken : std_logic;
signal fifo_rdaddr : std_logic_vector(6 downto 0);
signal fifo_rddata : std_logic_vector(63 downto 0);
signal fifo_wraddr : std_logic_vector(9 downto 0);
signal fifo_wrdata : std_logic_vector(7 downto 0);
signal fifo_wren : std_logic;
signal fifo_latch_valid : std_logic;
signal fifo_rddata_valid : std_logic;
signal dma_reg : std_logic_vector(63 downto 0);
signal dma_reg_be : std_logic_vector(7 downto 0);
signal dma_reg_pad : std_logic_vector(63 downto 8);
signal dma_reg_pad_be : std_logic_vector(7 downto 1);
signal dma_reg_pad_wren : std_logic;
signal mem_write_i : std_logic;
signal burst_addr : unsigned(63 downto 0);
signal burst : std_logic;
signal burst_end : std_logic;
signal dma_pkt_cnt : unsigned(dma_block_size'length downto 0);
signal dma_blk_cnt : unsigned(dma_length'length downto 0);
signal dma_irq_pend : std_logic;
signal dma_reload_n : std_logic;
signal dma_timer : signed(dma_timeout'length downto 0);
signal dma_timer_d : std_logic;
begin
process (rst, clk)
begin
if rising_edge(clk) then
if write then
write_sr_flag(dma_run, REG_DMA_CTRLSTAT_SET, BIT_DMA_RUN, address, writedata, byteenable);
if not dma_run then
write_reg(dma_start_addr_l, REG_DMA_START_ADDR_L, address, writedata, byteenable);
write_reg(dma_start_addr_h, REG_DMA_START_ADDR_H, address, writedata, byteenable);
write_reg(dma_packet_size, REG_DMA_SIZE, address, writedata, byteenable);
write_reg(dma_block_size, REG_DMA_SIZE, address, writedata, byteenable);
write_reg(dma_length, REG_DMA_SIZE, address, writedata, byteenable);
write_reg(dma_timeout, REG_DMA_TIMEOUT, address, writedata, byteenable);
end if;
end if;
if unsigned(address) = REG_DMA_CTRLSTAT_CLR then
dma_irq_reset <= write and byteenable(BIT_DMA_IRQ / 8) and writedata(BIT_DMA_IRQ);
else
dma_irq_reset <= '0';
end if;
end if;
if rst then
dma_run <= '0';
dma_irq_reset <= '0';
--
dma_start_addr_l <= (others => '0');
dma_start_addr_h <= (others => '0');
dma_packet_size <= (others => '0');
dma_block_size <= (others => '0');
dma_length <= (others => '0');
dma_timeout <= (others => '0');
end if;
end process;
dma_ctrlstat_reg <= (
BIT_DMA_RUN => dma_run,
BIT_DMA_IRQ => dma_irq,
--
others => '0'
);
with to_integer(unsigned(address)) select
readdata <= dma_ctrlstat_reg when REG_DMA_CTRLSTAT_SET | REG_DMA_CTRLSTAT_CLR,
dma_start_addr_l when REG_DMA_START_ADDR_L,
dma_start_addr_h when REG_DMA_START_ADDR_H,
dma_length & dma_block_size & dma_packet_size when REG_DMA_SIZE,
dma_timeout when REG_DMA_TIMEOUT,
std_logic_vector(dma_curr_addr_l) when REG_DMA_CURR_ADDR_L,
std_logic_vector(dma_curr_addr_h) when REG_DMA_CURR_ADDR_H,
std_logic_vector(stat_pkts_received) when REG_STAT_PKT_RECEIVED,
std_logic_vector(stat_pkts_accepted) when REG_STAT_PKT_ACCEPTED,
std_logic_vector(stat_pkt_overruns) when REG_STAT_PKT_OVERRUNS,
std_logic_vector(stat_pkt_underruns) when REG_STAT_PKT_UNDERRUNS,
std_logic_vector(stat_fifo_overruns) when REG_STAT_FIFO_OVERRUNS,
(others => 'X') when others;
FIFO_0 : entity work.dvb_dma_fifo_ram
port map (
wrclock => clk,
wraddress => fifo_wraddr,
data => fifo_wrdata,
wren => fifo_wren,
--
rdclock => clk,
rdclocken => fifo_rdclken,
rdaddress => fifo_rdaddr,
q => fifo_rddata
);
fifo_wraddr <= std_logic_vector(write_addr_h & write_addr_l);
fifo_wrdata <= dvb_latch_data;
fifo_wren <= dvb_latch_dval;
fifo_rdaddr <= std_logic_vector(read_addr_h & read_addr_l);
fifo_rdclken <= mem_write_i nand mem_waitreq;
fifo_full <= write_page(write_page'left) xor read_page(read_page'left) when write_addr_h = read_addr_h else '0';
fifo_empty <= '1' when write_page = read_page else '0';
pkt_size(pkt_size'left) <= '1' when unsigned(dma_packet_size) = 0 else '0';
pkt_size(pkt_size'left - 1 downto 0) <= unsigned(dma_packet_size);
block_size(block_size'left) <= '1' when unsigned(dma_block_size) = 0 else '0';
block_size(block_size'left - 1 downto 0) <= unsigned(dma_block_size);
blocks_num(blocks_num'left) <= '1' when unsigned(dma_length) = 0 else '0';
blocks_num(blocks_num'left - 1 downto 0) <= unsigned(dma_length);
process (rst, dma_run, clk)
variable sop : std_logic;
variable burst_size : unsigned(8 downto 0);
begin
if rising_edge(clk) then
-- FIFO primary side
sop := dvb_sop and dvb_dval;
--
dvb_latch_data <= dvb_data;
dvb_latch_dval <= dvb_dval and ((dvb_sop and not fifo_full) or (dvb_cnt(dvb_cnt'left) and not fifo_overflow));
if dvb_dval then
if dvb_sop then
fifo_overflow <= fifo_full;
end if;
if dvb_sop then
dvb_cnt <= unsigned('1' & (-signed(dma_packet_size))) + 1;
elsif dvb_cnt(dvb_cnt'left) then
dvb_cnt <= dvb_cnt + 1;
end if;
if dvb_sop then
dvb_overrun_n <= '1';
else
dvb_overrun_n <= dvb_cnt(dvb_cnt'left);
end if;
end if;
if sop then
write_addr_l <= (others => '0');
elsif dvb_latch_dval then
write_addr_l <= write_addr_l + 1;
end if;
if not dvb_cnt(dvb_cnt'left) and dvb_latch_dval then
write_page <= write_page + 1;
end if;
-- statistic counters
if sop then
stat_pkts_received <= stat_pkts_received + 1;
end if;
if not dvb_cnt(dvb_cnt'left) and dvb_latch_dval then
stat_pkts_accepted <= stat_pkts_accepted + 1;
end if;
if not dvb_cnt(dvb_cnt'left) and dvb_dval and not dvb_sop and dvb_overrun_n then
stat_pkt_overruns <= stat_pkt_overruns + 1;
end if;
if sop and dvb_cnt(dvb_cnt'left) then
stat_pkt_underruns <= stat_pkt_underruns + 1;
end if;
if sop and fifo_full then
stat_fifo_overruns <= stat_fifo_overruns + 1;
end if;
-- FIFO secondary side
if fifo_rdclken then
if burst_end then
burst <= '0';
elsif not fifo_empty then
burst <= '1';
end if;
if not burst then
dma_cnt <= (others => '0');
else
dma_cnt <= dma_cnt + 8;
end if;
if dma_cnt < pkt_size then
fifo_latch_valid <= burst;
else
fifo_latch_valid <= '0';
end if;
fifo_rddata_valid <= fifo_latch_valid;
if not fifo_latch_valid and fifo_rddata_valid then
read_page <= read_page + 1;
end if;
-- bus alignment
dma_reg <= fifo_rddata;
case std_logic_vector(fifo_latch_valid & pkt_size(2 downto 0)) is
when "0111" =>
dma_reg_be <= (7 => '0', others => fifo_rddata_valid);
when "0110" =>
dma_reg_be <= (7 downto 6 => '0', others => fifo_rddata_valid);
when "0101" =>
dma_reg_be <= (7 downto 5 => '0', others => fifo_rddata_valid);
when "0100" =>
dma_reg_be <= (7 downto 4 => '0', others => fifo_rddata_valid);
when "0011" =>
dma_reg_be <= (7 downto 3 => '0', others => fifo_rddata_valid);
when "0010" =>
dma_reg_be <= (7 downto 2 => '0', others => fifo_rddata_valid);
when "0001" =>
dma_reg_be <= (7 downto 1 => '0', others => fifo_rddata_valid);
when others =>
dma_reg_be <= (others => fifo_rddata_valid);
end case;
dma_reg_pad <= dma_reg(63 downto 8);
dma_reg_pad_be <= dma_reg_be(7 downto 1);
case burst_addr(2 downto 0) is
when "111" =>
mem_wrdata <= dma_reg(7 downto 0) & dma_reg_pad;
mem_byteen <= dma_reg_be(0) & dma_reg_pad_be;
dma_reg_pad_wren <= dma_reg_be(1);
when "110" =>
mem_wrdata <= dma_reg(15 downto 0) & dma_reg_pad(63 downto 16);
mem_byteen <= dma_reg_be(1 downto 0) & dma_reg_pad_be(7 downto 2);
dma_reg_pad_wren <= dma_reg_be(2);
when "101" =>
mem_wrdata <= dma_reg(23 downto 0) & dma_reg_pad(63 downto 24);
mem_byteen <= dma_reg_be(2 downto 0) & dma_reg_pad_be(7 downto 3);
dma_reg_pad_wren <= dma_reg_be(3);
when "100" =>
mem_wrdata <= dma_reg(31 downto 0) & dma_reg_pad(63 downto 32);
mem_byteen <= dma_reg_be(3 downto 0) & dma_reg_pad_be(7 downto 4);
dma_reg_pad_wren <= dma_reg_be(4);
when "011" =>
mem_wrdata <= dma_reg(39 downto 0) & dma_reg_pad(63 downto 40);
mem_byteen <= dma_reg_be(4 downto 0) & dma_reg_pad_be(7 downto 5);
dma_reg_pad_wren <= dma_reg_be(5);
when "010" =>
mem_wrdata <= dma_reg(47 downto 0) & dma_reg_pad(63 downto 48);
mem_byteen <= dma_reg_be(5 downto 0) & dma_reg_pad_be(7 downto 6);
dma_reg_pad_wren <= dma_reg_be(6);
when "001" =>
mem_wrdata <= dma_reg(55 downto 0) & dma_reg_pad(63 downto 56);
mem_byteen <= dma_reg_be(6 downto 0) & dma_reg_pad_be(7);
dma_reg_pad_wren <= dma_reg_be(7);
when others =>
mem_wrdata <= dma_reg;
mem_byteen <= dma_reg_be;
dma_reg_pad_wren <= '0';
end case;
mem_write_i <= dma_reg_be(0) or dma_reg_pad_wren;
end if;
if not mem_write_i and dma_reg_be(0) then
burst_size := pkt_size + burst_addr(2 downto 0) + 7;
mem_size <= '0' & std_logic_vector(burst_size(8 downto 3));
end if;
burst_end <= (mem_write_i and not mem_waitreq) and (dma_reg_be(0) nor dma_reg_pad_wren);
-- process memory pointers
if dma_pkt_cnt = block_size then
dma_irq_pend <= not dma_irq_pend;
else
dma_irq_pend <= '0';
end if;
if dma_blk_cnt = blocks_num then
dma_reload_n <= not dma_reload_n;
else
dma_reload_n <= '1';
end if;
if dma_irq_pend then
dma_pkt_cnt <= (others => '0');
elsif burst_end then
dma_pkt_cnt <= dma_pkt_cnt + 1;
end if;
if not dma_reload_n then
dma_blk_cnt <= (others => '0');
elsif dma_irq_pend then
dma_blk_cnt <= dma_blk_cnt + 1;
end if;
if not dma_reload_n then
burst_addr <= unsigned(dma_start_addr);
elsif burst_end then
burst_addr <= burst_addr + pkt_size;
end if;
-- interrupts and status
if not dma_irq then
dma_curr_addr <= burst_addr;
end if;
if dma_irq_reset then
dma_irq <= '0';
elsif dma_irq_pend or (not dma_timer(dma_timer'left) and dma_timer_d) then
dma_irq <= '1';
end if;
-- DMA timeout timer
if burst_end or not dma_timer(dma_timer'left) then
dma_timer <= -signed('0' & dma_timeout);
else
dma_timer <= dma_timer + 1;
end if;
dma_timer_d <= dma_timer(dma_timer'left);
end if;
if not dma_run then
dvb_latch_data <= (others => '0');
dvb_latch_dval <= '0';
dvb_cnt <= (others => '0');
write_addr_l <= (others => '0');
write_page <= (others => '0');
dvb_overrun_n <= '0';
fifo_overflow <= '0';
-- statistic counters
stat_pkts_received <= (others => '0');
stat_pkts_accepted <= (others => '0');
stat_pkt_overruns <= (others => '0');
stat_pkt_underruns <= (others => '0');
stat_fifo_overruns <= (others => '0');
--
read_page <= (others => '0');
--
dma_pkt_cnt <= (others => '0');
dma_blk_cnt <= (others => '0');
dma_irq_pend <= '0';
dma_reload_n <= '0';
--
dma_curr_addr <= (others => '0');
dma_irq <= '0';
--
dma_timer <= (others => '0');
dma_timer_d <= '0';
end if;
if rst then
dma_cnt <= (others => '0');
fifo_latch_valid <= '0';
fifo_rddata_valid <= '0';
dma_reg <= (others => '0');
dma_reg_be <= (others => '0');
dma_reg_pad <= (others => '0');
dma_reg_pad_be <= (others => '0');
dma_reg_pad_wren <= '0';
--
burst <= '0';
burst_end <= '0';
burst_addr <= (others => '0');
--
mem_byteen <= (others => '0');
mem_wrdata <= (others => '0');
mem_write_i <= '0';
end if;
end process;
mem_addr <= std_logic_vector(burst_addr(63 downto 3));
mem_write <= mem_write_i;
interrupt <= dma_irq;
end;
|
------------------------------------------------------------------------------
-- File name: top_cpu.vhd
-- Function : Top file for the Tomasulo CPU project with file i/o
-- Modified by : Prasanjeet Das
-- Date : 7/20/09, 7/24/09, 7/25/09
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library UNISIM;
use UNISIM.VComponents.all; -- Xilinx primitive BUFGP
entity top_cpu is
port (
CLK_PORT : in std_logic;
sw0, sw1, sw2, sw3, sw4, sw5, sw6, sw7 : in std_logic; --changed by PRASANJEET
btn3 : in std_logic;
btn2 : in std_logic;
btn1, btn0 : in std_logic;
St_ce_bar, St_rp_bar, Mt_ce_bar, Mt_St_we_bar, Mt_St_oe_bar : out std_logic;
LD7, LD6, LD5, LD4, LD3, LD2, LD1, LD0 : out std_logic;
ca, cb, cc, cd, ce, cf, cg, dp : out std_logic;
AN0, AN1, AN2, AN3 : out std_logic;
------------------------------------------------------------------------
-- Epp-like bus signals (ports to connect to the Cypress USB intrerface)
EppAstb: in std_logic; -- Address strobe --changed by PRASANJEET
EppDstb: in std_logic; -- Data strobe --changed by PRASANJEET
EppWr : in std_logic; -- Port write signal --changed by PRASANJEET
EppDB : inout std_logic_vector(7 downto 0); -- port data bus --changed by PRASANJEET
EppWait: out std_logic; -- Port wait signal --changed by PRASANJEET
------------------------------------------------------------------------
-- user extended signals
Led : in std_logic_vector(7 downto 0); -- 0x01 8 virtual LEDs on the PC I/O Ex GUI --changed by PRASANJEET
LBar : in std_logic_vector(23 downto 0); -- 0x02..4 24 lights on the PC I/O Ex GUI light bar --changed by PRASANJEET
Sw : out std_logic_vector(15 downto 0); -- 0x05..6 16 switches, bottom row on the PC I/O Ex GUI --changed by PRASANJEET
dwOut: out std_logic_vector(31 downto 0); -- 0x09..b 32 Bits user output --changed by PRASANJEET
dwIn : in std_logic_vector(31 downto 0) -- 0x0d..10 32 Bits user input --changed by PRASANJEET
);
end top_cpu ;
------------------------------------------------------------------------------
architecture top_cpu_arc of top_cpu is
SIGNAL clock_half : std_logic ;
signal Resetb : std_logic;
signal BCLK : std_logic;
signal BCLK_TEMP : std_logic;
-- signals to go into the logic under test
signal clk_top, resetb_top : std_logic;
-- component declarations
component tomasulo_top
port (
Reset : in std_logic;
--digi_address : in std_logic_vector(5 downto 0); -- input ID for the register we want to see
--digi_data : out std_logic_vector(31 downto 0); -- output data given by the register
Clk : in std_logic;
--modified by Prasanjeet
-- signals corresponding to Instruction memory
fio_icache_addr_IM : in std_logic_vector(5 downto 0); --changed by PRASANJEET
fio_icache_data_in_IM : in std_logic_vector(127 downto 0); --changed by PRASANJEET
fio_icache_wea_IM : in std_logic; --changed by PRASANJEET
fio_icache_data_out_IM : out std_logic_vector(127 downto 0); --changed by PRASANJEET
fio_icache_ena_IM : in std_logic; -- changed by PRASANJEET
fio_dmem_addr_DM : in std_logic_vector(5 downto 0); --changed by PRASANJEET
fio_dmem_data_out_DM : out std_logic_vector(31 downto 0); --changed by PRASANJEET
fio_dmem_data_in_DM : in std_logic_vector(31 downto 0); --changed by PRASANJEET
fio_dmem_wea_DM : in std_logic; --changed by PRASANJEET
Test_mode : in std_logic; -- for using the test mode
walking_led_start : out std_logic
-- end modified by Prasanjeet
);
end component ;
-- debouncer
component ee560_debounce is
generic (N_dc: positive := 23);
port (CLK, RESETB_DEBOUNCE :in std_logic; -- CLK = 50 MHz
PB :in std_logic; -- push button
DPB, SCEN, MCEN, CCEN :out std_logic );
end component ee560_debounce ;
--bufgp for clock
component BUFGP
port (I: in std_logic; O: out std_logic);
end component;
component BUFG
port (I: in std_logic; O: out std_logic);
end component;
--signals for file i/o
signal Addr_Mem_IM, Addr_Mem_DM, Addr_Mem : std_logic_vector(5 downto 0); -- address going to user memory -- here it is 4 bits
signal WE_Mem_IM, WE_Mem_DM: std_logic; -- Write Enable, Read Enable control signals to user memory
signal Data_to_Mem_IM, Data_to_Mem: std_logic_vector(127 downto 0); -- data to be written to memory
signal Data_to_Mem_DM : std_logic_vector(31 downto 0);
signal Data_from_Mem_IM, Data_from_Mem: std_logic_vector(127 downto 0); -- data to be read from memory
signal Data_from_Mem_DM : std_logic_vector(31 downto 0);
signal test_in: std_logic;
------------
signal regEppAdr: std_logic_vector (7 downto 0); -- Epp address register
signal regVer: std_logic_vector(7 downto 0); -- 0x00 I/O returns the complement of written value -- for I/O Ex Tab
signal busEppInternal: std_logic_vector(7 downto 0); -- internal bus (before tristate)
-- added by Sabya --
signal Mem_Select_Reg: std_logic_vector (7 downto 0); -- 0x2A; we get Sel_IM_Bar_Slash_DM from this
signal Control_Reg: std_logic_vector (7 downto 0); -- 0x2B; We get test mode from this. Not needed in the current design.
-- Type declaration
type state_type is (IDLE, -- idle state(1)
A_RD_FINISH, -- finish reading from address register (2)
A_WR_START, -- start writing to address register(3)
A_WR_FINISH, -- finish writing from address register (4)
OTHER_RD_FINISH, -- finish reading from other than pointer and data memory (5)
OTHER_WR_FINISH, -- finish writing to other than pointer and data memory (6)
OTHER_WR_START, -- start writing to other than pointer and data memory (7)
PNTR_RD_START, -- start reading the memory pointer (8)
PNTR_RD_FINISH, -- finish reading the memory pointer(9)
PNTR_WR_START, -- start writing the memory pointer(10)
PNTR_WR_FINISH, -- finish writing the memory pointer(11)
M_RD_START_1_8, -- start reading data memory (12)
M_RD_FINISH_1_8, -- finish reading data memory(13)
M_RD_START_9_10, -- deals with carriage return and line feed (14)
M_RD_FINISH_9_10, -- deals with carriage return and line feed (15)
M_WR_START_1_8, -- start writing data memory (16)
M_WR_FINISH_1_8, -- finish writing data memory(17)
M_WR_START_9_10, -- deals with carriage return and line feed (18)
M_WR_FINISH_9_10, -- deals with carriage return and line feed (19)
INC_NIB_COUNT, -- increment the nibble counter (20)
INC_MEM_PNTR -- increment the mem_pointer (21)
);
-- Intermediate signal declarations
signal current_state : state_type;
--intermediate signals of the state machine
signal EN_A_RD, EN_M_RD, EN_A_WR, EN_M_WR, EN_PNTR_RD, EN_PNTR_WR, EN_OTHER_RD: std_logic; -- all the read and write enable signals
signal EN_REG_WR, EN_REG_RD: std_logic; -- read and write signals for register file
signal ASTB_S, DSTB_S, ASTB_SS, DSTB_SS : std_logic; -- signals used for double synchronizing address and data strobe
signal D_int1, D_int2, D_int3: std_logic_vector(7 downto 0); -- signals used for registering the Eppdata
signal A_int1, A_int2, A_int3: std_logic_vector(7 downto 0); -- signals used for registering the EppAddress
signal wait_Epp: std_logic; -- internal signal used for EppWait;
signal pointer: std_logic_vector(7 downto 0); -- pointer to memory
signal i: std_logic_vector(1 downto 0); --internal counter
--signal clk, resetb: std_logic; -- clk and Resetb signals
signal nib_count: std_logic_vector(5 downto 0); -- to count the nibbles
signal nib_on_file: std_logic_vector(7 downto 0); -- show the nibbles on the file
signal Sel_IM_Bar_Slash_DM: std_logic; -- A Flip-Flop Resetb or set by SW0 to select between IM/DM; -- FF output = '0' => IM, '1' => DM
-- *****************************************************************************************
-- constant declarations
-- 40, 41 for instruction memory
-- *****************************************************************************************
constant addr_mem_pointer: std_logic_vector(7 downto 0) := X"28"; --40 dec - 28 hex
constant addr_memory: std_logic_vector(7 downto 0) := X"29"; --41 dec - 29 hex
-- added by sabya
constant addr_Mem_Select_Reg: std_logic_vector(7 downto 0) := X"2A";
constant addr_Control_Reg: std_logic_vector(7 downto 0) := X"2B";
--******************************************************************************************
-- intermediate signals for data conversion
signal BINARY, binary_in : std_logic_vector(3 downto 0); -- - BINARY for FPGA ==> File and binary_in for File ==> FPGA
signal ASCII, ascii_out: std_logic_vector(7 downto 0); -- ASCII for ,File ==> FPGA and ascii_out for FPGA ==> File
signal extended_zero : std_logic_vector(95 downto 0);
-- signals used for the array of registers to store the nibbles
--+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
subtype reg_mem is std_logic_vector(3 downto 0); --register array declaration
type reg_type is array (0 to 31) of reg_mem;
signal reg_array : reg_type;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
signal divclk: std_logic_vector(1 downto 0); -- the divided clock
--***************************************************************
signal reset_fileio, reset_tomasulo: std_logic;
--signal r_sw2, r_sw3: std_logic; -- Resetb signals
--++++++++++++++++++++++++++++++++++++++++++++
signal walking_led: std_logic_vector(7 downto 0); -- walking led counter.
signal walking_led_en: std_logic;
signal walking_led_clk: std_logic_vector(22 downto 0);
signal w_led: std_logic_vector(2 downto 0); -- encoded walking led pattern
--++++++++++++++++++++++++++++++++++++++++++++++++
-- signals from debouncers
signal db_btn0,db_btn1: std_logic;
--++++++++++++++++++++++++++++++++++++++++++++++++++
begin
cpu_2_inst : tomasulo_top
port map (
Reset => reset_tomasulo,
Clk => clk_top,
fio_icache_addr_IM => Addr_Mem_IM, --changed by PRASANJEET
fio_icache_data_in_IM => Data_to_Mem_IM, --changed by PRASANJEET
fio_icache_wea_IM => WE_Mem_IM, --changed by PRASANJEET
fio_icache_data_out_IM => Data_from_Mem_IM,--changed by PRASANJEET
fio_icache_ena_IM => '1', -- changed by PRASANJEET
fio_dmem_addr_DM => Addr_Mem_DM,--changed by PRASANJEET
fio_dmem_data_out_DM => Data_from_Mem_DM, --changed by PRASANJEET
fio_dmem_data_in_DM => Data_to_Mem_DM, --changed by PRASANJEET
fio_dmem_wea_DM => WE_Mem_DM, --changed by PRASANJEET
Test_mode => test_in, -- changed by PRASANJEET
walking_led_start => walking_led_en --changed by PRASANJEET
);
BUF_GP_1: BUFGP port map (I => CLK_PORT, O => BCLK_TEMP);
------------
--concurrent assignments
-- send address and data to both the memories, it's the control signal WE which will determine which memory to write
Data_to_mem_IM <= Data_to_mem;
Data_to_mem_DM <= Data_to_mem(127 downto 96);
Addr_mem_IM <= Addr_mem;
Addr_mem_DM <= Addr_mem;
Data_from_mem <= Data_from_mem_IM when Sel_IM_Bar_Slash_DM = '0' else Data_from_mem_DM&extended_zero; --Data to be read from memory is sent to the file on the control of swith sw0
WE_Mem_IM <= EN_M_WR when Sel_IM_Bar_Slash_DM = '0' else '0'; -- the Sel_IM_Bar_Slash_DM is controlled by sw0
WE_Mem_DM <= EN_M_WR when Sel_IM_Bar_Slash_DM = '1' else '0';
extended_zero <= (others =>'0');
------------------------------------------------------------------------------
--Clock Divider derives slower clocks from the 50 MHz clock on s2 board
CLOCK_DIVIDER1: process (BCLK_TEMP, resetb_top)
begin
if (resetb_top = '0') then
divclk <= (others => '0');
elsif (BCLK_TEMP'event and BCLK_TEMP = '1') then
divclk <= divclk + '1';
end if;
end process CLOCK_DIVIDER1;
--da cheng july17 2011
clock_half <= divclk(1); -- this is 25MHz clock
BUF_G_3: BUFG port map (I => clock_half, O => BCLK);
---------------------------------------------------------------------
walking_led_pro: process(clk_top, resetb_top)
begin
if(resetb_top = '0')then
walking_led_clk <= (others =>'0');
elsif(clk_top'event and clk_top = '1')then
if(walking_led_en = '1')then
walking_led_clk <= walking_led_clk + '1';
end if;
end if;
end process walking_led_pro;
-- ---------------------------------------------------------
--w_led <= walking_led_clk(20 downto 18);
--Da Cheng modified at July 17 2011
w_led <= walking_led_clk(22 downto 20);
-- -- decoder to produce one hot signals
walking_led <= "00000001" when w_led = "000" else
"00000010" when w_led = "001" else
"00000100" when w_led = "010" else
"00001000" when w_led = "011" else
"00010000" when w_led = "100" else
"00100000" when w_led = "101" else
"01000000" when w_led = "110" else
"10000000" when w_led = "111" else
"11111111";
-- ----------------------------------------------------------
--
---------------------------
--concurrent assignments
Resetb <= btn3;--this is active high system Resetb
--added by PRASANJEET
-------------------------------------------------------
resetb_top <= not(btn3); --the Resetb to the debouncer (this is system reset)
-------------------------------------------------------
clk_top <= BCLK;
--process to store the nibbles into the register file
write_reg: process(clk_top)
begin
if(clk_top'event and clk_top = '1')then
if(EN_REG_WR = '1')then
reg_array(CONV_INTEGER(UNSIGNED(nib_count(4 downto 0)))) <= binary_in;
end if;
end if;
end process write_reg;
-------------------------------------------------------------------------------
-- disabling the seven segment display
ca <= '1' ;
cb <= '1' ;
cc <= '1' ;
cd <= '1' ;
ce <= '1' ;
cf <= '1' ;
cg <= '1' ;
dp <= sw3 and sw4 and sw5 and sw6 and sw7 and btn0 and btn2 ; -- just to remove the synthesis warnings let all the unused switches and buttons drive something
AN0 <= '1' ;
AN1 <= '1' ;
AN2 <= '1' ;
AN3 <= '1' ;
-- disabling the flash / memory
St_ce_bar <= '1';
Mt_ce_bar <= '1';
St_rp_bar <= '1';
Mt_St_we_bar <= '1';
Mt_St_oe_bar <= '1';
--%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
LD6 <= walking_led(6);
LD7 <= walking_led(7);-- just to check for wait signal
LD5 <= walking_led(5); LD4 <= walking_led(4);
LD3 <= walking_led(3); LD2 <= walking_led(2) ; LD1 <= walking_led(1); LD0 <= walking_led(0);
-- --****************************************************************************************************
--++++++++++++++++++++++++++++++++
Addr_mem <= pointer(5 downto 0); -- 6 bit address
--++++++++++++++++++++++++++++++++
-- Epp signals
-- Port signals
EppWait <= wait_Epp;
EppDB <= busEppInternal when (EppWr = '1') else "ZZZZZZZZ";
busEppInternal <=
regEppAdr when (EN_A_RD = '1') else
nib_on_file when (EN_M_RD = '1')else --this is the nibble being sent to the file
pointer when (EN_PNTR_RD = '1')else
--@Sabya:- add Mem_Select_Reg and Control_Reg here
Mem_Select_Reg when (EN_OTHER_RD = '1') and (regEppAdr = addr_Mem_Select_Reg) else
Control_Reg when (EN_OTHER_RD = '1') and (regEppAdr = addr_Control_Reg) else
regVer when (EN_OTHER_RD = '1') else --later on to be expanded and qualified with address (regEppAdr = x00)
Led when (regEppAdr = x"01") else
LBar(7 downto 0) when (regEppAdr = x"02") else
LBar(15 downto 8) when (regEppAdr = x"03") else
LBar(23 downto 16) when (regEppAdr = x"04") else
dwIn(7 downto 0) when (regEppAdr = x"0d") else
dwIn(15 downto 8) when (regEppAdr = x"0e") else
dwIn(23 downto 16) when (regEppAdr = x"0f") else
dwIn(31 downto 24) ;
--output function logic
EN_A_RD <= '1' when (current_state = A_RD_FINISH) else '0';
EN_OTHER_RD <= '1' when (current_state = OTHER_RD_FINISH) else '0';
EN_REG_RD <= '1'; --always read the register file
EN_REG_WR <= '1' when (current_state = M_WR_START_1_8 or current_state = M_WR_FINISH_1_8) else '0';
EN_M_RD <= '1' when (current_state = M_RD_START_1_8 or current_state = M_RD_FINISH_1_8 or current_state = M_RD_START_9_10 or current_state = M_RD_FINISH_9_10) else '0';
EN_PNTR_RD <= '1' when (current_state = PNTR_RD_START or current_state = PNTR_RD_FINISH) else '0';
EN_A_WR <= '1' when (current_state = A_WR_START or current_state = A_WR_FINISH) else '0';
EN_M_WR <= '1' when (current_state = M_WR_START_9_10 or current_state = M_WR_FINISH_9_10) else '0';
EN_PNTR_WR <= '1' when (current_state = PNTR_WR_START or current_state = PNTR_WR_FINISH) else '0';
wait_Epp <= '1' when (current_state = A_WR_FINISH or current_state = A_RD_FINISH or current_state = M_WR_FINISH_9_10 or current_state = M_RD_FINISH_9_10
or current_state = PNTR_WR_FINISH or current_state = PNTR_RD_FINISH or current_state = OTHER_WR_FINISH or current_state = OTHER_RD_FINISH
or current_state = M_WR_FINISH_1_8 or current_state = M_RD_FINISH_1_8 or current_state = INC_NIB_COUNT or current_state = INC_MEM_PNTR)
else '0';
nib_on_file <= X"0D" when ((nib_count = "001000" and Sel_IM_Bar_Slash_DM = '1') or (nib_count = "100000" and Sel_IM_Bar_Slash_DM = '0') )else -- carriage return --0D
X"0A" when ((nib_count = "001001" and Sel_IM_Bar_Slash_DM = '1') or (nib_count = "100001" and Sel_IM_Bar_Slash_DM = '0') )else --line feed --0A
ascii_out; -- the nibble being read from memory
--***********************************************************
ascii_out <= X"30" when (BINARY = "0000") else --hex 0
X"31" when (BINARY = "0001") else --hex 1
X"32" when (BINARY = "0010") else --hex 2
X"33" when (BINARY = "0011") else --hex 3
X"34" when (BINARY = "0100") else --hex 4
X"35" when (BINARY = "0101") else --hex 5
X"36" when (BINARY = "0110") else --hex 6
X"37" when (BINARY = "0111") else --hex 7
X"38" when (BINARY = "1000") else --hex 8
X"39" when (BINARY = "1001") else --hex 9
X"41" when (BINARY = "1010") else --hex A
X"42" when (BINARY = "1011") else --hex B
X"43" when (BINARY = "1100") else --hex C
X"44" when (BINARY = "1101") else --hex D
X"45" when (BINARY = "1110") else --hex E
X"46" when (BINARY = "1111") else --hex F
X"37";
binary_in <= "0000" when (ASCII = X"30") else
"0001" when (ASCII = X"31") else
"0010" when (ASCII = X"32") else
"0011" when (ASCII = X"33") else
"0100" when (ASCII = X"34") else
"0101" when (ASCII = X"35") else
"0110" when (ASCII = X"36") else
"0111" when (ASCII = X"37") else
"1000" when (ASCII = X"38") else
"1001" when (ASCII = X"39") else
"1010" when (ASCII = X"41") else
"1011" when (ASCII = X"42") else
"1100" when (ASCII = X"43") else
"1101" when (ASCII = X"44") else
"1110" when (ASCII = X"45") else
"1111" when (ASCII = X"46") else
"0110";
--************************************************************
BINARY <= Data_from_mem(3 downto 0) when (nib_count = "011111")else
Data_from_mem(7 downto 4) when (nib_count = "011110")else
Data_from_mem(11 downto 8) when (nib_count = "011101")else
Data_from_mem(15 downto 12) when (nib_count = "011100")else
Data_from_mem(19 downto 16) when (nib_count = "011011")else
Data_from_mem(23 downto 20) when (nib_count = "011010")else
Data_from_mem(27 downto 24) when (nib_count = "011001")else
Data_from_mem(31 downto 28) when (nib_count = "011000")else
Data_from_mem(35 downto 32) when (nib_count = "010111")else
Data_from_mem(39 downto 36) when (nib_count = "010110")else
Data_from_mem(43 downto 40) when (nib_count = "010101")else
Data_from_mem(47 downto 44) when (nib_count = "010100")else
Data_from_mem(51 downto 48) when (nib_count = "010011")else
Data_from_mem(55 downto 52) when (nib_count = "010010")else
Data_from_mem(59 downto 56) when (nib_count = "010001")else
Data_from_mem(63 downto 60) when (nib_count = "010000")else
Data_from_mem(67 downto 64) when (nib_count = "001111")else
Data_from_mem(71 downto 68) when (nib_count = "001110")else
Data_from_mem(75 downto 72) when (nib_count = "001101")else
Data_from_mem(79 downto 76) when (nib_count = "001100")else
Data_from_mem(83 downto 80) when (nib_count = "001011")else
Data_from_mem(87 downto 84) when (nib_count = "001010")else
Data_from_mem(91 downto 88) when (nib_count = "001001")else
Data_from_mem(95 downto 92) when (nib_count = "001000")else
Data_from_mem(99 downto 96) when (nib_count = "000111")else
Data_from_mem(103 downto 100) when (nib_count = "000110")else
Data_from_mem(107 downto 104) when (nib_count = "000101")else
Data_from_mem(111 downto 108) when (nib_count = "000100")else
Data_from_mem(115 downto 112) when (nib_count = "000011")else
Data_from_mem(119 downto 116) when (nib_count = "000010")else
Data_from_mem(123 downto 120) when (nib_count = "000001")else
Data_from_mem(127 downto 124) when (nib_count = "000000")else
"1010";
-- notice that we start with most significant nibble and end with the least significant nibble
--clocked process with asynchronous active low Resetb for double synchronization
double_sync: process (clk_top, reset_fileio) --double synchronizing to safeguard against metastability
begin
if (reset_fileio = '0') then
ASTB_S <= '1';
DSTB_S <= '1';
ASTB_SS <= '1';
DSTB_SS <= '1';
elsif (clk_top'event and clk_top = '1') then
ASTB_S <= EppAstb;
ASTB_SS <= ASTB_S;
DSTB_S <= EppDstb;
DSTB_SS <= DSTB_S;
end if;
end process double_sync;
-- clocked process with asynchronous active low Resetb for combined CU and DPU
CU_DPU: process (clk_top, reset_fileio)
begin
if (reset_fileio = '0') then
current_state <= IDLE;
i <= (others => 'X');
pointer <= (others => '0');
nib_count <= (others=> '0');
D_int1 <= (others => 'X');
D_int2 <= (others => 'X');
D_int3 <= (others => 'X');
A_int1 <= (others => 'X');
A_int2 <= (others => 'X');
A_int3 <= (others => 'X');
ASCII <= (others =>'X');
regver <=(others =>'X');
--added by sabya
Mem_Select_Reg <= (others =>'X');
Control_Reg <= (others =>'X');
regEppAdr <= (others =>'X');
elsif (clk_top'event and clk_top = '1') then
case (current_state) is
when IDLE => --(1)
-- CU state transitions
if(ASTB_SS = '0')then -- if adress strobe asserted and intent to write
if(EppWr = '0')then
current_state <= A_WR_START;
else
current_state <= A_RD_FINISH;
end if;
elsif(DSTB_SS = '0')then -- if data strobe asserted and intent to write
if(EppWr = '0')then
if(regEppAdr = addr_memory)then
if(((nib_count = "001001" and Sel_IM_Bar_Slash_DM = '1')or (nib_count = "100001" and Sel_IM_Bar_Slash_DM = '0')) or ((nib_count = "001000" and Sel_IM_Bar_Slash_DM = '1' )or(nib_count = "100000" and Sel_IM_Bar_Slash_DM = '0')))then -- for nibble count >= 8(DM) or >= 32(IM)
current_state <= M_WR_START_9_10;
else
current_state <= M_WR_START_1_8;
end if;
elsif(regeppadr = addr_mem_pointer)then
current_state <= PNTR_WR_START;
else
current_state <= OTHER_WR_START;
end if;
else -- if data strobe asserted and intent to read
if(regeppadr = addr_memory)then
if(((nib_count = "001001" and Sel_IM_Bar_Slash_DM = '1')or (nib_count = "100001" and Sel_IM_Bar_Slash_DM = '0')) or ((nib_count = "001000" and Sel_IM_Bar_Slash_DM = '1' )or(nib_count = "100000" and Sel_IM_Bar_Slash_DM = '0')))then -- for nibble count >= 8(DM) or >= 32(IM)
current_state <= M_RD_START_9_10;
else
current_state <= M_RD_START_1_8;
end if;
elsif(regeppadr = addr_mem_pointer)then
current_state <= PNTR_RD_START;
else
current_state <= OTHER_RD_FINISH;
end if;
end if;
elsif (ASTB_SS = '1' and DSTB_SS = '1') then
current_state <= IDLE;
end if;
-- DPU RTL
i <= (others => '0');
when A_RD_FINISH => --(2)
-- CU state transitions
if (ASTB_SS = '1') then
current_state <= IDLE;
end if;
-- DPU RTL
i <= (others => '0');
when A_WR_START => --(3)
-- CU state transitions
if ( i = "11") then
current_state <= A_WR_FINISH;
end if;
-- DPU RTL
i <= i + "01";
A_int1 <= EppDB;
A_int2 <= A_int1;
A_int3 <= A_int2;
regeppadr <= A_int3;
when A_WR_FINISH => --(4)
-- CU state transitions
if (ASTB_SS = '1') then
current_state <= IDLE;
end if;
-- DPU RTL
A_int1 <= EppDB;
A_int2 <= A_int1;
A_int3 <= A_int2;
regeppadr <= A_int3;
when OTHER_RD_FINISH => --(5)
-- CU state transitions
if ( DSTB_SS = '1') then
current_state <= IDLE;
end if;
-- DPU RTL
-- NO DPU RTL
when OTHER_WR_START => --(6)
-- CU state transitions
if ( i = "11") then
current_state <= OTHER_WR_FINISH;
end if;
-- DPU RTL
i <= i + "01";
D_int1 <= EppDB; --applicable only for regeppaddr = x00
D_int2 <= D_int1;
D_int3 <= D_int2;
--@Sabya:- qualify this with regEppadr
-- default - regver
-- 0x2A - Mem_select_reg
-- 0x2B - Control_register
case regEppAdr is
when addr_Mem_Select_Reg => Mem_Select_Reg <= D_int3;
when addr_Control_Reg => Control_Reg <= D_int3;
when others => regver <= not(D_int3);
end case;
when OTHER_WR_FINISH => --(7)
-- CU state transitions
if (DSTB_SS = '1') then
current_state <= IDLE;
end if;
-- DPU RTL
D_int1 <= EppDB; --applicable only for regeppaddr = x00
D_int2 <= D_int1;
D_int3 <= D_int2;
--@Sabya:- qualify this with regEppadr
-- default - regver
-- 0x2A - Mem_select_reg
-- 0x2B - Control_register
case regEppAdr is
when addr_Mem_Select_Reg => Mem_Select_Reg <= D_int3;
when addr_Control_Reg => Control_Reg <= D_int3;
when others => regver <= not(D_int3);
end case;
when PNTR_RD_START => --(8)
-- CU state transitions
if ( i = "11") then
current_state <= PNTR_RD_FINISH;
end if;
-- DPU RTL
i <= i + "01";
when PNTR_RD_FINISH => --(9)
-- CU state transitions
if ( DSTB_SS = '1') then
current_state <= IDLE;
end if;
-- DPU RTL
--NO DPU RTL
when PNTR_WR_START => --(10)
-- CU state transitions
if ( i = "11") then
current_state <= PNTR_WR_FINISH;
end if;
-- DPU RTL
i <= i + "01";
D_int1 <= EppDB;
D_int2 <= D_int1;
D_int3 <= D_int2;
Pointer <= D_int3;
when PNTR_WR_FINISH => --(11)
-- CU state transitions
if ( DSTB_SS = '1') then
current_state <= IDLE;
end if;
-- DPU RTL
D_int1 <= EppDB;
D_int2 <= D_int1;
D_int3 <= D_int2;
Pointer <= D_int3;
when M_RD_START_1_8 => --(12)
-- CU state transitions
if ( i = "11") then
current_state <= M_RD_FINISH_1_8;
end if;
-- DPU RTL
i <= i + "01";
when M_RD_FINISH_1_8 => --(13)
-- CU state transitions
if ( DSTB_SS = '1') then
current_state <= INC_NIB_COUNT;
end if;
-- DPU RTL
--NO DPU RTL
when M_RD_START_9_10 => --(14)
-- CU state transitions
if ( i = "11") then
current_state <= M_RD_FINISH_9_10;
end if;
-- DPU RTL
i <= i + "01";
when M_RD_FINISH_9_10 => --(15)
-- CU state transitions
if ( DSTB_SS = '1') then
current_state <= INC_NIB_COUNT;
end if;
-- DPU RTL
--NO DPU RTL
when M_WR_START_1_8 => --(16)
-- CU state transitions
if ( i = "11") then
current_state <= M_WR_FINISH_1_8;
end if;
-- DPU RTL
i <= i + "01";
D_int1 <= EppDB;
D_int2 <= D_int1;
D_int3 <= D_int2;
ASCII <= D_int3; -- the data read from the file
when M_WR_FINISH_1_8 => --(17)
-- CU state transitions
if(DSTB_SS = '1')then
current_state <= INC_NIB_COUNT;
end if;
-- DPU RTL
D_int1 <= EppDB;
D_int2 <= D_int1;
D_int3 <= D_int2;
ASCII <= D_int3; -- the data read from the file
when M_WR_START_9_10 => --(18)
-- CU state transitions
if ( i = "11") then
current_state <= M_WR_FINISH_9_10;
end if;
-- DPU RTL
i <= i + "01";
Data_to_mem <= reg_array(0)®_array(1)®_array(2)®_array(3)®_array(4)®_array(5)®_array(6)®_array(7)
& reg_array(8)®_array(9)®_array(10)®_array(11)®_array(12)®_array(13)®_array(14)®_array(15)
& reg_array(16)®_array(17)®_array(18)®_array(19)®_array(20)®_array(21)®_array(22)®_array(23)
& reg_array(24)®_array(25)®_array(26)®_array(27)®_array(28)®_array(29)®_array(30)®_array(31);
when M_WR_FINISH_9_10 => --(19)
-- CU state transitions
if(DSTB_SS = '1')then
current_state <= INC_NIB_COUNT;
end if;
-- DPU RTL
Data_to_mem <= reg_array(0)®_array(1)®_array(2)®_array(3)®_array(4)®_array(5)®_array(6)®_array(7)
& reg_array(8)®_array(9)®_array(10)®_array(11)®_array(12)®_array(13)®_array(14)®_array(15)
& reg_array(16)®_array(17)®_array(18)®_array(19)®_array(20)®_array(21)®_array(22)®_array(23)
& reg_array(24)®_array(25)®_array(26)®_array(27)®_array(28)®_array(29)®_array(30)®_array(31);
when INC_NIB_COUNT => --(20)
-- CU state transitions
if((nib_count < "001001" and Sel_IM_Bar_Slash_DM = '1') or (nib_count < "100001" and Sel_IM_Bar_Slash_DM = '0'))then
current_state <= IDLE;
else
current_state <= INC_MEM_PNTR;
end if;
-- DPU RTL
nib_count <= nib_count + "000001";
when INC_MEM_PNTR => --(21)
-- CU state transitions
current_state <= IDLE;
-- DPU RTL
pointer <= pointer + "00000001";
nib_count <= "000000";
when others =>
current_state <= IDLE;
end case;
end if;
end process CU_DPU;
--@Sabya: Changed this so that it comes from the PC
Sel_IM_Bar_Slash_DM <= Mem_Select_Reg(0);
test_in<= Control_Reg(0);
reset_tomasulo<=Control_Reg(1);
--process to store the data sent by sw0 into a register sel_IM_Slash_DM
-- Sel_IM_Bar_Slash_DM_process: process (clk_top)
-- begin
-- if (clk_top'event and clk_top = '1') then
-- Sel_IM_Bar_Slash_DM <= sw0;
-- test_in <= sw1; -- NOTE test mode is set by switch 1.
-- reset_tomasulo <= sw2; --reset_tomasulo
--r_sw2 <= sw2;
--r_sw3 <= sw3;
-- end if;
-- end process Sel_IM_Bar_Slash_DM_process;
btn1_debouncer: ee560_debounce --btn1 used as Resetb for fileio
generic map (N_dc => 25)
port map (clk => clk_top, RESETB_DEBOUNCE => reset_fileio, -- CLK = 50 MHz
PB => btn1,
DPB => db_btn1, SCEN => open, MCEN => open, CCEN => open );
reset_fileio <= not(db_btn1);
end top_cpu_arc ;
------------------------------------------------------------------------------
|
--
-- FeedbackMemory.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
--
-- This module represents a store for feedback data of all OPLL channels. The feedback
-- data is written by the OutputGenerator module. Then the value written is
-- read from the Operator module.
--
entity FeedbackMemory is port (
clk : in std_logic;
reset : in std_logic;
wr : in std_logic;
waddr : in CH_TYPE;
wdata : in SIGNED_LI_TYPE;
raddr : in CH_TYPE;
rdata : out SIGNED_LI_TYPE
);
end FeedbackMemory;
architecture RTL of FeedbackMemory is
type SIGNED_LI_ARRAY_TYPE is array (0 to MAXCH-1) of SIGNED_LI_VECTOR_TYPE;
signal data_array : SIGNED_LI_ARRAY_TYPE;
begin
process(clk, reset)
variable init_ch : integer range 0 to MAXCH;
begin
if reset = '1' then
init_ch := 0;
elsif clk'event and clk='1' then
if init_ch /= MAXCH then
data_array(init_ch) <= (others=>'0');
init_ch := init_ch + 1;
elsif wr='1' then
data_array(waddr) <= CONV_SIGNED_LI_VECTOR(wdata);
end if;
rdata <= CONV_SIGNED_LI(data_array(raddr));
end if;
end process;
end RTL; |
--
-- FeedbackMemory.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
--
-- This module represents a store for feedback data of all OPLL channels. The feedback
-- data is written by the OutputGenerator module. Then the value written is
-- read from the Operator module.
--
entity FeedbackMemory is port (
clk : in std_logic;
reset : in std_logic;
wr : in std_logic;
waddr : in CH_TYPE;
wdata : in SIGNED_LI_TYPE;
raddr : in CH_TYPE;
rdata : out SIGNED_LI_TYPE
);
end FeedbackMemory;
architecture RTL of FeedbackMemory is
type SIGNED_LI_ARRAY_TYPE is array (0 to MAXCH-1) of SIGNED_LI_VECTOR_TYPE;
signal data_array : SIGNED_LI_ARRAY_TYPE;
begin
process(clk, reset)
variable init_ch : integer range 0 to MAXCH;
begin
if reset = '1' then
init_ch := 0;
elsif clk'event and clk='1' then
if init_ch /= MAXCH then
data_array(init_ch) <= (others=>'0');
init_ch := init_ch + 1;
elsif wr='1' then
data_array(waddr) <= CONV_SIGNED_LI_VECTOR(wdata);
end if;
rdata <= CONV_SIGNED_LI(data_array(raddr));
end if;
end process;
end RTL; |
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017
-- Date : Tue Oct 17 19:51:15 2017
-- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ ip_design_auto_pc_0_sim_netlist.vhdl
-- Design : ip_design_auto_pc_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_incr_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
\m_axi_awaddr[11]\ : out STD_LOGIC;
\m_axi_awaddr[3]\ : out STD_LOGIC;
\m_axi_awaddr[2]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_incr_cmd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_incr_cmd is
signal \axaddr_incr[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \axaddr_incr_reg[11]_i_4_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal next_pending_r_i_5_n_0 : STD_LOGIC;
signal p_1_in : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1\ : label is "soft_lutpair122";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2\ : label is "soft_lutpair121";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1\ : label is "soft_lutpair121";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1\ : label is "soft_lutpair122";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1\ : label is "soft_lutpair124";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1\ : label is "soft_lutpair124";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \m_axi_awaddr[11]_INST_0_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \m_axi_awaddr[3]_INST_0_i_1\ : label is "soft_lutpair118";
begin
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(9 downto 0) <= \^axaddr_incr_reg[11]_0\(9 downto 0);
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
\axaddr_incr[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_7\,
O => p_1_in(0)
);
\axaddr_incr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(10),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_5\,
O => p_1_in(10)
);
\axaddr_incr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \state_reg[1]_rep\,
O => \axaddr_incr[11]_i_1_n_0\
);
\axaddr_incr[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(11),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_4\,
O => p_1_in(11)
);
\axaddr_incr[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_6\,
O => p_1_in(1)
);
\axaddr_incr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_5\,
O => p_1_in(2)
);
\axaddr_incr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_4\,
O => p_1_in(3)
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"0009"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \state_reg[1]_rep\,
I2 => \m_payload_i_reg[46]\(4),
I3 => \m_payload_i_reg[46]\(5),
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[3]\,
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(4),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[2]\,
I1 => \m_payload_i_reg[46]\(4),
I2 => \m_payload_i_reg[46]\(5),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(1),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(4),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(4),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \state_reg[1]_rep\,
I2 => \m_payload_i_reg[46]\(4),
I3 => \m_payload_i_reg[46]\(5),
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"0A9A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \state_reg[1]_rep\,
I2 => \m_payload_i_reg[46]\(5),
I3 => \m_payload_i_reg[46]\(4),
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"009A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \state_reg[1]_rep\,
I2 => \m_payload_i_reg[46]\(4),
I3 => \m_payload_i_reg[46]\(5),
O => S(1)
);
\axaddr_incr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(4),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_7\,
O => p_1_in(4)
);
\axaddr_incr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(5),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_6\,
O => p_1_in(5)
);
\axaddr_incr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(6),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_5\,
O => p_1_in(6)
);
\axaddr_incr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(7),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_4\,
O => p_1_in(7)
);
\axaddr_incr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(8),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_7\,
O => p_1_in(8)
);
\axaddr_incr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(9),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_6\,
O => p_1_in(9)
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(0),
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(10),
Q => \^axaddr_incr_reg[11]_0\(8),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(11),
Q => \^axaddr_incr_reg[11]_0\(9),
R => '0'
);
\axaddr_incr_reg[11]_i_4\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(9 downto 6)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(1),
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(2),
Q => \axaddr_incr_reg_n_0_[2]\,
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(3),
Q => \axaddr_incr_reg_n_0_[3]\,
R => '0'
);
\axaddr_incr_reg[3]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr_reg_n_0_[3]\,
DI(2) => \axaddr_incr_reg_n_0_[2]\,
DI(1 downto 0) => \^axaddr_incr_reg[11]_0\(1 downto 0),
O(3) => \axaddr_incr_reg[3]_i_3_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(4),
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(5),
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(6),
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(7),
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axaddr_incr_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(5 downto 2)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(8),
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => p_1_in(9),
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"44444F4444444444"
)
port map (
I0 => \axlen_cnt_reg_n_0_[0]\,
I1 => \^axlen_cnt_reg[0]_0\,
I2 => Q(1),
I3 => si_rs_awvalid,
I4 => Q(0),
I5 => \m_payload_i_reg[46]\(7),
O => \axlen_cnt[0]_i_1__1_n_0\
);
\axlen_cnt[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1_n_0\
);
\axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F8F8F88F88888888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(9),
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[2]_i_1_n_0\
);
\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA90000FFFFFFFF"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^axlen_cnt_reg[0]_0\,
I5 => \m_payload_i_reg[47]\,
O => \axlen_cnt[3]_i_2__0_n_0\
);
\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1_n_0\
);
\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[4]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[5]_i_1_n_0\
);
\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[6]_i_1_n_0\
);
\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt_reg_n_0_[6]\,
I3 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[7]_i_2_n_0\
);
\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[5]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[6]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[7]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[0]_rep\
);
\m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \m_payload_i_reg[46]\(6),
O => \m_axi_awaddr[11]\
);
\m_axi_awaddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[2]\,
I2 => \m_payload_i_reg[46]\(6),
I3 => \m_payload_i_reg[46]\(2),
O => \m_axi_awaddr[2]\
);
\m_axi_awaddr[3]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[3]\,
I2 => \m_payload_i_reg[46]\(6),
I3 => \m_payload_i_reg[46]\(3),
O => \m_axi_awaddr[3]\
);
\next_pending_r_i_4__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
I4 => next_pending_r_i_5_n_0,
O => \^axlen_cnt_reg[0]_0\
);
next_pending_r_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[4]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_i_5_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => incr_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_incr_cmd_2 is
port (
incr_next_pending : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 11 downto 0 );
\m_axi_araddr[11]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[44]\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_14_b2s_incr_cmd";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_incr_cmd_2;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_incr_cmd_2 is
signal \^q\ : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_incr[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_7\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC;
signal next_pending_r_i_4_n_0 : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1__0\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1__0\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_3__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__2\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \next_pending_r_i_2__1\ : label is "soft_lutpair6";
begin
Q(11 downto 0) <= \^q\(11 downto 0);
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
incr_next_pending <= \^incr_next_pending\;
\axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_7\,
O => \axaddr_incr[0]_i_1__0_n_0\
);
\axaddr_incr[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_5\,
O => \axaddr_incr[10]_i_1__0_n_0\
);
\axaddr_incr[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_4\,
O => \axaddr_incr[11]_i_2__0_n_0\
);
\axaddr_incr[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_6\,
O => \axaddr_incr[1]_i_1__0_n_0\
);
\axaddr_incr[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_5\,
O => \axaddr_incr[2]_i_1__0_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"0202010202020202"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \m_payload_i_reg[46]\(4),
I2 => \m_payload_i_reg[46]\(5),
I3 => m_axi_arready,
I4 => \state_reg[1]_0\(1),
I5 => \state_reg[1]_0\(0),
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^q\(3),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(4),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^q\(2),
I1 => \m_payload_i_reg[46]\(4),
I2 => \m_payload_i_reg[46]\(5),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^q\(1),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(4),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^q\(0),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(4),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_4\,
O => \axaddr_incr[3]_i_1__0_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAA6AAAAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \m_payload_i_reg[46]\(4),
I2 => \m_payload_i_reg[46]\(5),
I3 => m_axi_arready,
I4 => \state_reg[1]_0\(1),
I5 => \state_reg[1]_0\(0),
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"2A2A262A2A2A2A2A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(4),
I3 => m_axi_arready,
I4 => \state_reg[1]_0\(1),
I5 => \state_reg[1]_0\(0),
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"0A0A060A0A0A0A0A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \m_payload_i_reg[46]\(4),
I2 => \m_payload_i_reg[46]\(5),
I3 => m_axi_arready,
I4 => \state_reg[1]_0\(1),
I5 => \state_reg[1]_0\(0),
O => S(1)
);
\axaddr_incr[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_7\,
O => \axaddr_incr[4]_i_1__0_n_0\
);
\axaddr_incr[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_6\,
O => \axaddr_incr[5]_i_1__0_n_0\
);
\axaddr_incr[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_5\,
O => \axaddr_incr[6]_i_1__0_n_0\
);
\axaddr_incr[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_4\,
O => \axaddr_incr[7]_i_1__0_n_0\
);
\axaddr_incr[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_7\,
O => \axaddr_incr[8]_i_1__0_n_0\
);
\axaddr_incr[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_6\,
O => \axaddr_incr[9]_i_1__0_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[11]_i_2__0_n_0\,
Q => \^q\(11),
R => '0'
);
\axaddr_incr_reg[11]_i_4__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4__0_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4__0_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4__0_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4__0_n_7\,
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\axaddr_incr_reg[3]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => \^q\(3 downto 0),
O(3) => \axaddr_incr_reg[3]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3__0_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\axaddr_incr_reg[7]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3__0_n_7\,
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
\axlen_cnt[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20FF2020"
)
port map (
I0 => si_rs_arvalid,
I1 => \state_reg[0]_rep\,
I2 => \m_payload_i_reg[46]\(7),
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[0]_i_1_n_0\
);
\axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1__1_n_0\
);
\axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F8F8F88F88888888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(9),
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[2]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA90000FFFFFFFF"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^axlen_cnt_reg[0]_0\,
I5 => \m_payload_i_reg[47]\,
O => \axlen_cnt[3]_i_2__1_n_0\
);
\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
I4 => next_pending_r_i_4_n_0,
O => \^axlen_cnt_reg[0]_0\
);
\axlen_cnt[4]_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[4]_i_1__2_n_0\
);
\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[4]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[5]_i_1__0_n_0\
);
\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt[7]_i_3__0_n_0\,
I2 => \axlen_cnt_reg_n_0_[5]\,
O => \axlen_cnt[6]_i_1__0_n_0\
);
\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt_reg_n_0_[6]\,
I3 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[7]_i_2__0_n_0\
);
\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_2__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[5]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[6]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[7]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[1]\
);
\m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \m_payload_i_reg[46]\(6),
O => \m_axi_araddr[11]\
);
\next_pending_r_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF505C"
)
port map (
I0 => \next_pending_r_i_2__1_n_0\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => E(0),
I4 => \m_payload_i_reg[44]\,
O => \^incr_next_pending\
);
\next_pending_r_i_2__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => next_pending_r_i_4_n_0,
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
O => \next_pending_r_i_2__1_n_0\
);
next_pending_r_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[4]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
O => next_pending_r_i_4_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^incr_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_rd_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
D : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[0]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axburst_eq0_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
r_push_r_reg : out STD_LOGIC;
\axlen_cnt_reg[4]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
\axaddr_incr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
\m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axlen_cnt_reg[6]\ : in STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[2]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[35]\ : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[35]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[44]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC;
incr_next_pending : in STD_LOGIC;
\m_payload_i_reg[44]_0\ : in STD_LOGIC;
\axlen_cnt_reg[3]\ : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_rd_cmd_fsm;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_rd_cmd_fsm is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^m_payload_i_reg[0]\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^r_push_r_reg\ : STD_LOGIC;
signal \^sel_first_i\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[0]\ : STD_LOGIC;
signal \^wrap_next_pending\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \state[1]_i_1__0\ : label is "soft_lutpair1";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair2";
begin
E(0) <= \^e\(0);
Q(1 downto 0) <= \^q\(1 downto 0);
\axaddr_offset_r_reg[0]\(0) <= \^axaddr_offset_r_reg[0]\(0);
\m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\;
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push_r_reg <= \^r_push_r_reg\;
sel_first_i <= \^sel_first_i\;
\wrap_cnt_r_reg[0]\ <= \^wrap_cnt_r_reg[0]\;
wrap_next_pending <= \^wrap_next_pending\;
\wrap_second_len_r_reg[3]\(1 downto 0) <= \^wrap_second_len_r_reg[3]\(1 downto 0);
\axaddr_incr[11]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AEAA"
)
port map (
I0 => sel_first,
I1 => \^m_payload_i_reg[0]_0\,
I2 => \^m_payload_i_reg[0]\,
I3 => m_axi_arready,
O => \axaddr_incr_reg[0]\(0)
);
\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]\(0),
I1 => \m_payload_i_reg[44]\(1),
I2 => \^q\(0),
I3 => si_rs_arvalid,
I4 => \^q\(1),
I5 => \m_payload_i_reg[3]\,
O => \^axaddr_offset_r_reg[0]\(0)
);
\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0E02"
)
port map (
I0 => si_rs_arvalid,
I1 => \^q\(0),
I2 => \^q\(1),
I3 => m_axi_arready,
O => \axlen_cnt_reg[4]\(0)
);
\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002320"
)
port map (
I0 => m_axi_arready,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => si_rs_arvalid,
I4 => \axlen_cnt_reg[6]\,
O => \axlen_cnt_reg[7]\
);
m_axi_arvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
O => m_axi_arvalid
);
\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"8F"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
O => \m_payload_i_reg[0]_1\(0)
);
\m_valid_i_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF70FFFF"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => m_valid_i0
);
\next_pending_r_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFABEEAA"
)
port map (
I0 => \m_payload_i_reg[44]_0\,
I1 => \^r_push_r_reg\,
I2 => \^e\(0),
I3 => \axlen_cnt_reg[3]\,
I4 => next_pending_r_reg,
O => \^wrap_next_pending\
);
r_push_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"20"
)
port map (
I0 => m_axi_arready,
I1 => \^m_payload_i_reg[0]\,
I2 => \^m_payload_i_reg[0]_0\,
O => \^r_push_r_reg\
);
\s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => \^wrap_next_pending\,
I1 => \m_payload_i_reg[44]\(0),
I2 => \^sel_first_i\,
I3 => incr_next_pending,
O => s_axburst_eq0_reg
);
\s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => \^wrap_next_pending\,
I1 => \m_payload_i_reg[44]\(0),
I2 => \^sel_first_i\,
I3 => incr_next_pending,
O => s_axburst_eq1_reg
);
\sel_first_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_1,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_2,
I2 => \^m_payload_i_reg[0]\,
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => \^sel_first_i\
);
\state[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000770000FFFFF0"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => m_axi_arready,
I2 => si_rs_arvalid,
I3 => \^q\(0),
I4 => \^q\(1),
I5 => \cnt_read_reg[1]_rep__0\,
O => next_state(0)
);
\state[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"0FC00040"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => m_axi_arready,
I2 => \^m_payload_i_reg[0]_0\,
I3 => \^m_payload_i_reg[0]\,
I4 => \cnt_read_reg[1]_rep__0\,
O => next_state(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => next_state(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => next_state(0),
Q => \^m_payload_i_reg[0]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => next_state(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => next_state(1),
Q => \^m_payload_i_reg[0]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => si_rs_arvalid,
I2 => \^m_payload_i_reg[0]_0\,
O => \^e\(0)
);
\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[3]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \^wrap_cnt_r_reg[0]\,
I5 => \^axaddr_offset_r_reg[0]\(0),
O => D(0)
);
\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \wrap_second_len_r_reg[2]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \^e\(0),
I3 => \^wrap_cnt_r_reg[0]\,
I4 => \^axaddr_offset_r_reg[0]\(0),
I5 => \wrap_second_len_r_reg[2]\(0),
O => D(1)
);
\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A6AA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[2]\(0),
I2 => \wrap_cnt_r[3]_i_2__0_n_0\,
I3 => \wrap_second_len_r_reg[2]\(1),
O => D(2)
);
\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"D1D1D1D1D1D1DFD1"
)
port map (
I0 => \wrap_second_len_r_reg[3]_0\(0),
I1 => \^e\(0),
I2 => \^axaddr_offset_r_reg[0]\(0),
I3 => \m_payload_i_reg[35]\,
I4 => \m_payload_i_reg[47]\(1),
I5 => \m_payload_i_reg[47]\(0),
O => \wrap_cnt_r[3]_i_2__0_n_0\
);
\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[3]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \^wrap_cnt_r_reg[0]\,
I5 => \^axaddr_offset_r_reg[0]\(0),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000004000404"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\(0),
I1 => \m_payload_i_reg[35]\,
I2 => \m_payload_i_reg[35]_0\,
I3 => \^e\(0),
I4 => \axaddr_offset_r_reg[3]\(1),
I5 => \m_payload_i_reg[47]\(0),
O => \^wrap_cnt_r_reg[0]\
);
\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FB00FFFFFB00FB00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\(0),
I1 => \m_payload_i_reg[35]\,
I2 => \m_payload_i_reg[47]\(0),
I3 => \m_payload_i_reg[35]_0\,
I4 => \^e\(0),
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo is
port (
\cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
bvalid_i_reg : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
bresp_push : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
b_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
\bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
mhandshake_r : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo is
signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_4_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_5_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_6_n_0\ : STD_LOGIC;
signal \^bresp_push\ : STD_LOGIC;
signal bvalid_i_i_2_n_0 : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_5\ : label is "soft_lutpair127";
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__0\ : label is "soft_lutpair128";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair128";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 ";
attribute SOFT_HLUTNM of \memory_reg[3][0]_srl4_i_3\ : label is "soft_lutpair127";
attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 ";
attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 ";
attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 ";
attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 ";
attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 ";
attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 ";
attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 ";
attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 ";
attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 ";
attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 ";
attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 ";
attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 ";
attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 ";
attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 ";
begin
bresp_push <= \^bresp_push\;
\cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\;
\cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\;
\bresp_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAABAAAA"
)
port map (
I0 => areset_d1,
I1 => \bresp_cnt[7]_i_3_n_0\,
I2 => \bresp_cnt[7]_i_4_n_0\,
I3 => \bresp_cnt[7]_i_5_n_0\,
I4 => \bresp_cnt[7]_i_6_n_0\,
O => SR(0)
);
\bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"22F2FFFF22F222F2"
)
port map (
I0 => \memory_reg[3][1]_srl4_n_0\,
I1 => \bresp_cnt_reg[7]\(1),
I2 => \bresp_cnt_reg[7]\(3),
I3 => \memory_reg[3][3]_srl4_n_0\,
I4 => \bresp_cnt_reg[7]\(0),
I5 => \memory_reg[3][0]_srl4_n_0\,
O => \bresp_cnt[7]_i_3_n_0\
);
\bresp_cnt[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"AEAEFFAE"
)
port map (
I0 => \bresp_cnt_reg[7]\(4),
I1 => \bresp_cnt_reg[7]\(1),
I2 => \memory_reg[3][1]_srl4_n_0\,
I3 => \bresp_cnt_reg[7]\(0),
I4 => \memory_reg[3][0]_srl4_n_0\,
O => \bresp_cnt[7]_i_4_n_0\
);
\bresp_cnt[7]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"EAFFEAEA"
)
port map (
I0 => \bresp_cnt_reg[7]\(6),
I1 => \^cnt_read_reg[0]_rep__0_0\,
I2 => \^cnt_read_reg[1]_rep__0_0\,
I3 => \bresp_cnt_reg[7]\(3),
I4 => \memory_reg[3][3]_srl4_n_0\,
O => \bresp_cnt[7]_i_5_n_0\
);
\bresp_cnt[7]_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"00004004"
)
port map (
I0 => \bresp_cnt_reg[7]\(5),
I1 => mhandshake_r,
I2 => \bresp_cnt_reg[7]\(2),
I3 => \memory_reg[3][2]_srl4_n_0\,
I4 => \bresp_cnt_reg[7]\(7),
O => \bresp_cnt[7]_i_6_n_0\
);
bvalid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0444"
)
port map (
I0 => areset_d1,
I1 => bvalid_i_i_2_n_0,
I2 => si_rs_bvalid,
I3 => si_rs_bready,
O => bvalid_i_reg
);
bvalid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00070707"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => \^cnt_read_reg[1]_rep__0_0\,
I2 => shandshake_r,
I3 => Q(0),
I4 => Q(1),
I5 => si_rs_bvalid,
O => bvalid_i_i_2_n_0
);
\cnt_read[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^bresp_push\,
I1 => Q(0),
I2 => shandshake_r,
O => D(0)
);
\cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1__0_n_0\
);
\cnt_read[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
I3 => \^cnt_read_reg[1]_rep__0_0\,
O => \cnt_read[1]_i_1_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \^cnt_read_reg[0]_rep__0_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \^cnt_read_reg[1]_rep__0_0\,
S => areset_d1
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(0),
Q => \memory_reg[3][0]_srl4_n_0\
);
\memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000004100"
)
port map (
I0 => \bresp_cnt_reg[7]\(7),
I1 => \memory_reg[3][2]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(2),
I3 => mhandshake_r,
I4 => \bresp_cnt_reg[7]\(5),
I5 => \memory_reg[3][0]_srl4_i_2__0_n_0\,
O => \^bresp_push\
);
\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFEFFFFFFFEFFFE"
)
port map (
I0 => \bresp_cnt[7]_i_3_n_0\,
I1 => \bresp_cnt[7]_i_4_n_0\,
I2 => \bresp_cnt_reg[7]\(6),
I3 => \memory_reg[3][0]_srl4_i_3_n_0\,
I4 => \bresp_cnt_reg[7]\(3),
I5 => \memory_reg[3][3]_srl4_n_0\,
O => \memory_reg[3][0]_srl4_i_2__0_n_0\
);
\memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => \^cnt_read_reg[1]_rep__0_0\,
O => \memory_reg[3][0]_srl4_i_3_n_0\
);
\memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(6),
Q => \out\(2)
);
\memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(7),
Q => \out\(3)
);
\memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(8),
Q => \out\(4)
);
\memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(9),
Q => \out\(5)
);
\memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(10),
Q => \out\(6)
);
\memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(11),
Q => \out\(7)
);
\memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(12),
Q => \out\(8)
);
\memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(13),
Q => \out\(9)
);
\memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(14),
Q => \out\(10)
);
\memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(15),
Q => \out\(11)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(1),
Q => \memory_reg[3][1]_srl4_n_0\
);
\memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(2),
Q => \memory_reg[3][2]_srl4_n_0\
);
\memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(3),
Q => \memory_reg[3][3]_srl4_n_0\
);
\memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(4),
Q => \out\(0)
);
\memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(5),
Q => \out\(1)
);
\state[0]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
O => \state_reg[0]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized0\ is
port (
mhandshake : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bready : out STD_LOGIC;
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
mhandshake_r : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
sel : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_14_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized0\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized0\ is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair129";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair129";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 ";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AA6"
)
port map (
I0 => \^q\(1),
I1 => shandshake_r,
I2 => \^q\(0),
I3 => sel,
O => \cnt_read[1]_i_1__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \^q\(0),
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__0_n_0\,
Q => \^q\(1),
S => areset_d1
);
m_axi_bready_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
I2 => mhandshake_r,
O => m_axi_bready
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[1]\(0)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[1]\(1)
);
mhandshake_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => m_axi_bvalid,
I1 => mhandshake_r,
I2 => \^q\(1),
I3 => \^q\(0),
O => mhandshake
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized1\ is
port (
\cnt_read_reg[3]_rep__2_0\ : out STD_LOGIC;
wr_en0 : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
s_ready_i_reg : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
\cnt_read_reg[3]_rep__0_0\ : in STD_LOGIC;
s_ready_i_reg_0 : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_14_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized1\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized1\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[3]_rep__2_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal \^wr_en0\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \cnt_read[4]_i_2__0\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cnt_read[4]_i_5\ : label is "soft_lutpair18";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]";
attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair15";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 ";
attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 ";
attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 ";
attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 ";
attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 ";
attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 ";
attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 ";
attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 ";
attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 ";
attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 ";
attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 ";
attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 ";
attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 ";
attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 ";
attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 ";
attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 ";
attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 ";
attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 ";
attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 ";
attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair15";
begin
\cnt_read_reg[3]_rep__2_0\ <= \^cnt_read_reg[3]_rep__2_0\;
\cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\;
\cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\;
wr_en0 <= \^wr_en0\;
\cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => s_ready_i_reg,
I2 => \^wr_en0\,
O => \cnt_read[0]_i_1__1_n_0\
);
\cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A96A"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => \^wr_en0\,
I3 => s_ready_i_reg,
O => \cnt_read[1]_i_1__2_n_0\
);
\cnt_read[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A6AAAA9A"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \^wr_en0\,
I2 => s_ready_i_reg,
I3 => \cnt_read_reg[0]_rep__2_n_0\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
O => \cnt_read[2]_i_1_n_0\
);
\cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAA96AAAAAAA"
)
port map (
I0 => \^cnt_read_reg[3]_rep__2_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[0]_rep__2_n_0\,
I3 => \cnt_read_reg[2]_rep__2_n_0\,
I4 => \^wr_en0\,
I5 => s_ready_i_reg,
O => \cnt_read[3]_i_1__0_n_0\
);
\cnt_read[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA55AA6A6AAA6AAA"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_0\,
I1 => \cnt_read[4]_i_2__0_n_0\,
I2 => \cnt_read[4]_i_3_n_0\,
I3 => s_ready_i_reg_0,
I4 => \^cnt_read_reg[4]_rep__2_1\,
I5 => \^cnt_read_reg[3]_rep__2_0\,
O => \cnt_read[4]_i_1_n_0\
);
\cnt_read[4]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0004"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => si_rs_rready,
I2 => \cnt_read_reg[3]_rep__0_0\,
I3 => \^wr_en0\,
O => \cnt_read[4]_i_2__0_n_0\
);
\cnt_read[4]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[2]_rep__2_n_0\,
O => \cnt_read[4]_i_3_n_0\
);
\cnt_read[4]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__2_n_0\,
O => \^cnt_read_reg[4]_rep__2_1\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \^cnt_read_reg[3]_rep__2_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \^cnt_read_reg[4]_rep__2_0\,
S => areset_d1
);
m_axi_rready_INST_0: unisim.vcomponents.LUT5
generic map(
INIT => X"F77F777F"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_0\,
I1 => \^cnt_read_reg[3]_rep__2_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
I3 => \cnt_read_reg[1]_rep__2_n_0\,
I4 => \cnt_read_reg[0]_rep__2_n_0\,
O => m_axi_rready
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(0),
Q => \out\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA2A2AAA2A2A2AAA"
)
port map (
I0 => m_axi_rvalid,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[3]_rep__2_0\,
I3 => \cnt_read_reg[2]_rep__2_n_0\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \^wr_en0\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(10),
Q => \out\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(11),
Q => \out\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(12),
Q => \out\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(13),
Q => \out\(13),
Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(14),
Q => \out\(14),
Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(15),
Q => \out\(15),
Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(16),
Q => \out\(16),
Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(17),
Q => \out\(17),
Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(18),
Q => \out\(18),
Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(19),
Q => \out\(19),
Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(1),
Q => \out\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(20),
Q => \out\(20),
Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(21),
Q => \out\(21),
Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(22),
Q => \out\(22),
Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(23),
Q => \out\(23),
Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(24),
Q => \out\(24),
Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => \^wr_en0\,
CLK => aclk,
D => \in\(25),
Q => \out\(25),
Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => \^wr_en0\,
CLK => aclk,
D => \in\(26),
Q => \out\(26),
Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => \^wr_en0\,
CLK => aclk,
D => \in\(27),
Q => \out\(27),
Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => \^wr_en0\,
CLK => aclk,
D => \in\(28),
Q => \out\(28),
Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => \^wr_en0\,
CLK => aclk,
D => \in\(29),
Q => \out\(29),
Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(2),
Q => \out\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => \^wr_en0\,
CLK => aclk,
D => \in\(30),
Q => \out\(30),
Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => \^wr_en0\,
CLK => aclk,
D => \in\(31),
Q => \out\(31),
Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => \^wr_en0\,
CLK => aclk,
D => \in\(32),
Q => \out\(32),
Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => \^wr_en0\,
CLK => aclk,
D => \in\(33),
Q => \out\(33),
Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(3),
Q => \out\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(4),
Q => \out\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(5),
Q => \out\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(6),
Q => \out\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(7),
Q => \out\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(8),
Q => \out\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => \^wr_en0\,
CLK => aclk,
D => \in\(9),
Q => \out\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"7C000000"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
I3 => \^cnt_read_reg[3]_rep__2_0\,
I4 => \^cnt_read_reg[4]_rep__2_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized2\ is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2\ : out STD_LOGIC;
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
si_rs_rready : in STD_LOGIC;
r_push_r : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
\cnt_read_reg[0]_rep__2\ : in STD_LOGIC;
wr_en0 : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__2_0\ : in STD_LOGIC;
\cnt_read_reg[2]_rep__2\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 12 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_14_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized2\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized2\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal m_valid_i_i_3_n_0 : STD_LOGIC;
signal \^m_valid_i_reg\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cnt_read[4]_i_2\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \cnt_read[4]_i_3__0\ : label is "soft_lutpair19";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 ";
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__1_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
O => \cnt_read[0]_i_1__2_n_0\
);
\cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \cnt_read_reg[0]_rep__1_n_0\,
I1 => r_push_r,
I2 => s_ready_i_reg,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[1]_i_1__1_n_0\
);
\cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FE7F0180"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__0_n_0\,
I2 => r_push_r,
I3 => s_ready_i_reg,
I4 => \cnt_read_reg[2]_rep__0_n_0\,
O => \cnt_read[2]_i_1__0_n_0\
);
\cnt_read[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"DFFFFFFB20000004"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[0]_rep__0_n_0\,
I4 => \cnt_read_reg[2]_rep__0_n_0\,
I5 => \cnt_read_reg[3]_rep__0_n_0\,
O => \cnt_read[3]_i_1_n_0\
);
\cnt_read[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"9AAA9AAA9AAA9AA6"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read[4]_i_2_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[3]_rep__0_n_0\,
I4 => \cnt_read[4]_i_3__0_n_0\,
I5 => \cnt_read_reg[0]_rep__0_n_0\,
O => \cnt_read[4]_i_1__0_n_0\
);
\cnt_read[4]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"5DFFFFFF"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => si_rs_rready,
I2 => \^m_valid_i_reg\,
I3 => r_push_r,
I4 => \cnt_read_reg[0]_rep__1_n_0\,
O => \cnt_read[4]_i_2_n_0\
);
\cnt_read[4]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFEF"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \^m_valid_i_reg\,
I2 => si_rs_rready,
I3 => r_push_r,
O => \cnt_read[4]_i_3__0_n_0\
);
\cnt_read[4]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"4F"
)
port map (
I0 => \^m_valid_i_reg\,
I1 => si_rs_rready,
I2 => wr_en0,
O => \cnt_read_reg[4]_rep__2\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
m_valid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FF08080808080808"
)
port map (
I0 => \cnt_read_reg[3]_rep__0_n_0\,
I1 => \cnt_read_reg[4]_rep__0_n_0\,
I2 => m_valid_i_i_3_n_0,
I3 => \cnt_read_reg[3]_rep__2\,
I4 => \cnt_read_reg[4]_rep__2_0\,
I5 => \cnt_read_reg[2]_rep__2\,
O => \^m_valid_i_reg\
);
m_valid_i_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \cnt_read_reg[0]_rep__1_n_0\,
I1 => \cnt_read_reg[2]_rep__0_n_0\,
I2 => \cnt_read_reg[1]_rep__0_n_0\,
O => m_valid_i_i_3_n_0
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[46]\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(10),
Q => \skid_buffer_reg[46]\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(11),
Q => \skid_buffer_reg[46]\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(12),
Q => \skid_buffer_reg[46]\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[46]\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(2),
Q => \skid_buffer_reg[46]\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(3),
Q => \skid_buffer_reg[46]\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(4),
Q => \skid_buffer_reg[46]\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(5),
Q => \skid_buffer_reg[46]\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(6),
Q => \skid_buffer_reg[46]\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(7),
Q => \skid_buffer_reg[46]\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(8),
Q => \skid_buffer_reg[46]\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(9),
Q => \skid_buffer_reg[46]\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"BEAAAAAAFEAAAAAA"
)
port map (
I0 => \cnt_read_reg[0]_rep__2\,
I1 => \cnt_read_reg[1]_rep__0_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[4]_rep__0_n_0\,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[0]_rep__0_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wr_cmd_fsm is
port (
E : out STD_LOGIC_VECTOR ( 0 to 0 );
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[7]\ : out STD_LOGIC;
s_axburst_eq0_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
incr_next_pending : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\axlen_cnt_reg[6]\ : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
next_pending_r_reg_0 : in STD_LOGIC;
\axlen_cnt_reg[1]\ : in STD_LOGIC;
sel_first : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_0 : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wr_cmd_fsm;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wr_cmd_fsm is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axlen_cnt_reg[0]\ : STD_LOGIC;
signal \^b_push\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^sel_first_i\ : STD_LOGIC;
signal \state_reg[0]_rep_n_0\ : STD_LOGIC;
signal \state_reg[1]_rep_n_0\ : STD_LOGIC;
signal \^wrap_boundary_axaddr_r_reg[0]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^wrap_next_pending\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair115";
attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair115";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair114";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\axlen_cnt_reg[0]\ <= \^axlen_cnt_reg[0]\;
b_push <= \^b_push\;
incr_next_pending <= \^incr_next_pending\;
sel_first_i <= \^sel_first_i\;
\wrap_boundary_axaddr_r_reg[0]\(0) <= \^wrap_boundary_axaddr_r_reg[0]\(0);
wrap_next_pending <= \^wrap_next_pending\;
\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"04FF"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^axlen_cnt_reg[0]\,
O => E(0)
);
\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"000004FF"
)
port map (
I0 => \state_reg[0]_rep_n_0\,
I1 => si_rs_awvalid,
I2 => \state_reg[1]_rep_n_0\,
I3 => \^axlen_cnt_reg[0]\,
I4 => \axlen_cnt_reg[6]\,
O => \axlen_cnt_reg[7]\
);
m_axi_awvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \state_reg[0]_rep_n_0\,
I1 => \state_reg[1]_rep_n_0\,
O => m_axi_awvalid
);
\m_payload_i[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^b_push\,
I1 => si_rs_awvalid,
O => \m_payload_i_reg[0]\(0)
);
\memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFCF000045000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[0]_rep__0\,
I2 => \cnt_read_reg[1]_rep__0_0\,
I3 => m_axi_awready,
I4 => \state_reg[0]_rep_n_0\,
I5 => \state_reg[1]_rep_n_0\,
O => \^b_push\
);
next_pending_r_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\,
I1 => \^wrap_boundary_axaddr_r_reg[0]\(0),
I2 => next_pending_r_reg,
I3 => \^axlen_cnt_reg[0]\,
I4 => \axlen_cnt_reg[6]\,
O => \^incr_next_pending\
);
\next_pending_r_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B888B8BB"
)
port map (
I0 => \m_payload_i_reg[46]\,
I1 => \^wrap_boundary_axaddr_r_reg[0]\(0),
I2 => next_pending_r_reg_0,
I3 => \^axlen_cnt_reg[0]\,
I4 => \axlen_cnt_reg[1]\,
O => \^wrap_next_pending\
);
next_pending_r_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"5555DD551515DD15"
)
port map (
I0 => \state_reg[1]_rep_n_0\,
I1 => \state_reg[0]_rep_n_0\,
I2 => m_axi_awready,
I3 => \cnt_read_reg[1]_rep__0_0\,
I4 => \cnt_read_reg[0]_rep__0\,
I5 => s_axburst_eq1_reg_0,
O => \^axlen_cnt_reg[0]\
);
s_axburst_eq0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => \^wrap_next_pending\,
I1 => \m_payload_i_reg[39]\(0),
I2 => \^sel_first_i\,
I3 => \^incr_next_pending\,
O => s_axburst_eq0_reg
);
s_axburst_eq1_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => \^wrap_next_pending\,
I1 => \m_payload_i_reg[39]\(0),
I2 => \^sel_first_i\,
I3 => \^incr_next_pending\,
O => s_axburst_eq1_reg
);
sel_first_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF88888F88"
)
port map (
I0 => \^axlen_cnt_reg[0]\,
I1 => sel_first,
I2 => \state_reg[1]_rep_n_0\,
I3 => si_rs_awvalid,
I4 => \state_reg[0]_rep_n_0\,
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF88888F88"
)
port map (
I0 => \^axlen_cnt_reg[0]\,
I1 => sel_first_0,
I2 => \state_reg[1]_rep_n_0\,
I3 => si_rs_awvalid,
I4 => \state_reg[0]_rep_n_0\,
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF88888F88"
)
port map (
I0 => \^axlen_cnt_reg[0]\,
I1 => sel_first_reg_1,
I2 => \state_reg[1]_rep_n_0\,
I3 => si_rs_awvalid,
I4 => \state_reg[0]_rep_n_0\,
I5 => areset_d1,
O => \^sel_first_i\
);
\state[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AEFE0E0EFEFE5E5E"
)
port map (
I0 => \state_reg[1]_rep_n_0\,
I1 => si_rs_awvalid,
I2 => \state_reg[0]_rep_n_0\,
I3 => s_axburst_eq1_reg_0,
I4 => \cnt_read_reg[1]_rep__0\,
I5 => m_axi_awready,
O => next_state(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"2E220E0000000000"
)
port map (
I0 => m_axi_awready,
I1 => \state_reg[1]_rep_n_0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => \cnt_read_reg[1]_rep__0_0\,
I4 => s_axburst_eq1_reg_0,
I5 => \state_reg[0]_rep_n_0\,
O => next_state(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => next_state(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => next_state(0),
Q => \state_reg[0]_rep_n_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => next_state(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => next_state(1),
Q => \state_reg[1]_rep_n_0\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \state_reg[1]_rep_n_0\,
I1 => si_rs_awvalid,
I2 => \state_reg[0]_rep_n_0\,
O => \^wrap_boundary_axaddr_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wrap_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
next_pending_r_reg_1 : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
wrap_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 17 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
sel_first_reg_4 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wrap_cmd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wrap_cmd is
signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 );
signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_2\ : label is "soft_lutpair126";
attribute SOFT_HLUTNM of \next_pending_r_i_2__0\ : label is "soft_lutpair126";
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(0),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(0),
O => \axaddr_wrap[0]_i_1_n_0\
);
\axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(10),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(10),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(10),
O => \axaddr_wrap[10]_i_1_n_0\
);
\axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(11),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(11),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(11),
O => \axaddr_wrap[11]_i_1_n_0\
);
\axaddr_wrap[11]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFF6"
)
port map (
I0 => wrap_cnt_r(3),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axaddr_wrap[11]_i_4_n_0\,
I3 => \axlen_cnt_reg_n_0_[4]\,
O => \axaddr_wrap[11]_i_3_n_0\
);
\axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => wrap_cnt_r(0),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => wrap_cnt_r(1),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => wrap_cnt_r(2),
O => \axaddr_wrap[11]_i_4_n_0\
);
\axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(1),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(1),
O => \axaddr_wrap[1]_i_1_n_0\
);
\axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(2),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(2),
O => \axaddr_wrap[2]_i_1_n_0\
);
\axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(3),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(3),
O => \axaddr_wrap[3]_i_1_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => axaddr_wrap(3),
I1 => \m_payload_i_reg[46]\(13),
I2 => \m_payload_i_reg[46]\(12),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(2),
I1 => \m_payload_i_reg[46]\(12),
I2 => \m_payload_i_reg[46]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(1),
I1 => \m_payload_i_reg[46]\(13),
I2 => \m_payload_i_reg[46]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => axaddr_wrap(0),
I1 => \m_payload_i_reg[46]\(13),
I2 => \m_payload_i_reg[46]\(12),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(4),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(4),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(4),
O => \axaddr_wrap[4]_i_1_n_0\
);
\axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(5),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(5),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(5),
O => \axaddr_wrap[5]_i_1_n_0\
);
\axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(6),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(6),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(6),
O => \axaddr_wrap[6]_i_1_n_0\
);
\axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(7),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(7),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(7),
O => \axaddr_wrap[7]_i_1_n_0\
);
\axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(8),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(8),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(8),
O => \axaddr_wrap[8]_i_1_n_0\
);
\axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\(9),
I1 => \state_reg[1]_rep\,
I2 => axaddr_wrap0(9),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(9),
O => \axaddr_wrap[9]_i_1_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[0]_i_1_n_0\,
Q => axaddr_wrap(0),
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[10]_i_1_n_0\,
Q => axaddr_wrap(10),
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[11]_i_1_n_0\,
Q => axaddr_wrap(11),
R => '0'
);
\axaddr_wrap_reg[11]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_2_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(11 downto 8),
S(3 downto 0) => axaddr_wrap(11 downto 8)
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[1]_i_1_n_0\,
Q => axaddr_wrap(1),
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[2]_i_1_n_0\,
Q => axaddr_wrap(2),
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[3]_i_1_n_0\,
Q => axaddr_wrap(3),
R => '0'
);
\axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => axaddr_wrap(3 downto 0),
O(3 downto 0) => axaddr_wrap0(3 downto 0),
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[4]_i_1_n_0\,
Q => axaddr_wrap(4),
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[5]_i_1_n_0\,
Q => axaddr_wrap(5),
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[6]_i_1_n_0\,
Q => axaddr_wrap(6),
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[7]_i_1_n_0\,
Q => axaddr_wrap(7),
R => '0'
);
\axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(7 downto 4),
S(3 downto 0) => axaddr_wrap(7 downto 4)
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[8]_i_1_n_0\,
Q => axaddr_wrap(8),
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[9]_i_1_n_0\,
Q => axaddr_wrap(9),
R => '0'
);
\axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"44444F4444444444"
)
port map (
I0 => \axlen_cnt_reg_n_0_[0]\,
I1 => \axlen_cnt[3]_i_2_n_0\,
I2 => Q(1),
I3 => si_rs_awvalid,
I4 => Q(0),
I5 => \m_payload_i_reg[46]\(15),
O => \axlen_cnt[0]_i_1__2_n_0\
);
\axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(16),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt[3]_i_2_n_0\,
O => \axlen_cnt[1]_i_1__0_n_0\
);
\axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"F8F8F88F88888888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(17),
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt[3]_i_2_n_0\,
O => \axlen_cnt[2]_i_1__0_n_0\
);
\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA90000FFFFFFFF"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt[3]_i_2_n_0\,
I5 => \m_payload_i_reg[47]\,
O => \axlen_cnt[3]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"55555554"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[4]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[3]_i_2_n_0\
);
\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"4444444444444440"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => '0'
);
\m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(0),
I2 => \m_payload_i_reg[46]\(14),
I3 => \m_payload_i_reg[46]\(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_awaddr(0)
);
\m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(10),
I2 => \m_payload_i_reg[46]\(14),
I3 => \m_payload_i_reg[46]\(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(8),
O => m_axi_awaddr(10)
);
\m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(11),
I2 => \m_payload_i_reg[46]\(14),
I3 => \m_payload_i_reg[46]\(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(9),
O => m_axi_awaddr(11)
);
\m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(1),
I2 => \m_payload_i_reg[46]\(14),
I3 => \m_payload_i_reg[46]\(1),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_awaddr(1)
);
\m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \^sel_first_reg_0\,
I2 => axaddr_wrap(2),
I3 => \m_payload_i_reg[46]\(14),
I4 => sel_first_reg_4,
O => m_axi_awaddr(2)
);
\m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \^sel_first_reg_0\,
I2 => axaddr_wrap(3),
I3 => \m_payload_i_reg[46]\(14),
I4 => sel_first_reg_3,
O => m_axi_awaddr(3)
);
\m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(4),
I2 => \m_payload_i_reg[46]\(14),
I3 => \m_payload_i_reg[46]\(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_awaddr(4)
);
\m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(5),
I2 => \m_payload_i_reg[46]\(14),
I3 => \m_payload_i_reg[46]\(5),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_awaddr(5)
);
\m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(6),
I2 => \m_payload_i_reg[46]\(14),
I3 => \m_payload_i_reg[46]\(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_awaddr(6)
);
\m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(7),
I2 => \m_payload_i_reg[46]\(14),
I3 => \m_payload_i_reg[46]\(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_awaddr(7)
);
\m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(8),
I2 => \m_payload_i_reg[46]\(14),
I3 => \m_payload_i_reg[46]\(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_awaddr(8)
);
\m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(9),
I2 => \m_payload_i_reg[46]\(14),
I3 => \m_payload_i_reg[46]\(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_awaddr(9)
);
\next_pending_r_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[4]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_reg_1
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => wrap_boundary_axaddr_r(0),
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[46]\(10),
Q => wrap_boundary_axaddr_r(10),
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[46]\(11),
Q => wrap_boundary_axaddr_r(11),
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => wrap_boundary_axaddr_r(1),
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => wrap_boundary_axaddr_r(2),
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => wrap_boundary_axaddr_r(3),
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => wrap_boundary_axaddr_r(4),
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => wrap_boundary_axaddr_r(5),
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => wrap_boundary_axaddr_r(6),
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[46]\(7),
Q => wrap_boundary_axaddr_r(7),
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[46]\(8),
Q => wrap_boundary_axaddr_r(8),
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[46]\(9),
Q => wrap_boundary_axaddr_r(9),
R => '0'
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => wrap_cnt_r(0),
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => wrap_cnt_r(1),
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => wrap_cnt_r(2),
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(3),
Q => wrap_cnt_r(3),
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wrap_cmd_3 is
port (
next_pending_r_reg_0 : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
wrap_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 17 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\m_payload_i_reg[35]\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_14_b2s_wrap_cmd";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wrap_cmd_3;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wrap_cmd_3 is
signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__1_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_cnt_r[1]_i_1_n_0\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_2__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
sel_first_reg_0 <= \^sel_first_reg_0\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[3]_i_2__0_n_7\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
I3 => \state_reg[1]_rep\,
I4 => Q(0),
O => \axaddr_wrap[0]_i_1__0_n_0\
);
\axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[11]_i_2__0_n_5\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
I3 => \state_reg[1]_rep\,
I4 => Q(10),
O => \axaddr_wrap[10]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[11]_i_2__0_n_4\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
I3 => \state_reg[1]_rep\,
I4 => Q(11),
O => \axaddr_wrap[11]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axaddr_wrap[11]_i_4__0_n_0\,
I3 => \axlen_cnt_reg_n_0_[4]\,
O => \axaddr_wrap[11]_i_3__0_n_0\
);
\axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \wrap_cnt_r_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \wrap_cnt_r_reg_n_0_[1]\,
O => \axaddr_wrap[11]_i_4__0_n_0\
);
\axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[3]_i_2__0_n_6\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
I3 => \state_reg[1]_rep\,
I4 => Q(1),
O => \axaddr_wrap[1]_i_1__0_n_0\
);
\axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[3]_i_2__0_n_5\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
I3 => \state_reg[1]_rep\,
I4 => Q(2),
O => \axaddr_wrap[2]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[3]_i_2__0_n_4\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
I3 => \state_reg[1]_rep\,
I4 => Q(3),
O => \axaddr_wrap[3]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[3]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[2]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[1]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[0]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[7]_i_2__0_n_7\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
I3 => \state_reg[1]_rep\,
I4 => Q(4),
O => \axaddr_wrap[4]_i_1__0_n_0\
);
\axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[7]_i_2__0_n_6\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
I3 => \state_reg[1]_rep\,
I4 => Q(5),
O => \axaddr_wrap[5]_i_1__0_n_0\
);
\axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[7]_i_2__0_n_5\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
I3 => \state_reg[1]_rep\,
I4 => Q(6),
O => \axaddr_wrap[6]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[7]_i_2__0_n_4\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
I3 => \state_reg[1]_rep\,
I4 => Q(7),
O => \axaddr_wrap[7]_i_1__0_n_0\
);
\axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[11]_i_2__0_n_7\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
I3 => \state_reg[1]_rep\,
I4 => Q(8),
O => \axaddr_wrap[8]_i_1__0_n_0\
);
\axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[11]_i_2__0_n_6\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
I3 => \state_reg[1]_rep\,
I4 => Q(9),
O => \axaddr_wrap[9]_i_1__0_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[0]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[0]\,
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[10]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[10]\,
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[11]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[11]\,
R => '0'
);
\axaddr_wrap_reg[11]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_2__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[11]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[11]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[11]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[11]_i_2__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[11]\,
S(2) => \axaddr_wrap_reg_n_0_[10]\,
S(1) => \axaddr_wrap_reg_n_0_[9]\,
S(0) => \axaddr_wrap_reg_n_0_[8]\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[1]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[1]\,
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[2]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[2]\,
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[3]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[3]\,
R => '0'
);
\axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_wrap_reg_n_0_[3]\,
DI(2) => \axaddr_wrap_reg_n_0_[2]\,
DI(1) => \axaddr_wrap_reg_n_0_[1]\,
DI(0) => \axaddr_wrap_reg_n_0_[0]\,
O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\,
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[4]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[4]\,
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[5]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[5]\,
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[6]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[6]\,
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[7]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[7]\,
R => '0'
);
\axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[7]\,
S(2) => \axaddr_wrap_reg_n_0_[6]\,
S(1) => \axaddr_wrap_reg_n_0_[5]\,
S(0) => \axaddr_wrap_reg_n_0_[4]\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[8]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[8]\,
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[9]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[9]\,
R => '0'
);
\axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"20FF2020"
)
port map (
I0 => si_rs_arvalid,
I1 => \state_reg[0]_rep\,
I2 => Q(15),
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[0]_i_1__0_n_0\
);
\axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => Q(16),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1__2_n_0\
);
\axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"F8F8F88F88888888"
)
port map (
I0 => E(0),
I1 => Q(17),
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[2]_i_1__2_n_0\
);
\axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA90000FFFFFFFF"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
I5 => \m_payload_i_reg[47]\,
O => \axlen_cnt[3]_i_1__2_n_0\
);
\axlen_cnt[3]_i_2__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"55555554"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[4]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
O => \^axlen_cnt_reg[0]_0\
);
\axlen_cnt[4]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"4444444444444440"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1__1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => '0'
);
\m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[0]\,
I2 => Q(14),
I3 => Q(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_araddr(0)
);
\m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[10]\,
I2 => Q(14),
I3 => Q(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(10),
O => m_axi_araddr(10)
);
\m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[11]\,
I2 => Q(14),
I3 => Q(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(11),
O => m_axi_araddr(11)
);
\m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[1]\,
I2 => Q(14),
I3 => Q(1),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_araddr(1)
);
\m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[2]\,
I2 => Q(14),
I3 => Q(2),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_araddr(2)
);
\m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[3]\,
I2 => Q(14),
I3 => Q(3),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_araddr(3)
);
\m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[4]\,
I2 => Q(14),
I3 => Q(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_araddr(4)
);
\m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[5]\,
I2 => Q(14),
I3 => Q(5),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_araddr(5)
);
\m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[6]\,
I2 => Q(14),
I3 => Q(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_araddr(6)
);
\m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[7]\,
I2 => Q(14),
I3 => Q(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_araddr(7)
);
\m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[8]\,
I2 => Q(14),
I3 => Q(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(8),
O => m_axi_araddr(8)
);
\m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[9]\,
I2 => Q(14),
I3 => Q(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(9),
O => m_axi_araddr(9)
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(10),
Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(11),
Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(7),
Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(8),
Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(9),
Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
R => '0'
);
\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"313D020E"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_1\,
I3 => \m_payload_i_reg[35]\,
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => \wrap_cnt_r[1]_i_1_n_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => \wrap_cnt_r_reg_n_0_[0]\,
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_cnt_r[1]_i_1_n_0\,
Q => \wrap_cnt_r_reg_n_0_[1]\,
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => \wrap_cnt_r_reg_n_0_[2]\,
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => \wrap_cnt_r_reg_n_0_[3]\,
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice is
port (
s_axi_arready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
Q : out STD_LOGIC_VECTOR ( 53 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[1]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
\aresetn_d_reg[0]_0\ : in STD_LOGIC;
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
axaddr_offset_0 : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice is
signal \^q\ : STD_LOGIC_VECTOR ( 53 downto 0 );
signal \axaddr_incr[3]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[2]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 to 3 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair21";
begin
Q(53 downto 0) <= \^q\(53 downto 0);
\axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\;
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_arready <= \^s_axi_arready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
\aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \aresetn_d_reg[0]_0\,
Q => \^m_valid_i_reg_0\,
R => '0'
);
\axaddr_incr[3]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(36),
I2 => \^q\(35),
O => \axaddr_incr[3]_i_4__0_n_0\
);
\axaddr_incr[3]_i_5__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5__0_n_0\
);
\axaddr_incr[3]_i_6__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(36),
I2 => \^q\(35),
O => \axaddr_incr[3]_i_6__0_n_0\
);
\axaddr_incr_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => O(3 downto 0),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4__0_n_0\,
DI(1) => \axaddr_incr[3]_i_5__0_n_0\,
DI(0) => \axaddr_incr[3]_i_6__0_n_0\,
O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0)
);
\axaddr_incr_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(35),
I3 => \^q\(2),
I4 => \^q\(36),
I5 => \^q\(0),
O => \axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^axaddr_offset_r_reg[1]\,
O => \^axaddr_offset_r_reg[3]\(0)
);
\axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"1FDF00001FDFFFFF"
)
port map (
I0 => \axaddr_offset_r[1]_i_3_n_0\,
I1 => \^q\(35),
I2 => \^q\(40),
I3 => \axaddr_offset_r[2]_i_3__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \^axaddr_offset_r_reg[1]\
);
\axaddr_offset_r[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(3),
I1 => \^q\(36),
I2 => \^q\(1),
O => \axaddr_offset_r[1]_i_3_n_0\
);
\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AC00FFFFAC000000"
)
port map (
I0 => \axaddr_offset_r[2]_i_2__0_n_0\,
I1 => \axaddr_offset_r[2]_i_3__0_n_0\,
I2 => \^q\(35),
I3 => \^q\(41),
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_r_reg[3]\(1)
);
\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(3),
O => \axaddr_offset_r[2]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(36),
I2 => \^q\(2),
O => \axaddr_offset_r[2]_i_3__0_n_0\
);
\axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => si_rs_arlen(3),
I1 => \axaddr_offset_r[3]_i_2__0_n_0\,
I2 => \state_reg[1]_rep_0\,
I3 => \^s_ready_i_reg_0\,
I4 => \state_reg[0]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^axaddr_offset_r_reg[3]\(2)
);
\axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(4),
I2 => \^q\(35),
I3 => \^q\(5),
I4 => \^q\(36),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => si_rs_arlen(3),
I1 => \state_reg[0]_rep\,
I2 => \^s_ready_i_reg_0\,
I3 => \state_reg[1]_rep_0\,
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__0_n_0\
);
\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__0_n_0\
);
\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__0_n_0\
);
\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(12),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__0_n_0\
);
\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(13),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__1_n_0\
);
\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(14),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__0_n_0\
);
\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(15),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__0_n_0\
);
\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(16),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__0_n_0\
);
\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(17),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__0_n_0\
);
\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(18),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__0_n_0\
);
\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(19),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__0_n_0\
);
\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__0_n_0\
);
\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(20),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__0_n_0\
);
\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(21),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__0_n_0\
);
\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(22),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__0_n_0\
);
\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(23),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__0_n_0\
);
\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(24),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__0_n_0\
);
\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(25),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__0_n_0\
);
\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(26),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__0_n_0\
);
\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(27),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__0_n_0\
);
\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(28),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__0_n_0\
);
\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(29),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__0_n_0\
);
\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__0_n_0\
);
\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(30),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__0_n_0\
);
\m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(31),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_2__0_n_0\
);
\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__0_n_0\
);
\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__0_n_0\
);
\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__0_n_0\
);
\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__0_n_0\
);
\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__0_n_0\
);
\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__0_n_0\
);
\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__0_n_0\
);
\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__0_n_0\
);
\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__0_n_0\
);
\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__0_n_0\
);
\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_1__1_n_0\
);
\m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => \m_payload_i[47]_i_1__0_n_0\
);
\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__0_n_0\
);
\m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => \m_payload_i[50]_i_1__0_n_0\
);
\m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => \m_payload_i[51]_i_1__0_n_0\
);
\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => \m_payload_i[52]_i_1__0_n_0\
);
\m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => \m_payload_i[53]_i_1__0_n_0\
);
\m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => \m_payload_i[54]_i_1__0_n_0\
);
\m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => \m_payload_i[55]_i_1__0_n_0\
);
\m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => \m_payload_i[56]_i_1__0_n_0\
);
\m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => \m_payload_i[57]_i_1__0_n_0\
);
\m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => \m_payload_i[58]_i_1__0_n_0\
);
\m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => \m_payload_i[59]_i_1__0_n_0\
);
\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__0_n_0\
);
\m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => \m_payload_i[60]_i_1__0_n_0\
);
\m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => \m_payload_i[61]_i_1__0_n_0\
);
\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__0_n_0\
);
\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__0_n_0\
);
\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__0_n_0\
);
\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__0_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[11]_i_1__0_n_0\,
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[12]_i_1__0_n_0\,
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[13]_i_1__1_n_0\,
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[14]_i_1__0_n_0\,
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[15]_i_1__0_n_0\,
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[16]_i_1__0_n_0\,
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[17]_i_1__0_n_0\,
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[18]_i_1__0_n_0\,
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[19]_i_1__0_n_0\,
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[20]_i_1__0_n_0\,
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[21]_i_1__0_n_0\,
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[22]_i_1__0_n_0\,
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[23]_i_1__0_n_0\,
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[24]_i_1__0_n_0\,
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[25]_i_1__0_n_0\,
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[26]_i_1__0_n_0\,
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[27]_i_1__0_n_0\,
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[28]_i_1__0_n_0\,
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[29]_i_1__0_n_0\,
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[30]_i_1__0_n_0\,
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[31]_i_2__0_n_0\,
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[32]_i_1__0_n_0\,
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[33]_i_1__0_n_0\,
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[34]_i_1__0_n_0\,
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[35]_i_1__0_n_0\,
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[36]_i_1__0_n_0\,
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[38]_i_1__0_n_0\,
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[39]_i_1__0_n_0\,
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[44]_i_1__0_n_0\,
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[45]_i_1__0_n_0\,
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[46]_i_1__1_n_0\,
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[47]_i_1__0_n_0\,
Q => si_rs_arlen(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[50]_i_1__0_n_0\,
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[51]_i_1__0_n_0\,
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[52]_i_1__0_n_0\,
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[53]_i_1__0_n_0\,
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[54]_i_1__0_n_0\,
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[55]_i_1__0_n_0\,
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[56]_i_1__0_n_0\,
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[57]_i_1__0_n_0\,
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[58]_i_1__0_n_0\,
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[59]_i_1__0_n_0\,
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[60]_i_1__0_n_0\,
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[61]_i_1__0_n_0\,
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_ready_i_reg_0\,
R => \^m_valid_i_reg_0\
);
\next_pending_r_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(39),
I2 => si_rs_arlen(3),
I3 => \^q\(40),
I4 => \^q\(41),
O => next_pending_r_reg
);
\s_ready_i_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F444FFFF"
)
port map (
I0 => s_axi_arvalid,
I1 => \^s_axi_arready\,
I2 => \state_reg[1]_rep_0\,
I3 => \state_reg[0]_rep\,
I4 => \^s_ready_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_arready\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8888082AAAAA082A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(40),
I3 => \^q\(41),
I4 => \^q\(36),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => si_rs_arlen(3),
O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"002AA02A0A2AAA2A"
)
port map (
I0 => \^q\(4),
I1 => si_rs_arlen(3),
I2 => \^q\(35),
I3 => \^q\(36),
I4 => \^q\(40),
I5 => \^q\(41),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => si_rs_arlen(3),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(36),
I2 => \^q\(35),
I3 => si_rs_arlen(3),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0EF0FFFF0EF00000"
)
port map (
I0 => \^axaddr_offset_r_reg[3]\(1),
I1 => \^axaddr_offset_r_reg[3]\(2),
I2 => axaddr_offset_0(0),
I3 => \^axaddr_offset_r_reg[1]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[2]_0\(0),
O => \wrap_second_len_r_reg[2]\(0)
);
\wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA4AFFFFAA4A0000"
)
port map (
I0 => \^axaddr_offset_r_reg[3]\(1),
I1 => \^axaddr_offset_r_reg[3]\(2),
I2 => \^axaddr_offset_r_reg[1]\,
I3 => axaddr_offset_0(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[2]_0\(1),
O => \wrap_second_len_r_reg[2]\(1)
);
\wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EEE222E2"
)
port map (
I0 => \axaddr_offset_r[2]_i_2__0_n_0\,
I1 => \^q\(35),
I2 => \^q\(4),
I3 => \^q\(36),
I4 => \^q\(6),
I5 => \^axlen_cnt_reg[3]\,
O => \wrap_second_len_r_reg[3]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice_0 is
port (
s_axi_awready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[1]\ : out STD_LOGIC;
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
wrap_second_len : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[1]\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[3]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[1]_inv\ : out STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[1]_inv_0\ : in STD_LOGIC;
aresetn : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice_0 : entity is "axi_register_slice_v2_1_14_axic_register_slice";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice_0;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice_0 is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_incr[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[0]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[2]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[2]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_3_n_0\ : STD_LOGIC;
signal \^wrap_second_len\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \wrap_second_len_r[0]_i_2_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[0]_i_3_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[0]_i_4_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[0]_i_5_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[1]\ : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_offset_r[0]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_3\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_4\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_3\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_2\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \wrap_second_len_r[0]_i_5\ : label is "soft_lutpair53";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
\axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\;
\axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_awready <= \^s_axi_awready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
wrap_second_len(2 downto 0) <= \^wrap_second_len\(2 downto 0);
\wrap_second_len_r_reg[1]\ <= \^wrap_second_len_r_reg[1]\;
\aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
I1 => aresetn,
O => \aresetn_d_reg[1]_inv\
);
\aresetn_d_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => aresetn,
Q => \aresetn_d_reg_n_0_[0]\,
R => '0'
);
\axaddr_incr[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(36),
I2 => \^q\(35),
O => \axaddr_incr[3]_i_4_n_0\
);
\axaddr_incr[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5_n_0\
);
\axaddr_incr[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(36),
I2 => \^q\(35),
O => \axaddr_incr[3]_i_6_n_0\
);
\axaddr_incr_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(11 downto 8),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4_n_0\,
DI(1) => \axaddr_incr[3]_i_5_n_0\,
DI(0) => \axaddr_incr[3]_i_6_n_0\,
O(3 downto 0) => axaddr_incr(3 downto 0),
S(3 downto 0) => S(3 downto 0)
);
\axaddr_incr_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(7 downto 4),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \axaddr_offset_r[0]_i_2_n_0\,
O => axaddr_offset(0)
);
\axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000700FFFFF7FF"
)
port map (
I0 => \^q\(39),
I1 => \axaddr_offset_r[0]_i_3_n_0\,
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \axaddr_offset_r[0]_i_2_n_0\
);
\axaddr_offset_r[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(35),
I3 => \^q\(2),
I4 => \^q\(36),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_3_n_0\
);
\axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \^q\(40),
I1 => \axaddr_offset_r[1]_i_2__0_n_0\,
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_r_reg[1]\
);
\axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(2),
I2 => \^q\(35),
I3 => \^q\(3),
I4 => \^q\(36),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \axaddr_offset_r[2]_i_2_n_0\,
O => axaddr_offset(1)
);
\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"03FFF3FF55555555"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(2),
I1 => \axaddr_offset_r[2]_i_3_n_0\,
I2 => \^q\(35),
I3 => \^q\(41),
I4 => \axaddr_offset_r[2]_i_4_n_0\,
I5 => \state_reg[1]_rep\,
O => \axaddr_offset_r[2]_i_2_n_0\
);
\axaddr_offset_r[2]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(36),
I2 => \^q\(2),
O => \axaddr_offset_r[2]_i_3_n_0\
);
\axaddr_offset_r[2]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(3),
O => \axaddr_offset_r[2]_i_4_n_0\
);
\axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \^q\(42),
I1 => \axaddr_offset_r[3]_i_2_n_0\,
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(3),
O => \^axaddr_offset_r_reg[3]\
);
\axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(4),
I2 => \^q\(35),
I3 => \^q\(5),
I4 => \^q\(36),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2_n_0\
);
\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => skid_buffer(0)
);
\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => skid_buffer(10)
);
\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => skid_buffer(11)
);
\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(12),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => skid_buffer(12)
);
\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(13),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => skid_buffer(13)
);
\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(14),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => skid_buffer(14)
);
\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(15),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => skid_buffer(15)
);
\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(16),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => skid_buffer(16)
);
\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(17),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => skid_buffer(17)
);
\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(18),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => skid_buffer(18)
);
\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(19),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => skid_buffer(19)
);
\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => skid_buffer(1)
);
\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(20),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => skid_buffer(20)
);
\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(21),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => skid_buffer(21)
);
\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(22),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => skid_buffer(22)
);
\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(23),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => skid_buffer(23)
);
\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(24),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => skid_buffer(24)
);
\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(25),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => skid_buffer(25)
);
\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(26),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => skid_buffer(26)
);
\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(27),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => skid_buffer(27)
);
\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(28),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => skid_buffer(28)
);
\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(29),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => skid_buffer(29)
);
\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => skid_buffer(2)
);
\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(30),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => skid_buffer(30)
);
\m_payload_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(31),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => skid_buffer(31)
);
\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => skid_buffer(32)
);
\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => skid_buffer(33)
);
\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => skid_buffer(34)
);
\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => skid_buffer(35)
);
\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => skid_buffer(36)
);
\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => skid_buffer(38)
);
\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => skid_buffer(39)
);
\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => skid_buffer(3)
);
\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => skid_buffer(44)
);
\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => skid_buffer(45)
);
\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => skid_buffer(46)
);
\m_payload_i[47]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => skid_buffer(47)
);
\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => skid_buffer(4)
);
\m_payload_i[50]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => skid_buffer(50)
);
\m_payload_i[51]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => skid_buffer(51)
);
\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => skid_buffer(52)
);
\m_payload_i[53]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => skid_buffer(53)
);
\m_payload_i[54]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => skid_buffer(54)
);
\m_payload_i[55]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => skid_buffer(55)
);
\m_payload_i[56]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => skid_buffer(56)
);
\m_payload_i[57]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => skid_buffer(57)
);
\m_payload_i[58]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => skid_buffer(58)
);
\m_payload_i[59]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => skid_buffer(59)
);
\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => skid_buffer(5)
);
\m_payload_i[60]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => skid_buffer(60)
);
\m_payload_i[61]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => skid_buffer(61)
);
\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => skid_buffer(6)
);
\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => skid_buffer(7)
);
\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => skid_buffer(8)
);
\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => skid_buffer(9)
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(0),
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(10),
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(11),
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(12),
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(13),
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(14),
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(15),
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(16),
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(17),
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(18),
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(19),
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(1),
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(20),
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(21),
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(22),
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(23),
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(24),
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(25),
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(26),
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(27),
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(28),
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(29),
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(2),
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(30),
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(31),
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(32),
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(33),
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(34),
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(35),
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(36),
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(38),
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(39),
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(3),
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(44),
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(45),
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(46),
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(47),
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(4),
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(50),
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(51),
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(52),
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(53),
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(54),
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(55),
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(56),
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(57),
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(58),
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(59),
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(5),
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(60),
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(61),
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(6),
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(7),
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(8),
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(9),
Q => \^q\(9),
R => '0'
);
m_valid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => b_push,
I1 => \^m_valid_i_reg_0\,
I2 => s_axi_awvalid,
I3 => \^s_axi_awready\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^m_valid_i_reg_0\,
R => \aresetn_d_reg[1]_inv_0\
);
next_pending_r_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \^q\(41),
I1 => \^q\(40),
I2 => \^q\(42),
I3 => \^q\(39),
O => next_pending_r_reg
);
\s_ready_i_i_1__1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
O => \^s_ready_i_reg_0\
);
s_ready_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_awvalid,
I1 => \^s_axi_awready\,
I2 => b_push,
I3 => \^m_valid_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_awready\,
R => \^s_ready_i_reg_0\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A0A002A2AAAA02A2"
)
port map (
I0 => \^q\(2),
I1 => \^q\(41),
I2 => \^q\(35),
I3 => \^q\(40),
I4 => \^q\(36),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"002A0A2AA02AAA2A"
)
port map (
I0 => \^q\(4),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
I4 => \^q\(41),
I5 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(36),
I2 => \^q\(35),
I3 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"DDDDD8DDAAAAA8AA"
)
port map (
I0 => \wrap_second_len_r[0]_i_2_n_0\,
I1 => \wrap_second_len_r[0]_i_3_n_0\,
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \wrap_second_len_r_reg[3]\(0),
O => D(0)
);
\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wrap_second_len_r_reg[1]\,
I1 => \wrap_cnt_r[3]_i_2_n_0\,
O => D(1)
);
\wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^wrap_second_len\(1),
I1 => \wrap_cnt_r[3]_i_2_n_0\,
I2 => \^wrap_second_len_r_reg[1]\,
O => D(2)
);
\wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"A6AA"
)
port map (
I0 => \^wrap_second_len\(2),
I1 => \^wrap_second_len_r_reg[1]\,
I2 => \wrap_cnt_r[3]_i_2_n_0\,
I3 => \^wrap_second_len\(1),
O => D(3)
);
\wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAABAAA"
)
port map (
I0 => \wrap_cnt_r[3]_i_3_n_0\,
I1 => \^axaddr_offset_r_reg[1]\,
I2 => \axaddr_offset_r[0]_i_2_n_0\,
I3 => \axaddr_offset_r[2]_i_2_n_0\,
I4 => \^axaddr_offset_r_reg[3]\,
O => \wrap_cnt_r[3]_i_2_n_0\
);
\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000800FFFFF8FF"
)
port map (
I0 => \^q\(39),
I1 => \axaddr_offset_r[0]_i_3_n_0\,
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \wrap_second_len_r_reg[3]\(0),
O => \wrap_cnt_r[3]_i_3_n_0\
);
\wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000CCCCCACC"
)
port map (
I0 => \wrap_second_len_r[0]_i_2_n_0\,
I1 => \wrap_second_len_r_reg[3]\(0),
I2 => \state_reg[1]\(0),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(1),
I5 => \wrap_second_len_r[0]_i_3_n_0\,
O => \^wrap_second_len\(0)
);
\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFF2FFFFFF"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(3),
I1 => \state_reg[1]_rep\,
I2 => \wrap_second_len_r[3]_i_2_n_0\,
I3 => \axaddr_offset_r[2]_i_2_n_0\,
I4 => \axaddr_offset_r[0]_i_2_n_0\,
I5 => \^axaddr_offset_r_reg[1]\,
O => \wrap_second_len_r[0]_i_2_n_0\
);
\wrap_second_len_r[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000FFE200E2"
)
port map (
I0 => \^q\(0),
I1 => \^q\(36),
I2 => \^q\(2),
I3 => \^q\(35),
I4 => \wrap_second_len_r[0]_i_4_n_0\,
I5 => \wrap_second_len_r[0]_i_5_n_0\,
O => \wrap_second_len_r[0]_i_3_n_0\
);
\wrap_second_len_r[0]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(3),
I1 => \^q\(36),
I2 => \^q\(1),
O => \wrap_second_len_r[0]_i_4_n_0\
);
\wrap_second_len_r[0]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(39),
I1 => \state_reg[1]\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]\(1),
O => \wrap_second_len_r[0]_i_5_n_0\
);
\wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"2EE22E222EE22EE2"
)
port map (
I0 => \wrap_second_len_r_reg[3]\(1),
I1 => \state_reg[1]_rep\,
I2 => \axaddr_offset_r[0]_i_2_n_0\,
I3 => \^axaddr_offset_r_reg[1]\,
I4 => \^axaddr_offset_r_reg[3]\,
I5 => \axaddr_offset_r[2]_i_2_n_0\,
O => \^wrap_second_len_r_reg[1]\
);
\wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"08F3FFFF08F30000"
)
port map (
I0 => \^axaddr_offset_r_reg[3]\,
I1 => \axaddr_offset_r[0]_i_2_n_0\,
I2 => \^axaddr_offset_r_reg[1]\,
I3 => \axaddr_offset_r[2]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]\(2),
O => \^wrap_second_len\(1)
);
\wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BF00FFFFBF00BF00"
)
port map (
I0 => \^axaddr_offset_r_reg[1]\,
I1 => \axaddr_offset_r[0]_i_2_n_0\,
I2 => \axaddr_offset_r[2]_i_2_n_0\,
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]\(3),
O => \^wrap_second_len\(2)
);
\wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EEE222E2"
)
port map (
I0 => \axaddr_offset_r[2]_i_4_n_0\,
I1 => \^q\(35),
I2 => \^q\(4),
I3 => \^q\(36),
I4 => \^q\(6),
I5 => \^axlen_cnt_reg[3]\,
O => \wrap_second_len_r[3]_i_2_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice__parameterized1\ is
port (
s_axi_bvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
shandshake : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_14_axic_register_slice";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice__parameterized1\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice__parameterized1\ is
signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair82";
attribute SOFT_HLUTNM of shandshake_r_i_1 : label is "soft_lutpair82";
begin
s_axi_bvalid <= \^s_axi_bvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__1_n_0\
);
\m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__1_n_0\
);
\m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__1_n_0\
);
\m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__1_n_0\
);
\m_payload_i[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
O => p_1_in
);
\m_payload_i[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_2_n_0\
);
\m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__1_n_0\
);
\m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__1_n_0\
);
\m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__1_n_0\
);
\m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__1_n_0\
);
\m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__1_n_0\
);
\m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__1_n_0\
);
\m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__1_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_2_n_0\,
Q => \s_axi_bid[11]\(13),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(1),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(2),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(9),
R => '0'
);
\m_valid_i_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => si_rs_bvalid,
I3 => \^skid_buffer_reg[0]_0\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_axi_bvalid\,
R => \aresetn_d_reg[1]_inv\
);
s_ready_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => si_rs_bvalid,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_bready,
I3 => \^s_axi_bvalid\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
shandshake_r_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => si_rs_bvalid,
O => shandshake
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(8),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(9),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(10),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(11),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(0),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(1),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(2),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(3),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(4),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(5),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(6),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(7),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice__parameterized2\ is
port (
s_axi_rvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\cnt_read_reg[0]_rep__1\ : out STD_LOGIC;
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
\cnt_read_reg[3]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_14_axic_register_slice";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice__parameterized2\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice__parameterized2\ is
signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC;
signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[3]_i_2\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair113";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair113";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair110";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair110";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \m_valid_i_i_1__2\ : label is "soft_lutpair90";
begin
s_axi_rvalid <= \^s_axi_rvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\cnt_read[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[3]_rep__0\,
O => \cnt_read_reg[0]_rep__1\
);
\m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__2_n_0\
);
\m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__2_n_0\
);
\m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__2_n_0\
);
\m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__2_n_0\
);
\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(13),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__2_n_0\
);
\m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(14),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__1_n_0\
);
\m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(15),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__1_n_0\
);
\m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(16),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__1_n_0\
);
\m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(17),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__1_n_0\
);
\m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(18),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__1_n_0\
);
\m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(19),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__1_n_0\
);
\m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__2_n_0\
);
\m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(20),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__1_n_0\
);
\m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(21),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__1_n_0\
);
\m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(22),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__1_n_0\
);
\m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(23),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__1_n_0\
);
\m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(24),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__1_n_0\
);
\m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(25),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__1_n_0\
);
\m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(26),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__1_n_0\
);
\m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(27),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__1_n_0\
);
\m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(28),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__1_n_0\
);
\m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(29),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__2_n_0\
);
\m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(30),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__1_n_0\
);
\m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(31),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_1__1_n_0\
);
\m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(32),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__1_n_0\
);
\m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(33),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__1_n_0\
);
\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__1_n_0\
);
\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__1_n_0\
);
\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__1_n_0\
);
\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[37]\,
O => \m_payload_i[37]_i_1_n_0\
);
\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__1_n_0\
);
\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__2_n_0\
);
\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[40]\,
O => \m_payload_i[40]_i_1_n_0\
);
\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[41]\,
O => \m_payload_i[41]_i_1_n_0\
);
\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[42]\,
O => \m_payload_i[42]_i_1_n_0\
);
\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[43]\,
O => \m_payload_i[43]_i_1_n_0\
);
\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__1_n_0\
);
\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__1_n_0\
);
\m_payload_i[46]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
O => p_1_in
);
\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_2_n_0\
);
\m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__2_n_0\
);
\m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__2_n_0\
);
\m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__2_n_0\
);
\m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__2_n_0\
);
\m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__2_n_0\
);
\m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__2_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[14]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[15]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[16]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[17]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[18]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[19]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[20]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[21]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[22]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[23]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[24]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[25]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[26]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[27]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[28]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[29]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[30]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[31]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[32]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[33]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[34]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[35]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[36]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(36),
R => '0'
);
\m_payload_i_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[37]_i_1_n_0\,
Q => \s_axi_rid[11]\(37),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[38]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(38),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[39]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(39),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(3),
R => '0'
);
\m_payload_i_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[40]_i_1_n_0\,
Q => \s_axi_rid[11]\(40),
R => '0'
);
\m_payload_i_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[41]_i_1_n_0\,
Q => \s_axi_rid[11]\(41),
R => '0'
);
\m_payload_i_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[42]_i_1_n_0\,
Q => \s_axi_rid[11]\(42),
R => '0'
);
\m_payload_i_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[43]_i_1_n_0\,
Q => \s_axi_rid[11]\(43),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[44]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(44),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[45]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(45),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[46]_i_2_n_0\,
Q => \s_axi_rid[11]\(46),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(9),
R => '0'
);
\m_valid_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4FFF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => \^skid_buffer_reg[0]_0\,
I3 => \cnt_read_reg[3]_rep__0\,
O => \m_valid_i_i_1__2_n_0\
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \m_valid_i_i_1__2_n_0\,
Q => \^s_axi_rvalid\,
R => \aresetn_d_reg[1]_inv\
);
\s_ready_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F8FF"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[3]_rep__0\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \s_ready_i_i_1__2_n_0\
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \s_ready_i_i_1__2_n_0\,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(32),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(33),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(0),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(1),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(2),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(3),
Q => \skid_buffer_reg_n_0_[37]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(4),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(5),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(6),
Q => \skid_buffer_reg_n_0_[40]\,
R => '0'
);
\skid_buffer_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(7),
Q => \skid_buffer_reg_n_0_[41]\,
R => '0'
);
\skid_buffer_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(8),
Q => \skid_buffer_reg_n_0_[42]\,
R => '0'
);
\skid_buffer_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(9),
Q => \skid_buffer_reg_n_0_[43]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(10),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(11),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(12),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_b_channel is
port (
si_rs_bvalid : out STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
areset_d1 : in STD_LOGIC;
shandshake : in STD_LOGIC;
aclk : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_b_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_b_channel is
signal bid_fifo_0_n_3 : STD_LOGIC;
signal bid_fifo_0_n_5 : STD_LOGIC;
signal \bresp_cnt[7]_i_7_n_0\ : STD_LOGIC;
signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal bresp_push : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mhandshake : STD_LOGIC;
signal mhandshake_r : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s_bresp_acc0 : STD_LOGIC;
signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC;
signal shandshake_r : STD_LOGIC;
signal \^si_rs_bvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair132";
attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair132";
attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair130";
attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair130";
attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair131";
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair131";
begin
si_rs_bvalid <= \^si_rs_bvalid\;
bid_fifo_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo
port map (
D(0) => bid_fifo_0_n_5,
Q(1 downto 0) => cnt_read(1 downto 0),
SR(0) => s_bresp_acc0,
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0),
bresp_push => bresp_push,
bvalid_i_reg => bid_fifo_0_n_3,
\cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\,
\in\(15 downto 0) => \in\(15 downto 0),
mhandshake_r => mhandshake_r,
\out\(11 downto 0) => \out\(11 downto 0),
shandshake_r => shandshake_r,
si_rs_bready => si_rs_bready,
si_rs_bvalid => \^si_rs_bvalid\,
\state_reg[0]_rep\ => \state_reg[0]_rep\
);
\bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
O => p_0_in(0)
);
\bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
I1 => \bresp_cnt_reg__0\(1),
O => p_0_in(1)
);
\bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(2),
I1 => \bresp_cnt_reg__0\(1),
I2 => \bresp_cnt_reg__0\(0),
O => p_0_in(2)
);
\bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \bresp_cnt_reg__0\(3),
I1 => \bresp_cnt_reg__0\(0),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(2),
O => p_0_in(3)
);
\bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(4),
I1 => \bresp_cnt_reg__0\(2),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(3),
O => p_0_in(4)
);
\bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => p_0_in(5)
);
\bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(6),
I1 => \bresp_cnt[7]_i_7_n_0\,
O => p_0_in(6)
);
\bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(7),
I1 => \bresp_cnt[7]_i_7_n_0\,
I2 => \bresp_cnt_reg__0\(6),
O => p_0_in(7)
);
\bresp_cnt[7]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => \bresp_cnt[7]_i_7_n_0\
);
\bresp_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(0),
Q => \bresp_cnt_reg__0\(0),
R => s_bresp_acc0
);
\bresp_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(1),
Q => \bresp_cnt_reg__0\(1),
R => s_bresp_acc0
);
\bresp_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(2),
Q => \bresp_cnt_reg__0\(2),
R => s_bresp_acc0
);
\bresp_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(3),
Q => \bresp_cnt_reg__0\(3),
R => s_bresp_acc0
);
\bresp_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(4),
Q => \bresp_cnt_reg__0\(4),
R => s_bresp_acc0
);
\bresp_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(5),
Q => \bresp_cnt_reg__0\(5),
R => s_bresp_acc0
);
\bresp_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(6),
Q => \bresp_cnt_reg__0\(6),
R => s_bresp_acc0
);
\bresp_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(7),
Q => \bresp_cnt_reg__0\(7),
R => s_bresp_acc0
);
bresp_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized0\
port map (
D(0) => bid_fifo_0_n_5,
Q(1 downto 0) => cnt_read(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(1) => \s_bresp_acc_reg_n_0_[1]\,
\in\(0) => \s_bresp_acc_reg_n_0_[0]\,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
mhandshake => mhandshake,
mhandshake_r => mhandshake_r,
sel => bresp_push,
shandshake_r => shandshake_r,
\skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0)
);
bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => bid_fifo_0_n_3,
Q => \^si_rs_bvalid\,
R => '0'
);
mhandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => mhandshake,
Q => mhandshake_r,
R => areset_d1
);
\s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EACECCCC"
)
port map (
I0 => m_axi_bresp(0),
I1 => \s_bresp_acc_reg_n_0_[0]\,
I2 => \s_bresp_acc_reg_n_0_[1]\,
I3 => m_axi_bresp(1),
I4 => mhandshake,
I5 => s_bresp_acc0,
O => \s_bresp_acc[0]_i_1_n_0\
);
\s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00EA"
)
port map (
I0 => \s_bresp_acc_reg_n_0_[1]\,
I1 => m_axi_bresp(1),
I2 => mhandshake,
I3 => s_bresp_acc0,
O => \s_bresp_acc[1]_i_1_n_0\
);
\s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[0]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[0]\,
R => '0'
);
\s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[1]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[1]\,
R => '0'
);
shandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => shandshake,
Q => shandshake_r,
R => areset_d1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_cmd_translator is
port (
next_pending_r_reg : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
next_pending_r_reg_1 : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
wrap_next_pending : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_cmd_translator;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_cmd_translator is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_3 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
begin
incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_incr_cmd
port map (
E(0) => E(0),
Q(1 downto 0) => Q(1 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[0]_0\ => sel_first_0,
\axaddr_incr_reg[11]_0\(9) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]_0\(8) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_12,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
incr_next_pending => incr_next_pending,
\m_axi_awaddr[11]\ => incr_cmd_0_n_13,
\m_axi_awaddr[2]\ => incr_cmd_0_n_15,
\m_axi_awaddr[3]\ => incr_cmd_0_n_14,
\m_payload_i_reg[46]\(9 downto 7) => \m_payload_i_reg[46]\(18 downto 16),
\m_payload_i_reg[46]\(6 downto 4) => \m_payload_i_reg[46]\(14 downto 12),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[46]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
\memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[46]\(15),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wrap_cmd
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(1 downto 0) => Q(1 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\(9) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]\(8) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_12,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[46]\(17 downto 14) => \m_payload_i_reg[46]\(18 downto 15),
\m_payload_i_reg[46]\(13 downto 0) => \m_payload_i_reg[46]\(13 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
next_pending_r_reg_0 => next_pending_r_reg_0,
next_pending_r_reg_1 => next_pending_r_reg_1,
sel_first_reg_0 => sel_first,
sel_first_reg_1 => sel_first_reg_2,
sel_first_reg_2 => incr_cmd_0_n_13,
sel_first_reg_3 => incr_cmd_0_n_14,
sel_first_reg_4 => incr_cmd_0_n_15,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_cmd_translator_1 is
port (
incr_next_pending : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
r_rlast : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
wrap_next_pending : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[44]\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\m_payload_i_reg[35]\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 );
sel_first_reg_4 : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_14_b2s_cmd_translator";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_cmd_translator_1;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_cmd_translator_1 is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_3 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \state[1]_i_2\ : label is "soft_lutpair14";
begin
incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_incr_cmd_2
port map (
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(11) => incr_cmd_0_n_3,
Q(10) => incr_cmd_0_n_4,
Q(9) => incr_cmd_0_n_5,
Q(8) => incr_cmd_0_n_6,
Q(7) => incr_cmd_0_n_7,
Q(6) => incr_cmd_0_n_8,
Q(5) => incr_cmd_0_n_9,
Q(4) => incr_cmd_0_n_10,
Q(3) => incr_cmd_0_n_11,
Q(2) => incr_cmd_0_n_12,
Q(1) => incr_cmd_0_n_13,
Q(0) => incr_cmd_0_n_14,
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[0]_0\ => sel_first,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
incr_next_pending => incr_next_pending,
\m_axi_araddr[11]\ => incr_cmd_0_n_15,
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[44]\ => \m_payload_i_reg[44]\,
\m_payload_i_reg[46]\(9 downto 7) => Q(18 downto 16),
\m_payload_i_reg[46]\(6 downto 4) => Q(14 downto 12),
\m_payload_i_reg[46]\(3 downto 0) => Q(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
sel_first_reg_0 => sel_first_reg_2,
sel_first_reg_1(0) => sel_first_reg_4(0),
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\ => \state_reg[1]\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]_0\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
r_rlast_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => s_axburst_eq0,
I1 => Q(15),
I2 => s_axburst_eq1,
O => r_rlast
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
\state[1]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => Q(15),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wrap_cmd_3
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(17 downto 14) => Q(18 downto 15),
Q(13 downto 0) => Q(13 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\(11) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_14,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]_0\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_payload_i_reg[35]\ => \m_payload_i_reg[35]\,
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
sel_first_reg_1 => sel_first_reg_3,
sel_first_reg_2 => incr_cmd_0_n_15,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]_rep\ => \state_reg[1]_rep\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_r_channel is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
r_push : in STD_LOGIC;
aclk : in STD_LOGIC;
r_rlast : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_r_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_r_channel is
signal \^m_valid_i_reg\ : STD_LOGIC;
signal r_push_r : STD_LOGIC;
signal rd_data_fifo_0_n_0 : STD_LOGIC;
signal rd_data_fifo_0_n_2 : STD_LOGIC;
signal rd_data_fifo_0_n_3 : STD_LOGIC;
signal rd_data_fifo_0_n_5 : STD_LOGIC;
signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 );
signal transaction_fifo_0_n_2 : STD_LOGIC;
signal wr_en0 : STD_LOGIC;
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\r_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => trans_in(1),
R => '0'
);
\r_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(10),
Q => trans_in(11),
R => '0'
);
\r_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(11),
Q => trans_in(12),
R => '0'
);
\r_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => trans_in(2),
R => '0'
);
\r_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => trans_in(3),
R => '0'
);
\r_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => trans_in(4),
R => '0'
);
\r_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(4),
Q => trans_in(5),
R => '0'
);
\r_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(5),
Q => trans_in(6),
R => '0'
);
\r_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(6),
Q => trans_in(7),
R => '0'
);
\r_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(7),
Q => trans_in(8),
R => '0'
);
\r_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(8),
Q => trans_in(9),
R => '0'
);
\r_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(9),
Q => trans_in(10),
R => '0'
);
r_push_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_push,
Q => r_push_r,
R => '0'
);
r_rlast_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_rlast,
Q => trans_in(0),
R => '0'
);
rd_data_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized1\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[3]_rep__0_0\ => \^m_valid_i_reg\,
\cnt_read_reg[3]_rep__2_0\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2,
\cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_3,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
\out\(33 downto 0) => \out\(33 downto 0),
s_ready_i_reg => s_ready_i_reg,
s_ready_i_reg_0 => transaction_fifo_0_n_2,
si_rs_rready => si_rs_rready,
\state_reg[1]_rep\ => rd_data_fifo_0_n_5,
wr_en0 => wr_en0
);
transaction_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_simple_fifo__parameterized2\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[0]_rep__2\ => rd_data_fifo_0_n_5,
\cnt_read_reg[2]_rep__2\ => rd_data_fifo_0_n_3,
\cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2\ => transaction_fifo_0_n_2,
\cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2,
\in\(12 downto 0) => trans_in(12 downto 0),
m_valid_i_reg => \^m_valid_i_reg\,
r_push_r => r_push_r,
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
wr_en0 => wr_en0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axi_register_slice is
port (
s_axi_awready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
si_rs_awvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
si_rs_bready : out STD_LOGIC;
si_rs_arvalid : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
si_rs_rready : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 3 downto 0 );
wrap_second_len : out STD_LOGIC_VECTOR ( 3 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 53 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
axaddr_offset : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axlen_cnt_reg[3]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
shandshake : out STD_LOGIC;
\wrap_second_len_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[1]\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC;
\axlen_cnt_reg[3]_0\ : out STD_LOGIC;
\cnt_read_reg[0]_rep__1\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
aresetn : in STD_LOGIC;
\cnt_read_reg[3]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
axaddr_offset_0 : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
\wrap_second_len_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_rep_2\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axi_register_slice;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axi_register_slice is
signal \gen_simple_ar.ar_pipe_n_2\ : STD_LOGIC;
signal \gen_simple_aw.aw_pipe_n_1\ : STD_LOGIC;
signal \gen_simple_aw.aw_pipe_n_91\ : STD_LOGIC;
begin
\gen_simple_ar.ar_pipe\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice
port map (
O(3 downto 0) => O(3 downto 0),
Q(53 downto 0) => \s_arid_r_reg[11]\(53 downto 0),
aclk => aclk,
\aresetn_d_reg[0]\ => \gen_simple_aw.aw_pipe_n_1\,
\aresetn_d_reg[0]_0\ => \gen_simple_aw.aw_pipe_n_91\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
axaddr_offset_0(0) => axaddr_offset_0(0),
\axaddr_offset_r_reg[0]\ => \axaddr_offset_r_reg[0]\,
\axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]\,
\axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0),
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\,
\m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
m_valid_i0 => m_valid_i0,
m_valid_i_reg_0 => \gen_simple_ar.ar_pipe_n_2\,
next_pending_r_reg => next_pending_r_reg_0,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_ready_i_reg_0 => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]_rep\ => \state_reg[1]_rep_0\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_1\,
\state_reg[1]_rep_1\(0) => \state_reg[1]_rep_2\(0),
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0),
\wrap_second_len_r_reg[2]\(1 downto 0) => \wrap_second_len_r_reg[2]\(1 downto 0),
\wrap_second_len_r_reg[2]_0\(1 downto 0) => \wrap_second_len_r_reg[2]_0\(1 downto 0),
\wrap_second_len_r_reg[3]\ => \wrap_second_len_r_reg[3]\
);
\gen_simple_aw.aw_pipe\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice_0
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(54 downto 0) => Q(54 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
aresetn => aresetn,
\aresetn_d_reg[1]_inv\ => \gen_simple_aw.aw_pipe_n_91\,
\aresetn_d_reg[1]_inv_0\ => \gen_simple_ar.ar_pipe_n_2\,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(1) => axaddr_offset(2),
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[1]\ => axaddr_offset(1),
\axaddr_offset_r_reg[3]\ => axaddr_offset(3),
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0),
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\,
b_push => b_push,
m_valid_i_reg_0 => si_rs_awvalid,
next_pending_r_reg => next_pending_r_reg,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_ready_i_reg_0 => \gen_simple_aw.aw_pipe_n_1\,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0),
wrap_second_len(2 downto 1) => wrap_second_len(3 downto 2),
wrap_second_len(0) => wrap_second_len(0),
\wrap_second_len_r_reg[1]\ => wrap_second_len(1),
\wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0)
);
\gen_simple_b.b_pipe\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice__parameterized1\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \gen_simple_aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \gen_simple_ar.ar_pipe_n_2\,
\out\(11 downto 0) => \out\(11 downto 0),
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0),
shandshake => shandshake,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[0]_0\ => si_rs_bready
);
\gen_simple_r.r_pipe\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axic_register_slice__parameterized2\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \gen_simple_aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \gen_simple_ar.ar_pipe_n_2\,
\cnt_read_reg[0]_rep__1\ => \cnt_read_reg[0]_rep__1\,
\cnt_read_reg[3]_rep__0\ => \cnt_read_reg[3]_rep__0\,
\cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0),
r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0),
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\skid_buffer_reg[0]_0\ => si_rs_rready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_ar_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\wrap_second_len_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
axaddr_offset : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
r_push : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
r_rlast : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 30 downto 0 );
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[35]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[35]_0\ : in STD_LOGIC;
\m_payload_i_reg[3]\ : in STD_LOGIC;
\m_payload_i_reg[44]\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_ar_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_ar_channel is
signal ar_cmd_fsm_0_n_0 : STD_LOGIC;
signal ar_cmd_fsm_0_n_11 : STD_LOGIC;
signal ar_cmd_fsm_0_n_14 : STD_LOGIC;
signal ar_cmd_fsm_0_n_16 : STD_LOGIC;
signal ar_cmd_fsm_0_n_17 : STD_LOGIC;
signal ar_cmd_fsm_0_n_18 : STD_LOGIC;
signal ar_cmd_fsm_0_n_21 : STD_LOGIC;
signal ar_cmd_fsm_0_n_3 : STD_LOGIC;
signal ar_cmd_fsm_0_n_4 : STD_LOGIC;
signal ar_cmd_fsm_0_n_5 : STD_LOGIC;
signal ar_cmd_fsm_0_n_6 : STD_LOGIC;
signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_1 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_4 : STD_LOGIC;
signal cmd_translator_0_n_5 : STD_LOGIC;
signal cmd_translator_0_n_6 : STD_LOGIC;
signal cmd_translator_0_n_8 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \^r_push\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal state : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal wrap_next_pending : STD_LOGIC;
begin
axaddr_offset(0) <= \^axaddr_offset\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push <= \^r_push\;
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
ar_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_rd_cmd_fsm
port map (
D(2) => ar_cmd_fsm_0_n_3,
D(1) => ar_cmd_fsm_0_n_4,
D(0) => ar_cmd_fsm_0_n_5,
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(1 downto 0) => state(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[0]\(0) => ar_cmd_fsm_0_n_21,
\axaddr_offset_r_reg[0]\(0) => \^axaddr_offset\(0),
\axaddr_offset_r_reg[3]\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0),
\axlen_cnt_reg[3]\ => cmd_translator_0_n_6,
\axlen_cnt_reg[4]\(0) => ar_cmd_fsm_0_n_16,
\axlen_cnt_reg[6]\ => cmd_translator_0_n_5,
\axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \m_payload_i_reg[0]\,
\m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\,
\m_payload_i_reg[0]_1\(0) => E(0),
\m_payload_i_reg[35]\ => \m_payload_i_reg[35]\,
\m_payload_i_reg[35]_0\ => \m_payload_i_reg[35]_0\,
\m_payload_i_reg[3]\ => \m_payload_i_reg[3]\,
\m_payload_i_reg[44]\(1 downto 0) => Q(16 downto 15),
\m_payload_i_reg[44]_0\ => \m_payload_i_reg[44]\,
\m_payload_i_reg[47]\(1 downto 0) => \m_payload_i_reg[47]_0\(2 downto 1),
m_valid_i0 => m_valid_i0,
next_pending_r_reg => cmd_translator_0_n_1,
r_push_r_reg => \^r_push\,
s_axburst_eq0_reg => ar_cmd_fsm_0_n_11,
s_axburst_eq1_reg => ar_cmd_fsm_0_n_14,
s_axburst_eq1_reg_0 => cmd_translator_0_n_8,
s_axi_arvalid => s_axi_arvalid,
s_ready_i_reg => s_ready_i_reg,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => ar_cmd_fsm_0_n_17,
sel_first_reg_0 => ar_cmd_fsm_0_n_18,
sel_first_reg_1 => cmd_translator_0_n_4,
sel_first_reg_2 => cmd_translator_0_n_2,
si_rs_arvalid => si_rs_arvalid,
\wrap_cnt_r_reg[0]\ => ar_cmd_fsm_0_n_6,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[2]\(1 downto 0) => D(1 downto 0),
\wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len\(3),
\wrap_second_len_r_reg[3]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_0\(1) => \wrap_cmd_0/wrap_second_len_r\(3),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len_r\(0)
);
cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_cmd_translator_1
port map (
D(3 downto 1) => \m_payload_i_reg[47]_0\(2 downto 0),
D(0) => \^axaddr_offset\(0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
O(3 downto 0) => O(3 downto 0),
Q(18 downto 0) => Q(18 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_offset_r_reg[3]\(3 downto 1) => \^axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0),
\axaddr_offset_r_reg[3]_0\ => ar_cmd_fsm_0_n_6,
\axlen_cnt_reg[0]\ => cmd_translator_0_n_5,
\axlen_cnt_reg[0]_0\ => cmd_translator_0_n_6,
incr_next_pending => incr_next_pending,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
\m_payload_i_reg[35]\ => \m_payload_i_reg[35]\,
\m_payload_i_reg[39]\ => ar_cmd_fsm_0_n_11,
\m_payload_i_reg[39]_0\ => ar_cmd_fsm_0_n_14,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0),
\m_payload_i_reg[44]\ => \m_payload_i_reg[44]\,
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => ar_cmd_fsm_0_n_16,
next_pending_r_reg => cmd_translator_0_n_1,
r_rlast => r_rlast,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => cmd_translator_0_n_4,
sel_first_reg_2 => ar_cmd_fsm_0_n_18,
sel_first_reg_3 => ar_cmd_fsm_0_n_17,
sel_first_reg_4(0) => ar_cmd_fsm_0_n_21,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => cmd_translator_0_n_8,
\state_reg[0]_rep_0\ => \^m_payload_i_reg[0]_0\,
\state_reg[1]\ => ar_cmd_fsm_0_n_0,
\state_reg[1]_0\(1 downto 0) => state(1 downto 0),
\state_reg[1]_rep\ => \^r_push\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3) => \wrap_cmd_0/wrap_second_len_r\(3),
\wrap_second_len_r_reg[3]\(2 downto 1) => \wrap_second_len_r_reg[2]\(1 downto 0),
\wrap_second_len_r_reg[3]\(0) => \wrap_cmd_0/wrap_second_len_r\(0),
\wrap_second_len_r_reg[3]_0\(3) => \wrap_cmd_0/wrap_second_len\(3),
\wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_1\(2) => ar_cmd_fsm_0_n_3,
\wrap_second_len_r_reg[3]_1\(1) => ar_cmd_fsm_0_n_4,
\wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_5
);
\s_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(19),
Q => \r_arid_r_reg[11]\(0),
R => '0'
);
\s_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \r_arid_r_reg[11]\(10),
R => '0'
);
\s_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \r_arid_r_reg[11]\(11),
R => '0'
);
\s_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \r_arid_r_reg[11]\(1),
R => '0'
);
\s_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \r_arid_r_reg[11]\(2),
R => '0'
);
\s_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \r_arid_r_reg[11]\(3),
R => '0'
);
\s_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \r_arid_r_reg[11]\(4),
R => '0'
);
\s_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \r_arid_r_reg[11]\(5),
R => '0'
);
\s_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \r_arid_r_reg[11]\(6),
R => '0'
);
\s_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \r_arid_r_reg[11]\(7),
R => '0'
);
\s_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \r_arid_r_reg[11]\(8),
R => '0'
);
\s_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \r_arid_r_reg[11]\(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_aw_channel is
port (
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_boundary_axaddr_r_reg[0]\ : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\in\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\m_payload_i_reg[61]\ : in STD_LOGIC_VECTOR ( 31 downto 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_aw_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_aw_channel is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal aw_cmd_fsm_0_n_0 : STD_LOGIC;
signal aw_cmd_fsm_0_n_10 : STD_LOGIC;
signal aw_cmd_fsm_0_n_11 : STD_LOGIC;
signal aw_cmd_fsm_0_n_12 : STD_LOGIC;
signal aw_cmd_fsm_0_n_3 : STD_LOGIC;
signal aw_cmd_fsm_0_n_5 : STD_LOGIC;
signal aw_cmd_fsm_0_n_6 : STD_LOGIC;
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_1 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_5 : STD_LOGIC;
signal cmd_translator_0_n_6 : STD_LOGIC;
signal cmd_translator_0_n_7 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal sel_first : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^wrap_boundary_axaddr_r_reg[0]\ : STD_LOGIC;
signal wrap_next_pending : STD_LOGIC;
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\wrap_boundary_axaddr_r_reg[0]\ <= \^wrap_boundary_axaddr_r_reg[0]\;
aw_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_wr_cmd_fsm
port map (
E(0) => aw_cmd_fsm_0_n_0,
Q(1 downto 0) => \^q\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axlen_cnt_reg[0]\ => aw_cmd_fsm_0_n_3,
\axlen_cnt_reg[1]\ => cmd_translator_0_n_7,
\axlen_cnt_reg[6]\ => cmd_translator_0_n_5,
\axlen_cnt_reg[7]\ => aw_cmd_fsm_0_n_5,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0_0\,
incr_next_pending => incr_next_pending,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[0]\(0) => E(0),
\m_payload_i_reg[39]\(0) => \m_payload_i_reg[61]\(15),
\m_payload_i_reg[46]\ => \m_payload_i_reg[46]\,
next_pending_r_reg => cmd_translator_0_n_0,
next_pending_r_reg_0 => cmd_translator_0_n_1,
s_axburst_eq0_reg => aw_cmd_fsm_0_n_6,
s_axburst_eq1_reg => aw_cmd_fsm_0_n_10,
s_axburst_eq1_reg_0 => cmd_translator_0_n_6,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => aw_cmd_fsm_0_n_11,
sel_first_reg_0 => aw_cmd_fsm_0_n_12,
sel_first_reg_1 => cmd_translator_0_n_2,
si_rs_awvalid => si_rs_awvalid,
\wrap_boundary_axaddr_r_reg[0]\(0) => \^wrap_boundary_axaddr_r_reg[0]\,
wrap_next_pending => wrap_next_pending
);
cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_cmd_translator
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[0]\,
Q(1 downto 0) => \^q\(1 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_offset_r_reg[3]\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axlen_cnt_reg[0]\ => cmd_translator_0_n_5,
incr_next_pending => incr_next_pending,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_6,
\m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_10,
\m_payload_i_reg[46]\(18 downto 0) => \m_payload_i_reg[61]\(18 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
next_pending_r_reg => cmd_translator_0_n_0,
next_pending_r_reg_0 => cmd_translator_0_n_1,
next_pending_r_reg_1 => cmd_translator_0_n_7,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => aw_cmd_fsm_0_n_12,
sel_first_reg_2 => aw_cmd_fsm_0_n_11,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => aw_cmd_fsm_0_n_0,
\state_reg[0]_rep\ => cmd_translator_0_n_6,
\state_reg[0]_rep_0\ => aw_cmd_fsm_0_n_5,
\state_reg[1]_rep\ => aw_cmd_fsm_0_n_3,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
\s_awid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(20),
Q => \in\(4),
R => '0'
);
\s_awid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(30),
Q => \in\(14),
R => '0'
);
\s_awid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(31),
Q => \in\(15),
R => '0'
);
\s_awid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(21),
Q => \in\(5),
R => '0'
);
\s_awid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(22),
Q => \in\(6),
R => '0'
);
\s_awid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(23),
Q => \in\(7),
R => '0'
);
\s_awid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(24),
Q => \in\(8),
R => '0'
);
\s_awid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(25),
Q => \in\(9),
R => '0'
);
\s_awid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(26),
Q => \in\(10),
R => '0'
);
\s_awid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(27),
Q => \in\(11),
R => '0'
);
\s_awid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(28),
Q => \in\(12),
R => '0'
);
\s_awid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(29),
Q => \in\(13),
R => '0'
);
\s_awlen_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(16),
Q => \in\(0),
R => '0'
);
\s_awlen_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(17),
Q => \in\(1),
R => '0'
);
\s_awlen_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(18),
Q => \in\(2),
R => '0'
);
\s_awlen_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(19),
Q => \in\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_arready : out STD_LOGIC;
\m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_arready : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
aclk : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awready : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
aresetn : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s is
signal \RD.ar_channel_0_n_0\ : STD_LOGIC;
signal \RD.ar_channel_0_n_38\ : STD_LOGIC;
signal \RD.ar_channel_0_n_39\ : STD_LOGIC;
signal \RD.ar_channel_0_n_40\ : STD_LOGIC;
signal \RD.ar_channel_0_n_41\ : STD_LOGIC;
signal \RD.ar_channel_0_n_8\ : STD_LOGIC;
signal \RD.ar_channel_0_n_9\ : STD_LOGIC;
signal \RD.r_channel_0_n_0\ : STD_LOGIC;
signal \RD.r_channel_0_n_1\ : STD_LOGIC;
signal SI_REG_n_10 : STD_LOGIC;
signal SI_REG_n_103 : STD_LOGIC;
signal SI_REG_n_141 : STD_LOGIC;
signal SI_REG_n_142 : STD_LOGIC;
signal SI_REG_n_143 : STD_LOGIC;
signal SI_REG_n_144 : STD_LOGIC;
signal SI_REG_n_145 : STD_LOGIC;
signal SI_REG_n_146 : STD_LOGIC;
signal SI_REG_n_147 : STD_LOGIC;
signal SI_REG_n_148 : STD_LOGIC;
signal SI_REG_n_153 : STD_LOGIC;
signal SI_REG_n_154 : STD_LOGIC;
signal SI_REG_n_161 : STD_LOGIC;
signal SI_REG_n_162 : STD_LOGIC;
signal SI_REG_n_163 : STD_LOGIC;
signal SI_REG_n_164 : STD_LOGIC;
signal SI_REG_n_165 : STD_LOGIC;
signal SI_REG_n_166 : STD_LOGIC;
signal SI_REG_n_167 : STD_LOGIC;
signal SI_REG_n_168 : STD_LOGIC;
signal SI_REG_n_169 : STD_LOGIC;
signal SI_REG_n_170 : STD_LOGIC;
signal SI_REG_n_171 : STD_LOGIC;
signal SI_REG_n_172 : STD_LOGIC;
signal SI_REG_n_173 : STD_LOGIC;
signal SI_REG_n_174 : STD_LOGIC;
signal SI_REG_n_175 : STD_LOGIC;
signal SI_REG_n_176 : STD_LOGIC;
signal SI_REG_n_177 : STD_LOGIC;
signal SI_REG_n_178 : STD_LOGIC;
signal SI_REG_n_179 : STD_LOGIC;
signal SI_REG_n_180 : STD_LOGIC;
signal SI_REG_n_45 : STD_LOGIC;
signal SI_REG_n_83 : STD_LOGIC;
signal SI_REG_n_84 : STD_LOGIC;
signal SI_REG_n_85 : STD_LOGIC;
signal SI_REG_n_86 : STD_LOGIC;
signal \WR.aw_channel_0_n_2\ : STD_LOGIC;
signal \WR.aw_channel_0_n_42\ : STD_LOGIC;
signal \WR.aw_channel_0_n_43\ : STD_LOGIC;
signal \WR.aw_channel_0_n_44\ : STD_LOGIC;
signal \WR.aw_channel_0_n_45\ : STD_LOGIC;
signal \WR.b_channel_0_n_1\ : STD_LOGIC;
signal \WR.b_channel_0_n_2\ : STD_LOGIC;
signal \WR.b_channel_0_n_3\ : STD_LOGIC;
signal areset_d1 : STD_LOGIC;
signal areset_d1_i_1_n_0 : STD_LOGIC;
signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axaddr_incr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal b_push : STD_LOGIC;
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 2 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 2 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \gen_simple_ar.ar_pipe/m_valid_i0\ : STD_LOGIC;
signal \gen_simple_ar.ar_pipe/p_1_in\ : STD_LOGIC;
signal \gen_simple_aw.aw_pipe/p_1_in\ : STD_LOGIC;
signal r_push : STD_LOGIC;
signal r_rlast : STD_LOGIC;
signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal shandshake : STD_LOGIC;
signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_arlen : STD_LOGIC_VECTOR ( 2 downto 0 );
signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_arvalid : STD_LOGIC;
signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_awvalid : STD_LOGIC;
signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_bready : STD_LOGIC;
signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_bvalid : STD_LOGIC;
signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 );
signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_rlast : STD_LOGIC;
signal si_rs_rready : STD_LOGIC;
signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
s_axi_arready <= \^s_axi_arready\;
\RD.ar_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_ar_channel
port map (
D(1 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(2 downto 1),
E(0) => \gen_simple_ar.ar_pipe/p_1_in\,
O(3) => SI_REG_n_145,
O(2) => SI_REG_n_146,
O(1) => SI_REG_n_147,
O(0) => SI_REG_n_148,
Q(30 downto 19) => s_arid(11 downto 0),
Q(18 downto 16) => si_rs_arlen(2 downto 0),
Q(15) => si_rs_arburst(1),
Q(14) => SI_REG_n_103,
Q(13 downto 12) => si_rs_arsize(1 downto 0),
Q(11 downto 0) => si_rs_araddr(11 downto 0),
S(3) => \RD.ar_channel_0_n_38\,
S(2) => \RD.ar_channel_0_n_39\,
S(1) => \RD.ar_channel_0_n_40\,
S(0) => \RD.ar_channel_0_n_41\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(0),
\axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 1),
\cnt_read_reg[1]_rep__0\ => \RD.r_channel_0_n_1\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \RD.ar_channel_0_n_8\,
\m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_9\,
\m_payload_i_reg[35]\ => SI_REG_n_161,
\m_payload_i_reg[35]_0\ => SI_REG_n_163,
\m_payload_i_reg[3]\ => SI_REG_n_173,
\m_payload_i_reg[3]_0\(3) => SI_REG_n_83,
\m_payload_i_reg[3]_0\(2) => SI_REG_n_84,
\m_payload_i_reg[3]_0\(1) => SI_REG_n_85,
\m_payload_i_reg[3]_0\(0) => SI_REG_n_86,
\m_payload_i_reg[44]\ => SI_REG_n_162,
\m_payload_i_reg[47]\ => SI_REG_n_164,
\m_payload_i_reg[47]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 1),
\m_payload_i_reg[6]\(6) => SI_REG_n_166,
\m_payload_i_reg[6]\(5) => SI_REG_n_167,
\m_payload_i_reg[6]\(4) => SI_REG_n_168,
\m_payload_i_reg[6]\(3) => SI_REG_n_169,
\m_payload_i_reg[6]\(2) => SI_REG_n_170,
\m_payload_i_reg[6]\(1) => SI_REG_n_171,
\m_payload_i_reg[6]\(0) => SI_REG_n_172,
\m_payload_i_reg[7]\(3) => SI_REG_n_141,
\m_payload_i_reg[7]\(2) => SI_REG_n_142,
\m_payload_i_reg[7]\(1) => SI_REG_n_143,
\m_payload_i_reg[7]\(0) => SI_REG_n_144,
m_valid_i0 => \gen_simple_ar.ar_pipe/m_valid_i0\,
\r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0),
r_push => r_push,
r_rlast => r_rlast,
s_axi_arvalid => s_axi_arvalid,
s_ready_i_reg => \^s_axi_arready\,
si_rs_arvalid => si_rs_arvalid,
\wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_0\,
\wrap_second_len_r_reg[2]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(2 downto 1)
);
\RD.r_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_r_channel
port map (
D(11 downto 0) => s_arid_r(11 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \RD.r_channel_0_n_0\,
\out\(33 downto 32) => si_rs_rresp(1 downto 0),
\out\(31 downto 0) => si_rs_rdata(31 downto 0),
r_push => r_push,
r_rlast => r_rlast,
s_ready_i_reg => SI_REG_n_165,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0),
\skid_buffer_reg[46]\(0) => si_rs_rlast,
\state_reg[1]_rep\ => \RD.r_channel_0_n_1\
);
SI_REG: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_14_axi_register_slice
port map (
D(3 downto 2) => wrap_cnt(3 downto 2),
D(1) => SI_REG_n_10,
D(0) => wrap_cnt(0),
E(0) => \gen_simple_aw.aw_pipe/p_1_in\,
O(3) => SI_REG_n_145,
O(2) => SI_REG_n_146,
O(1) => SI_REG_n_147,
O(0) => SI_REG_n_148,
Q(54 downto 43) => s_awid(11 downto 0),
Q(42 downto 39) => si_rs_awlen(3 downto 0),
Q(38) => si_rs_awburst(1),
Q(37) => SI_REG_n_45,
Q(36 downto 35) => si_rs_awsize(1 downto 0),
Q(34 downto 12) => Q(22 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_42\,
S(2) => \WR.aw_channel_0_n_43\,
S(1) => \WR.aw_channel_0_n_44\,
S(0) => \WR.aw_channel_0_n_45\,
aclk => aclk,
aresetn => aresetn,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[3]\(3) => SI_REG_n_83,
\axaddr_incr_reg[3]\(2) => SI_REG_n_84,
\axaddr_incr_reg[3]\(1) => SI_REG_n_85,
\axaddr_incr_reg[3]\(0) => SI_REG_n_86,
\axaddr_incr_reg[7]\(3) => SI_REG_n_141,
\axaddr_incr_reg[7]\(2) => SI_REG_n_142,
\axaddr_incr_reg[7]\(1) => SI_REG_n_143,
\axaddr_incr_reg[7]\(0) => SI_REG_n_144,
axaddr_offset(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3 downto 0),
axaddr_offset_0(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(0),
\axaddr_offset_r_reg[0]\ => SI_REG_n_173,
\axaddr_offset_r_reg[1]\ => SI_REG_n_161,
\axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 1),
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_3\(3 downto 0),
\axaddr_offset_r_reg[3]_1\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 1),
\axlen_cnt_reg[3]\ => SI_REG_n_153,
\axlen_cnt_reg[3]_0\ => SI_REG_n_164,
b_push => b_push,
\cnt_read_reg[0]_rep__1\ => SI_REG_n_165,
\cnt_read_reg[3]_rep__0\ => \RD.r_channel_0_n_0\,
\cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0),
\cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0),
\m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_38\,
\m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_39\,
\m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_40\,
\m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_41\,
m_valid_i0 => \gen_simple_ar.ar_pipe/m_valid_i0\,
next_pending_r_reg => SI_REG_n_154,
next_pending_r_reg_0 => SI_REG_n_162,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0),
r_push_r_reg(0) => si_rs_rlast,
\s_arid_r_reg[11]\(53 downto 42) => s_arid(11 downto 0),
\s_arid_r_reg[11]\(41 downto 39) => si_rs_arlen(2 downto 0),
\s_arid_r_reg[11]\(38) => si_rs_arburst(1),
\s_arid_r_reg[11]\(37) => SI_REG_n_103,
\s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0),
\s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0),
\s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0),
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => \^s_axi_arready\,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
shandshake => shandshake,
si_rs_arvalid => si_rs_arvalid,
si_rs_awvalid => si_rs_awvalid,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
si_rs_rready => si_rs_rready,
\state_reg[0]_rep\ => \RD.ar_channel_0_n_9\,
\state_reg[1]\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_rep\ => \WR.aw_channel_0_n_2\,
\state_reg[1]_rep_0\ => \RD.ar_channel_0_n_0\,
\state_reg[1]_rep_1\ => \RD.ar_channel_0_n_8\,
\state_reg[1]_rep_2\(0) => \gen_simple_ar.ar_pipe/p_1_in\,
\wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_166,
\wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_167,
\wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_168,
\wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_169,
\wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_170,
\wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_171,
\wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_172,
\wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_174,
\wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_175,
\wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_176,
\wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_177,
\wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_178,
\wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_179,
\wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_180,
wrap_second_len(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 0),
\wrap_second_len_r_reg[2]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(2 downto 1),
\wrap_second_len_r_reg[2]_0\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(2 downto 1),
\wrap_second_len_r_reg[3]\ => SI_REG_n_163,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_2\(3 downto 0)
);
\WR.aw_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_aw_channel
port map (
D(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3 downto 0),
E(0) => \gen_simple_aw.aw_pipe/p_1_in\,
Q(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
S(3) => \WR.aw_channel_0_n_42\,
S(2) => \WR.aw_channel_0_n_43\,
S(1) => \WR.aw_channel_0_n_44\,
S(0) => \WR.aw_channel_0_n_45\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_offset_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_3\(3 downto 0),
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_3\,
\cnt_read_reg[1]_rep__0_0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[46]\ => SI_REG_n_154,
\m_payload_i_reg[47]\ => SI_REG_n_153,
\m_payload_i_reg[61]\(31 downto 20) => s_awid(11 downto 0),
\m_payload_i_reg[61]\(19 downto 16) => si_rs_awlen(3 downto 0),
\m_payload_i_reg[61]\(15) => si_rs_awburst(1),
\m_payload_i_reg[61]\(14) => SI_REG_n_45,
\m_payload_i_reg[61]\(13 downto 12) => si_rs_awsize(1 downto 0),
\m_payload_i_reg[61]\(11 downto 0) => si_rs_awaddr(11 downto 0),
\m_payload_i_reg[6]\(6) => SI_REG_n_174,
\m_payload_i_reg[6]\(5) => SI_REG_n_175,
\m_payload_i_reg[6]\(4) => SI_REG_n_176,
\m_payload_i_reg[6]\(3) => SI_REG_n_177,
\m_payload_i_reg[6]\(2) => SI_REG_n_178,
\m_payload_i_reg[6]\(1) => SI_REG_n_179,
\m_payload_i_reg[6]\(0) => SI_REG_n_180,
si_rs_awvalid => si_rs_awvalid,
\wrap_boundary_axaddr_r_reg[0]\ => \WR.aw_channel_0_n_2\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_2\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 2) => wrap_cnt(3 downto 2),
\wrap_second_len_r_reg[3]_1\(1) => SI_REG_n_10,
\wrap_second_len_r_reg[3]_1\(0) => wrap_cnt(0)
);
\WR.b_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s_b_channel
port map (
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
shandshake => shandshake,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
\state_reg[0]_rep\ => \WR.b_channel_0_n_3\
);
areset_d1_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aresetn,
O => areset_d1_i_1_n_0
);
areset_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => areset_d1_i_1_n_0,
Q => areset_d1,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 2;
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 2;
attribute P_DECERR : string;
attribute P_DECERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is "2'b11";
attribute P_INCR : string;
attribute P_INCR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter : entity is "2'b10";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axi_wready\ : STD_LOGIC;
signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_wvalid\ : STD_LOGIC;
begin
\^m_axi_wready\ <= m_axi_wready;
\^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0);
\^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0);
\^s_axi_wvalid\ <= s_axi_wvalid;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const1>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(11) <= \<const0>\;
m_axi_arid(10) <= \<const0>\;
m_axi_arid(9) <= \<const0>\;
m_axi_arid(8) <= \<const0>\;
m_axi_arid(7) <= \<const0>\;
m_axi_arid(6) <= \<const0>\;
m_axi_arid(5) <= \<const0>\;
m_axi_arid(4) <= \<const0>\;
m_axi_arid(3) <= \<const0>\;
m_axi_arid(2) <= \<const0>\;
m_axi_arid(1) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const1>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const1>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(11) <= \<const0>\;
m_axi_awid(10) <= \<const0>\;
m_axi_awid(9) <= \<const0>\;
m_axi_awid(8) <= \<const0>\;
m_axi_awid(7) <= \<const0>\;
m_axi_awid(6) <= \<const0>\;
m_axi_awid(5) <= \<const0>\;
m_axi_awid(4) <= \<const0>\;
m_axi_awid(3) <= \<const0>\;
m_axi_awid(2) <= \<const0>\;
m_axi_awid(1) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const1>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0);
m_axi_wid(11) <= \<const0>\;
m_axi_wid(10) <= \<const0>\;
m_axi_wid(9) <= \<const0>\;
m_axi_wid(8) <= \<const0>\;
m_axi_wid(7) <= \<const0>\;
m_axi_wid(6) <= \<const0>\;
m_axi_wid(5) <= \<const0>\;
m_axi_wid(4) <= \<const0>\;
m_axi_wid(3) <= \<const0>\;
m_axi_wid(2) <= \<const0>\;
m_axi_wid(1) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const1>\;
m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0);
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \^s_axi_wvalid\;
s_axi_buser(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_wready <= \^m_axi_wready\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_b2s
port map (
Q(22 downto 20) => m_axi_awprot(2 downto 0),
Q(19 downto 0) => m_axi_awaddr(31 downto 12),
aclk => aclk,
aresetn => aresetn,
\in\(33 downto 32) => m_axi_rresp(1 downto 0),
\in\(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0),
\m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0),
\s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0),
\s_axi_rid[11]\(34) => s_axi_rlast,
\s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0),
\s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "ip_design_auto_pc_0,axi_protocol_converter_v2_1_14_axi_protocol_converter,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_protocol_converter_v2_1_14_axi_protocol_converter,Vivado 2017.3";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of inst : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of inst : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of inst : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of inst : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of inst : label is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of inst : label is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of inst : label is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of inst : label is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of inst : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of inst : label is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of inst : label is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of inst : label is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of inst : label is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of inst : label is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of inst : label is 2;
attribute DowngradeIPIdentifiedWarnings of inst : label is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of inst : label is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of inst : label is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of inst : label is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of inst : label is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of inst : label is 2;
attribute P_DECERR : string;
attribute P_DECERR of inst : label is "2'b11";
attribute P_INCR : string;
attribute P_INCR of inst : label is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of inst : label is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of inst : label is "2'b10";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of aclk : signal is "xilinx.com:signal:clock:1.0 CLK CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of aclk : signal is "XIL_INTERFACENAME CLK, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0, ASSOCIATED_BUSIF S_AXI:M_AXI, ASSOCIATED_RESET ARESETN";
attribute X_INTERFACE_INFO of aresetn : signal is "xilinx.com:signal:reset:1.0 RST RST";
attribute X_INTERFACE_PARAMETER of aresetn : signal is "XIL_INTERFACENAME RST, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARREADY";
attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARVALID";
attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWREADY";
attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWVALID";
attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 M_AXI BREADY";
attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI BVALID";
attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 M_AXI RREADY";
attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME M_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI RVALID";
attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 M_AXI WREADY";
attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI WVALID";
attribute X_INTERFACE_INFO of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
attribute X_INTERFACE_INFO of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
attribute X_INTERFACE_INFO of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
attribute X_INTERFACE_INFO of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
attribute X_INTERFACE_INFO of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
attribute X_INTERFACE_INFO of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
attribute X_INTERFACE_INFO of s_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
attribute X_INTERFACE_INFO of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_rready : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
attribute X_INTERFACE_INFO of s_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
attribute X_INTERFACE_INFO of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
attribute X_INTERFACE_INFO of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARADDR";
attribute X_INTERFACE_INFO of m_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARPROT";
attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWADDR";
attribute X_INTERFACE_INFO of m_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWPROT";
attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI BRESP";
attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI RDATA";
attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI RRESP";
attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI WDATA";
attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 M_AXI WSTRB";
attribute X_INTERFACE_INFO of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
attribute X_INTERFACE_INFO of s_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
attribute X_INTERFACE_INFO of s_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
attribute X_INTERFACE_INFO of s_axi_arid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARID";
attribute X_INTERFACE_INFO of s_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
attribute X_INTERFACE_INFO of s_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
attribute X_INTERFACE_INFO of s_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
attribute X_INTERFACE_INFO of s_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARQOS";
attribute X_INTERFACE_INFO of s_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
attribute X_INTERFACE_INFO of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
attribute X_INTERFACE_INFO of s_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
attribute X_INTERFACE_INFO of s_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
attribute X_INTERFACE_INFO of s_axi_awid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWID";
attribute X_INTERFACE_INFO of s_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
attribute X_INTERFACE_INFO of s_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
attribute X_INTERFACE_INFO of s_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
attribute X_INTERFACE_INFO of s_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWQOS";
attribute X_INTERFACE_INFO of s_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
attribute X_INTERFACE_INFO of s_axi_bid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BID";
attribute X_INTERFACE_INFO of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
attribute X_INTERFACE_INFO of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
attribute X_INTERFACE_INFO of s_axi_rid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RID";
attribute X_INTERFACE_INFO of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
attribute X_INTERFACE_INFO of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
attribute X_INTERFACE_INFO of s_axi_wid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WID";
attribute X_INTERFACE_INFO of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_14_axi_protocol_converter
port map (
aclk => aclk,
aresetn => aresetn,
m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0),
m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0),
m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => m_axi_awvalid,
m_axi_bid(11 downto 0) => B"000000000000",
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_buser(0) => '0',
m_axi_bvalid => m_axi_bvalid,
m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_rid(11 downto 0) => B"000000000000",
m_axi_rlast => '1',
m_axi_rready => m_axi_rready,
m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0),
m_axi_ruser(0) => '0',
m_axi_rvalid => m_axi_rvalid,
m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0),
m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0),
m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED,
m_axi_wready => m_axi_wready,
m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0),
m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => m_axi_wvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_aruser(0) => '0',
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awuser(0) => '0',
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wuser(0) => '0',
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
|
architecture RTL of FIFO is
begin
FOR_LABEL : for i in 0 to 7 generate
begin
END;
end generate;
IF_LABEL : if a = '1' generate
begin
END;
end generate;
CASE_LABEL : case data generate
when choice =>
begin
END;
end generate;
-- Violations below
FOR_LABEL : for i in 0 to 7 generate
begin
END;
end generate;
IF_LABEL : if a = '1' generate
begin
END;
end generate;
CASE_LABEL : case data generate
when choice =>
begin
END;
end generate;
end;
|
--------------------------------------------------------------------------------
--
-- Title : fp23_mult
-- Design : fpfftk
-- Author : Kapitanov
-- Company :
--
-------------------------------------------------------------------------------
--
-- Description : floating point multiplier
--
-------------------------------------------------------------------------------
--
-- Version 1.0 22.02.2013
-- Description:
-- Multiplier for FP - 2DSP48E1 slices
-- 4 clock cycles delay
--
--
-- Version 1.2 15.01.2014
-- Description:
-- 5 clock cycles delay, improved logic
--
-- Version 1.3 24.03.2015
-- Description:
-- Deleted din_en signal
-- This version is fully pipelined with 1 DSP48E1!
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- The MIT License (MIT)
-- Copyright (c) 2016 Kapitanov Alexander
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"),
-- to deal in the Software without restriction, including without limitation
-- the rights to use, copy, modify, merge, publish, distribute, sublicense,
-- and/or sell copies of the Software, and to permit persons to whom the
-- Software is furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
-- THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS
-- IN THE SOFTWARE.
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
library unisim;
use unisim.vcomponents.DSP48E1;
use unisim.vcomponents.DSP48E2;
library work;
use work.reduce_pack.all;
use work.fp_m1_pkg.fp23_data;
entity fp23_mult is
generic(
EXP_DIF : std_logic_vector(5 downto 0):="011111"; -- DIFF_EXP
XSERIES : string:="7SERIES" --! Xilinx series
);
port(
aa : in fp23_data; --! Multiplicand A
bb : in fp23_data; --! Multiplier B
cc : out fp23_data; --! Product C
enable : in std_logic; --! Input data enable
valid : out std_logic; --! Output data valid
reset : in std_logic; --! Reset
clk : in std_logic --! Clock
);
end fp23_mult;
architecture fp23_mult of fp23_mult is
type std_logic_array_4x6 is array(3 downto 0) of std_logic_vector(5 downto 0);
signal man_aa : std_logic_vector(29 downto 0);
signal man_bb : std_logic_vector(17 downto 0);
type std_logic_array_2x5 is array(1 downto 0) of std_logic_vector(5 downto 0);
signal exp_az : std_logic_array_2x5;
signal exp_bz : std_logic_array_2x5;
signal exp_cc : std_logic_vector(5 downto 0);
signal exp_df : std_logic_vector(6 downto 0);
signal sig_cc : std_logic;
signal man_cc : std_logic_vector(15 downto 0);
signal prod : std_logic_vector(47 downto 0);
signal sig_ccz : std_logic_vector(2 downto 0);
signal exp_underflow : std_logic;
signal exp_underflowz : std_logic;
---------------------------------------
signal expa_or : std_logic;
signal expb_or : std_logic;
signal exp_zero : std_logic;
signal exp_zeroz : std_logic;
signal enaz : std_logic_vector(3 downto 0);
begin
---- finding zero exponents for multipliers ----
expa_or <= or_reduce(aa.exp) when rising_edge(clk);
expb_or <= or_reduce(bb.exp) when rising_edge(clk);
exp_zero <= (expa_or and expb_or) when rising_edge(clk);
exp_zeroz <= exp_zero when rising_edge(clk);
-- forming fractions for mulptiplier
man_aa(29 downto 18) <= x"000";
man_aa(17 downto 0) <= "01" & aa.man;
man_bb <= "01" & bb.man;
x7SERIES: if (XSERIES = "7SERIES") generate
NORMALIZE: DSP48E1
generic map (
-- Feature Control Attributes: Data Path Selection
A_INPUT => "DIRECT",
B_INPUT => "DIRECT",
USE_DPORT => FALSE,
USE_MULT => "MULTIPLY",
-- Register Control Attributes: Pipeline Register Configuration
ACASCREG => 1,
ADREG => 1,
ALUMODEREG => 1,
AREG => 1,
BCASCREG => 1,
BREG => 1,
CARRYINREG => 1,
CARRYINSELREG => 1,
CREG => 1,
DREG => 1,
INMODEREG => 1,
MREG => 1,
OPMODEREG => 1,
PREG => 1
)
port map (
-- Cascade: 30-bit (each) output: Cascade Ports
ACOUT => open,
BCOUT => open,
CARRYCASCOUT => open,
MULTSIGNOUT => open,
PCOUT => open,
-- Control: 1-bit (each) output: Control Inputs/Status Bits
OVERFLOW => open,
PATTERNBDETECT => open,
PATTERNDETECT => open,
UNDERFLOW => open,
-- Data: 4-bit (each) output: Data Ports
CARRYOUT => open,
P => prod,
-- Cascade: 30-bit (each) input: Cascade Ports
ACIN => (others=>'0'),
BCIN => (others=>'0'),
CARRYCASCIN => '0',
MULTSIGNIN => '0',
PCIN => (others=>'0'),
-- Control: 4-bit (each) input: Control Inputs/Status Bits
ALUMODE => (others=>'0'),
CARRYINSEL => (others=>'0'),
CLK => clk,
INMODE => (others=>'0'),
OPMODE => "0000101",
-- Data: 30-bit (each) input: Data Ports
A => man_aa,
B => man_bb,
C => (others=>'0'),
CARRYIN => '0',
D => (others=>'0'),
-- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs
CEA1 => enable,
CEA2 => '1',
CEAD => '0',
CEALUMODE => '1',
CEB1 => enable,
CEB2 => '1',
CEC => '1',
CECARRYIN => '1',
CECTRL => '1',
CED => '1',
CEINMODE => '1',
CEM => '1',
CEP => '1',
RSTA => reset,
RSTALLCARRYIN => reset,
RSTALUMODE => reset,
RSTB => reset,
RSTC => reset,
RSTCTRL => reset,
RSTD => reset,
RSTINMODE => reset,
RSTM => reset,
RSTP => reset
);
end generate;
xULTRA: if (XSERIES = "ULTRA") generate
NORMALIZE : DSP48E2
generic map (
-- Feature Control Attributes: Data Path Selection
AMULTSEL => "A",
A_INPUT => "DIRECT",
BMULTSEL => "B",
B_INPUT => "DIRECT",
PREADDINSEL => "A",
USE_MULT => "MULTIPLY",
-- Register Control Attributes: Pipeline Register Configuration
ACASCREG => 1,
ADREG => 1,
ALUMODEREG => 1,
AREG => 1,
BCASCREG => 1,
BREG => 1,
CARRYINREG => 1,
CARRYINSELREG => 1,
CREG => 1,
DREG => 1,
INMODEREG => 1,
MREG => 1,
OPMODEREG => 1,
PREG => 1
)
port map (
-- Cascade: 30-bit (each) output: Cascade Ports
ACOUT => open,
BCOUT => open,
CARRYCASCOUT => open,
MULTSIGNOUT => open,
PCOUT => open,
-- Control: 1-bit (each) output: Control Inputs/Status Bits
OVERFLOW => open,
PATTERNBDETECT => open,
PATTERNDETECT => open,
UNDERFLOW => open,
-- Data: 4-bit (each) output: Data Ports
CARRYOUT => open,
P => prod,
XOROUT => open,
-- Cascade: 30-bit (each) input: Cascade Ports
ACIN => (others=>'0'),
BCIN => (others=>'0'),
CARRYCASCIN => '0',
MULTSIGNIN => '0',
PCIN => (others=>'0'),
-- Control: 4-bit (each) input: Control Inputs/Status Bits
ALUMODE => (others=>'0'),
CARRYINSEL => (others=>'0'),
CLK => clk,
INMODE => (others=>'0'),
OPMODE => "000000101",
-- Data inputs: Data Ports
A => man_aa,
B => man_bb,
C => (others=>'0'),
CARRYIN => '0',
D => (others=>'0'),
-- Reset/Clock Enable inputs: Reset/Clock Enable Inputs
CEA1 => enable,
CEA2 => '1',
CEAD => '0',
CEALUMODE => '1',
CEB1 => enable,
CEB2 => '1',
CEC => '1',
CECARRYIN => '1',
CECTRL => '1',
CED => '1',
CEINMODE => '1',
CEM => '1',
CEP => '1',
RSTA => reset,
RSTALLCARRYIN => reset,
RSTALUMODE => reset,
RSTB => reset,
RSTC => reset,
RSTCTRL => reset,
RSTD => reset,
RSTINMODE => reset,
RSTM => reset,
RSTP => reset
);
end generate;
---- exp difference ----
pr_exp: process(clk) is
begin
if rising_edge(clk) then
exp_az <= exp_az(0) & aa.exp;
exp_bz <= exp_bz(0) & bb.exp;
exp_df <= ('0' & exp_az(1)) + ('0' & exp_bz(1)) - ('0' & EXP_DIF);
if (exp_df(exp_df'left) = '0') then
exp_cc <= exp_df(exp_df'left-1 downto 0) + prod(33);
else
exp_cc <= (others=>'0');
end if;
end if;
end process;
-- find sign as xor of signs --
pr_sign: process(clk) is
begin
if rising_edge(clk) then
sig_cc <= aa.sig xor bb.sig;
sig_ccz <= sig_ccz(1 downto 0) & sig_cc;
end if;
end process;
-- find fraction --
pr_frac: process(clk) is
begin
if rising_edge(clk) then
if (prod(33) = '0') then
man_cc <= prod(31 downto 16);
else
man_cc <= prod(32 downto 17);
end if;
end if;
end process;
-- data out and result --
--exp_underflowz <= (exp_underflow and exp_zeroz) when rising_edge(clk);
exp_underflowz <= (exp_zeroz) when rising_edge(clk);
pr_dout: process(clk) is
begin
if rising_edge(clk) then
if (exp_underflowz = '0') then
cc <= ("000000", '0', x"0000");
else
cc <= (exp_cc, sig_ccz(2), man_cc);
end if;
end if;
end process;
enaz <= enaz(2 downto 0) & enable when rising_edge(clk);
valid <= enaz(3) when rising_edge(clk);
end fp23_mult;
|
-------------------------------------------------------------------------------
-- Title : Rotation-mode cordic, slv version
-- Project :
-------------------------------------------------------------------------------
-- File : cordic_rotate_slv.vhd
-- Author : aylons <aylons@LNLS190>
-- Company :
-- Created : 2014-05-13
-- Last update: 2014-05-14
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: This is a top-block for rotation mode using concordic,
-- constrained standard_logic_vector version.
-------------------------------------------------------------------------------
-- This file is part of Concordic.
--
-- Concordic is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- Concordic is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with Foobar. If not, see <http://www.gnu.org/licenses/>.
-- Copyright (c) 2014 Aylons Hazzud
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2014-05-13 1.0 aylons Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity cordic_rotate_slv is
generic (
g_stages : natural := 20;
g_width : natural := 32
);
port (
x_i : in std_logic_vector(g_width-1 downto 0) := "11000000";
y_i : in std_logic_vector(g_width-1 downto 0) := "11000000";
clk_i : in std_logic;
ce_i : in std_logic;
mag_o : out std_logic_vector(g_width-1 downto 0);
phase_o : out std_logic_vector(g_width-1 downto 0)
);
end entity cordic_rotate_slv;
-------------------------------------------------------------------------------
architecture str of cordic_rotate_slv is
signal adjusted_x : signed(g_width-1 downto 0);
signal adjusted_y : signed(g_width-1 downto 0);
signal adjusted_z : signed(g_width-1 downto 0);
signal mag_temp : signed(g_width-1 downto 0);
signal phase_temp : signed(g_width-1 downto 0);
signal y_temp : signed(g_width-1 downto 0);
component inversion_stage is
generic (
g_mode : string);
port (
x_i : in signed;
y_i : in signed;
z_i : in signed;
clk_i : in std_logic;
ce_i : in std_logic;
x_o : out signed;
y_o : out signed;
z_o : out signed);
end component inversion_stage;
component cordic_core is
generic (
g_stages : natural;
g_mode : string);
port (
x_i : in signed;
y_i : in signed;
z_i : in signed;
clk_i : in std_logic;
ce_i : in std_logic;
x_o : out signed;
y_o : out signed;
z_o : out signed);
end component cordic_core;
begin -- architecture str
cmp_inversion : inversion_stage
generic map (
g_mode => "rect_to_polar")
port map (
x_i => signed(x_i),
y_i => signed(y_i),
z_i => (g_width-1 downto 0 => '0'),
clk_i => clk_i,
ce_i => ce_i,
x_o => adjusted_x,
y_o => adjusted_y,
z_o => adjusted_z);
cmp_core : cordic_core
generic map (
g_stages => g_stages,
g_mode => "rect_to_polar")
port map (
x_i => adjusted_x,
y_i => adjusted_y,
z_i => adjusted_z,
clk_i => clk_i,
ce_i => ce_i,
x_o => mag_temp,
y_o => y_temp,
z_o => phase_temp);
mag_o <= std_logic_vector(mag_temp);
phase_o <= std_logic_vector(phase_temp);
end architecture str;
-------------------------------------------------------------------------------
|
----------------------------------------------------------------------------------
-- Company: Drexel University
-- Engineer: Robert Taglang
--
-- Module Name: zybo_vga - Structural
-- Description: Breakout for the vga output on the Zybo
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity zybo_vga is
port(
clk : in std_logic;
active : in std_logic;
rgb : in std_logic_vector(15 downto 0);
vga_r : out std_logic_vector(4 downto 0);
vga_g : out std_logic_vector(5 downto 0);
vga_b : out std_logic_vector(4 downto 0)
);
end zybo_vga;
architecture Structural of zybo_vga is
signal r : std_logic_vector(4 downto 0) := "00000";
signal g : std_logic_vector(5 downto 0) := "000000";
signal b : std_logic_vector(4 downto 0) := "00000";
begin
process(clk)
begin
if falling_edge(clk) then
if active = '1' then
r <= rgb(15 downto 11);
g <= rgb(10 downto 5);
b <= rgb(4 downto 0);
end if;
end if;
end process;
vga_r <= r;
vga_g <= g;
vga_b <= b;
end Structural;
|
----------------------------------------------------------------------------------
-- Company: Drexel University
-- Engineer: Robert Taglang
--
-- Module Name: zybo_vga - Structural
-- Description: Breakout for the vga output on the Zybo
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity zybo_vga is
port(
clk : in std_logic;
active : in std_logic;
rgb : in std_logic_vector(15 downto 0);
vga_r : out std_logic_vector(4 downto 0);
vga_g : out std_logic_vector(5 downto 0);
vga_b : out std_logic_vector(4 downto 0)
);
end zybo_vga;
architecture Structural of zybo_vga is
signal r : std_logic_vector(4 downto 0) := "00000";
signal g : std_logic_vector(5 downto 0) := "000000";
signal b : std_logic_vector(4 downto 0) := "00000";
begin
process(clk)
begin
if falling_edge(clk) then
if active = '1' then
r <= rgb(15 downto 11);
g <= rgb(10 downto 5);
b <= rgb(4 downto 0);
end if;
end if;
end process;
vga_r <= r;
vga_g <= g;
vga_b <= b;
end Structural;
|
--------------------------------------------------------------------------------
-- PROJECT: PIPE MANIA - GAME FOR FPGA
--------------------------------------------------------------------------------
-- NAME: VGA_SYNC
-- AUTHORS: Vojtěch Jeřábek <[email protected]>
-- Jakub Cabal <[email protected]>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/pipemania-fpga-game
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity VGA_SYNC is
Port (
CLK : in std_logic; -- clock, must be 50 MHz
RST : in std_logic; -- reset
PIXEL_X : out std_logic_vector(9 downto 0); -- cislo pixelu na radku
PIXEL_Y : out std_logic_vector(9 downto 0); -- cislo pixelu ve sloupci
HSYNC : out std_logic; -- synchronizacni pulzy pro VGA vystup
VSYNC : out std_logic
);
end VGA_SYNC;
architecture Behavioral of VGA_SYNC is
signal pixel_tick : std_logic; -- doba vykreslovani pixelu - 25 MHz
signal position_x : unsigned(9 downto 0); -- udava cislo pixelu na radku
signal position_y : unsigned(9 downto 0); -- udava cislo pixelu ve sloupci
begin
----------------------------------------------------------------------------
-- pixel_tick o potrebne frekvenci 25MHz, vyzaduje CLK o frekvenci 50MHZ
pixel_tick_p : process (CLK, RST)
begin
if (RST = '1') then
pixel_tick <= '0';
elsif (rising_edge(CLK)) then
pixel_tick <= not pixel_tick;
end if;
end process;
----------------------------------------------------------------------------
-- pocitani na jakem pixelu na radku se nachazime
position_x_p : process (CLK, RST)
begin
if (RST = '1') then
position_x <= (others => '0');
elsif (rising_edge(CLK)) then
if (pixel_tick = '1') then
if (position_x = 799) then
position_x <= (others => '0');
else
position_x <= position_x + 1;
end if;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- pocitani na jakem pixelu ve sloupci se nachazime
position_y_p : process (CLK, RST)
begin
if (RST = '1') then
position_y <= (others => '0');
elsif (rising_edge(CLK)) then
if (pixel_tick = '1' and position_x = 799) then
if (position_y = 524) then
position_y <= (others => '0');
else
position_y <= position_y + 1;
end if;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- synchronizacni pulzy pro VGA
hsync_reg_p : process (CLK, RST)
begin
if (RST = '1') then
HSYNC <= '0';
elsif (rising_edge(CLK)) then
if (position_x > 655 and position_x < 752) then
HSYNC <= '0';
else
HSYNC <= '1';
end if;
end if;
end process;
vsync_reg_p : process (CLK, RST)
begin
if (RST = '1') then
VSYNC <= '0';
elsif (rising_edge(CLK)) then
if (position_y > 489 and position_y < 492) then
VSYNC <= '0';
else
VSYNC <= '1';
end if;
end if;
end process;
----------------------------------------------------------------------------
-- prirazeni vystupnich signalu
PIXEL_X <= std_logic_vector(position_x);
PIXEL_Y <= std_logic_vector(position_y);
end Behavioral;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:06:11 01/04/2014
-- Design Name:
-- Module Name: C:/Users/Ruy/Desktop/LCSE_lab/dma/tb_dma_bus_controller.vhd
-- Project Name: dma
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: dma_bus_controller
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_dma_bus_controller IS
END tb_dma_bus_controller;
ARCHITECTURE behavior OF tb_dma_bus_controller IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT dma_bus_controller
PORT(
Clk : IN std_logic;
Reset : IN std_logic;
Databus : INOUT std_logic_vector(7 downto 0);
Address : OUT std_logic_vector(7 downto 0);
ChipSelect : OUT std_logic;
WriteEnable : OUT std_logic;
OutputEnable : OUT std_logic;
Send : IN std_logic;
Ready : OUT std_logic;
DMA_RQ : OUT std_logic;
DMA_ACK : IN std_logic;
RX_empty : IN std_logic;
-- pragma synthesis_off
BC_state_ns : out integer;
-- pragma synthesis_on
RX_Databus : IN std_logic_vector(7 downto 0);
RX_Address : IN std_logic_vector(7 downto 0);
RX_ChipSelect : IN std_logic;
RX_WriteEnable : IN std_logic;
RX_OutputEnable : IN std_logic;
RX_start : OUT std_logic;
RX_end : IN std_logic;
TX_Databus : OUT std_logic_vector(7 downto 0);
TX_Address : IN std_logic_vector(7 downto 0);
TX_ChipSelect : IN std_logic;
TX_WriteEnable : IN std_logic;
TX_OutputEnable : IN std_logic;
TX_start : OUT std_logic;
TX_ready : IN std_logic;
TX_end : IN std_logic
);
END COMPONENT;
--Inputs
signal Clk : std_logic := '0';
signal Reset : std_logic := '0';
signal Send : std_logic := '0';
signal DMA_ACK : std_logic := '0';
signal RX_empty : std_logic := '1';
signal RX_Databus : std_logic_vector(7 downto 0) := X"AA";
signal RX_Address : std_logic_vector(7 downto 0) := X"AA";
signal RX_ChipSelect : std_logic := '1';
signal RX_WriteEnable : std_logic := '0';
signal RX_OutputEnable : std_logic := '1';
signal RX_end : std_logic := '0';
signal TX_Address : std_logic_vector(7 downto 0) := X"55";
signal TX_ChipSelect : std_logic := '1';
signal TX_WriteEnable : std_logic := '1';
signal TX_OutputEnable : std_logic := '0';
signal TX_ready : std_logic := '1';
signal TX_end : std_logic := '0';
--BiDirs
signal Databus : std_logic_vector(7 downto 0) := X"55";
--Outputs
signal Address : std_logic_vector(7 downto 0);
signal ChipSelect : std_logic;
signal WriteEnable : std_logic;
signal OutputEnable : std_logic;
signal Ready : std_logic;
signal DMA_RQ : std_logic;
signal RX_start : std_logic;
signal TX_Databus : std_logic_vector(7 downto 0);
signal TX_start : std_logic;
-- pragma synthesis_on
signal BC_state_ns : integer;
-- pragma synthesis_on
-- Clock period definitions
constant Clk_period : time := 25ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: dma_bus_controller PORT MAP (
Clk => Clk,
Reset => Reset,
Databus => Databus,
Address => Address,
ChipSelect => ChipSelect,
WriteEnable => WriteEnable,
OutputEnable => OutputEnable,
Send => Send,
Ready => Ready,
DMA_RQ => DMA_RQ,
DMA_ACK => DMA_ACK,
RX_empty => RX_empty,
-- pragma synthesis_off
BC_state_ns => BC_state_ns,
-- pragma synthesis_on
RX_Databus => RX_Databus,
RX_Address => RX_Address,
RX_ChipSelect => RX_ChipSelect,
RX_WriteEnable => RX_WriteEnable,
RX_OutputEnable => RX_OutputEnable,
RX_start => RX_start,
RX_end => RX_end,
TX_Databus => TX_Databus,
TX_Address => TX_Address,
TX_ChipSelect => TX_ChipSelect,
TX_WriteEnable => TX_WriteEnable,
TX_OutputEnable => TX_OutputEnable,
TX_start => TX_start,
TX_ready => TX_ready,
TX_end => TX_end
);
Clk <= not Clk after Clk_period;
-- Stimulus process
process
begin
wait for 50 ns;
Reset <= '1';
wait for 100 ns;
RX_Empty <= '0';
wait until BC_state_ns = 2;
Databus <= (others => 'Z');
wait for 325 ns;
DMA_ACK <= '1';
wait for 175 ns;
RX_empty <= '1';
wait until DMA_RQ = '0';
DMA_ACK <= '0' after 2 ns;
wait until BC_state_ns = 0;
Databus <= X"22";
wait;
end process;
process
begin
wait until BC_state_ns = 1;
TX_ready <= '0';
wait for 325 ns;
TX_end <= '1';
wait for 50 ns;
TX_end <= '0';
wait for 500 ns;
TX_ready <= '1';
wait;
end process;
process
begin
wait until BC_state_ns = 4;
wait for 325 ns;
RX_end <= '1';
wait for 50 ns;
RX_end <= '0';
wait;
end process;
process
begin
wait for 300 ns;
Send <= '1';
wait until Ready = '1';
Send <= '0' after 10 ns;
wait;
end process;
END;
|
-----------------------------------------------------------------------------------
-- Odsek za racunarsku tehniku i medjuracunarske komunikacije --
-- Copyright © 2009 All Rights Reserved --
-- --
-- Projekat: LabVezba2 --
-- Ime modula: char_rom.vhd --
-- Autori: LPRS2 TIM 2009/2010 <[email protected]> --
-- --
-- Opis: --
-- Char_rom generise tekst na ekranu. --
-- Znak se predstavlja matricom 8x8 tacaka. --
-- Oblici znakova se nalaze u datoteci char_rom_def_mem.coe --
-- --
-----------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY char_rom IS PORT (
clk_i : IN STD_LOGIC; -- takt SIGNAL
character_address_i : IN STD_LOGIC_VECTOR (5 DOWNTO 0); -- adresa karaktera
font_row_i : IN STD_LOGIC_VECTOR (2 DOWNTO 0); -- ispis reda
font_col_i : IN STD_LOGIC_VECTOR (2 DOWNTO 0); -- ispis kolone
rom_mux_output_o : OUT STD_LOGIC -- izlazni SIGNAL iz char_rom-a
);
END char_rom;
ARCHITECTURE Behavioral OF char_rom IS
SIGNAL rom_data : STD_LOGIC_VECTOR( 7 DOWNTO 0 ); -- prosledjuje izlaz iz char_rom-a na ulaz u VGA
SIGNAL rom_address : STD_LOGIC_VECTOR( 8 DOWNTO 0 ); -- preuzima character_address_i i font_row_i
COMPONENT char_rom_def IS PORT (
clk : IN STD_LOGIC; -- takt
addr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); -- adresa znaka
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) -- izlaz
);
END COMPONENT;
BEGIN
-- Oblici znakova se nalaze u datoteci char_rom_def_mem.coe
BRAM_MEM_I: char_rom_def PORT MAP (
clk => clk_i ,
addr => rom_address,
dout => rom_data
);
------------------|----------
-- ADDRESS | OFFSET |
------------------|----------
rom_address <= character_address_i & font_row_i;
PROCESS(font_col_i, rom_data) BEGIN
CASE(font_col_i) IS
WHEN "000" => rom_mux_output_o <= rom_data(7);
WHEN "001" => rom_mux_output_o <= rom_data(6);
WHEN "010" => rom_mux_output_o <= rom_data(5);
WHEN "011" => rom_mux_output_o <= rom_data(4);
WHEN "100" => rom_mux_output_o <= rom_data(3);
WHEN "101" => rom_mux_output_o <= rom_data(2);
WHEN "110" => rom_mux_output_o <= rom_data(1);
WHEN "111" => rom_mux_output_o <= rom_data(0);
WHEN OTHERS => rom_mux_output_o <= '0';
END CASE;
END PROCESS;
END Behavioral;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
-- Altera Stratix-III LEON3 Demonstration design test bench
-- Copyright (C) 2007 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library techmap;
use techmap.gencomp.all;
library micron;
use micron.components.all;
library cypress;
use cypress.components.all;
library hynix;
use hynix.components.all;
use work.debug.all;
use work.config.all; -- configuration
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 20; -- system clock period
romwidth : integer := 32; -- rom data width (8/32)
romdepth : integer := 23; -- rom address depth
sramwidth : integer := 32; -- ram data width (8/16/32)
sramdepth : integer := 20; -- ram address depth
srambanks : integer := 1; -- number of ram banks
dbits : integer := CFG_DDR2SP_DATAWIDTH
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
constant ct : integer := clkperiod/2;
constant lresp : boolean := false;
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal Rst : std_logic := '0'; -- Reset
signal clk : std_logic := '0';
signal clk125 : std_logic := '0';
signal address : std_logic_vector(25 downto 0);
signal data : std_logic_vector(31 downto 0);
signal romsn : std_ulogic;
signal iosn : std_ulogic;
signal oen : std_ulogic;
signal writen : std_ulogic;
signal dsuen, dsutx, dsurx, dsubren, dsuact : std_ulogic;
signal dsurst : std_ulogic;
signal error : std_logic;
signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
signal txd1, rxd1 : std_ulogic;
-- PSRAM and FLASH control
signal sram_advn : std_logic;
signal sram_csn : std_logic;
signal sram_wen : std_logic;
signal sram_ben : std_logic_vector (0 to 3);
signal sram_oen : std_ulogic;
signal sram_clk : std_ulogic;
signal sram_adscn : std_ulogic;
signal sram_psn : std_ulogic;
signal sram_adv_n : std_ulogic;
signal sram_wait : std_logic_vector(1 downto 0);
signal flash_clk, flash_cen, max_csn : std_logic;
signal flash_advn, flash_oen, flash_resetn, flash_wen : std_logic;
-- DDR2 memory
signal ddr_clk : std_logic_vector(2 downto 0);
signal ddr_clkb : std_logic_vector(2 downto 0);
signal ddr_cke : std_logic_vector(1 downto 0);
signal ddr_csb : std_logic_vector(1 downto 0);
signal ddr_odt : std_logic_vector(1 downto 0);
signal ddr_web : std_ulogic; -- ddr write enable
signal ddr_rasb : std_ulogic; -- ddr ras
signal ddr_casb : std_ulogic; -- ddr cas
signal ddr_dm : std_logic_vector (8 downto 0); -- ddr dm
signal ddr_dqsp : std_logic_vector (8 downto 0); -- ddr dqs
signal ddr_dqsn : std_logic_vector (8 downto 0); -- ddr dqs
signal ddr_rdqs : std_logic_vector (8 downto 0); -- ddr dqs
signal ddr_ad : std_logic_vector (15 downto 0); -- ddr address
signal ddr_ba : std_logic_vector (2 downto 0); -- ddr bank address
signal ddr_dq : std_logic_vector (71 downto 0); -- ddr data
signal ddr_dq2 : std_logic_vector (71 downto 0); -- ddr data
--signal ddra_cke : std_logic;
--signal ddra_csb : std_logic;
--signal ddra_web : std_ulogic; -- ddr write enable
--signal ddra_rasb : std_ulogic; -- ddr ras
--signal ddra_casb : std_ulogic; -- ddr cas
--signal ddra_ad : std_logic_vector (15 downto 0); -- ddr address
--signal ddra_ba : std_logic_vector (2 downto 0); -- ddr bank address
--signal ddrb_cke : std_logic;
--signal ddrb_csb : std_logic;
--signal ddrb_web : std_ulogic; -- ddr write enable
--signal ddrb_rasb : std_ulogic; -- ddr ras
--signal ddrb_casb : std_ulogic; -- ddr cas
--signal ddrb_ad : std_logic_vector (15 downto 0); -- ddr address
--signal ddrb_ba : std_logic_vector (2 downto 0); -- ddr bank address
--signal ddrab_clk : std_logic_vector(1 downto 0);
--signal ddrab_clkb : std_logic_vector(1 downto 0);
--signal ddrab_odt : std_logic_vector(1 downto 0);
--signal ddrab_dqsp : std_logic_vector(1 downto 0); -- ddr dqs
--signal ddrab_dqsn : std_logic_vector(1 downto 0); -- ddr dqs
--signal ddrab_dm : std_logic_vector(1 downto 0); -- ddr dm
--signal ddrab_dq : std_logic_vector (15 downto 0);-- ddr data
-- Ethernet
signal phy_mii_data: std_logic; -- ethernet PHY interface
signal phy_tx_clk : std_ulogic;
signal phy_rx_clk : std_ulogic;
signal phy_rx_data : std_logic_vector(7 downto 0);
signal phy_dv : std_ulogic;
signal phy_rx_er : std_ulogic;
signal phy_col : std_ulogic;
signal phy_crs : std_ulogic;
signal phy_tx_data : std_logic_vector(7 downto 0);
signal phy_tx_en : std_ulogic;
signal phy_tx_er : std_ulogic;
signal phy_mii_clk : std_ulogic;
signal phy_rst_n : std_ulogic;
signal phy_gtx_clk : std_ulogic;
begin
-- clock and reset
clk <= not clk after ct * 1 ns;
clk125 <= not clk125 after 4 * 1 ns;
rst <= dsurst;
dsubren <= '1'; rxd1 <= '1';
address(0) <= '0';
ddr_dq(71 downto dbits) <= (others => 'H');
ddr_dq2(71 downto dbits) <= (others => 'H');
ddr_dqsp(8 downto dbits/8) <= (others => 'H');
ddr_dqsn(8 downto dbits/8) <= (others => 'H');
ddr_rdqs(8 downto dbits/8) <= (others => 'H');
ddr_dm(8 downto dbits/8) <= (others => 'H');
d3 : entity work.leon3mp
generic map (fabtech, memtech, padtech, clktech,
ncpu, disas, dbguart, pclow, 50000, dbits)
port map (rst, clk, clk125, error, dsubren, dsuact,
-- rxd1, txd1,
gpio, address(25 downto 1), data, open,
sram_advn, sram_csn, sram_wen, sram_ben, sram_oen, sram_clk, sram_psn, sram_wait,
flash_clk, flash_advn, flash_cen, flash_oen, flash_resetn, flash_wen,
max_csn, iosn,
ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_odt, ddr_web,
ddr_rasb, ddr_casb, ddr_dm, ddr_dqsp, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq,
open, open,
-- ddra_cke, ddra_csb, ddra_web, ddra_rasb, ddra_casb, ddra_ad(14 downto 0), ddra_ba, ddrb_cke,
-- ddrb_csb, ddrb_web, ddrb_rasb, ddrb_casb, ddrb_ad(14 downto 0), ddrb_ba, ddrab_clk, ddrab_clkb,
-- ddrab_odt, ddrab_dqsp, ddrab_dqsn, ddrab_dm, ddrab_dq,
phy_gtx_clk, phy_mii_data, phy_tx_clk, phy_rx_clk,
phy_rx_data, phy_dv, phy_rx_er, phy_col, phy_crs,
phy_tx_data, phy_tx_en, phy_tx_er, phy_mii_clk, phy_rst_n
);
ddr2delay : delay_wire
generic map(data_width => dbits, delay_atob => 0.0, delay_btoa => 5.5)
port map(a => ddr_dq(dbits-1 downto 0), b => ddr_dq2(dbits-1 downto 0));
ddr2mem : for i in 0 to dbits/16-1 generate
u1 : HY5PS121621F
generic map (TimingCheckFlag => true, PUSCheckFlag => false,
index => (1 + 2*(CFG_DDR2SP_DATAWIDTH/64))-i,
fname => sdramfile, bbits => CFG_DDR2SP_DATAWIDTH)
PORT MAP(
clk => ddr_clk(0), clkb => ddr_clkb(0), cke => ddr_cke(0),
csb => ddr_csb(0), rasb => ddr_rasb, casb => ddr_casb, web => ddr_web,
LDM => ddr_dm(i*2), UDM => ddr_dm(i*2+1), ba => ddr_ba(1 downto 0),
addr => ddr_ad(12 downto 0), dq => ddr_dq2(i*16+15 downto i*16),
LDQS => ddr_dqsp(i*2), LDQSB => ddr_dqsn(i*2), UDQS => ddr_dqsp(i*2+1),
UDQSB => ddr_dqsn(i*2+1));
end generate;
-- 16 bit prom
prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile)
port map (address(romdepth downto 1), data(31 downto 16),
gnd, gnd, flash_cen, flash_wen, flash_oen);
-- -- 32 bit prom
-- prom0 : for i in 0 to 3 generate
-- sr0 : sram generic map (index => i, abits => romdepth, fname => promfile)
-- port map (address(romdepth+1 downto 2), data(31-i*8 downto 24-i*8), flash_cen,
-- flash_wen, flash_oen);
-- end generate;
sram0 : for i in 0 to (sramwidth/8)-1 generate
sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile)
port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), sram_csn,
sram_wen, sram_oen);
end generate;
error <= 'H'; -- ERROR pull-up
iuerr : process
begin
wait for 2500 ns;
if to_x01(error) = '1' then wait on error; end if;
assert (to_x01(error) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
data <= buskeep(data), (others => 'H') after 250 ns;
test0 : grtestmod
port map ( rst, clk, error, address(21 downto 2), data,
iosn, sram_oen, sram_wen, open);
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := 160 * 1 ns;
begin
dsutx <= '1';
dsurst <= '0';
wait for 500 ns;
dsurst <= '1';
wait;
wait for 5000 ns;
txc(dsutx, 16#55#, txp); -- sync uart
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp);
txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp);
txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
end;
begin
dsucfg(dsutx, dsurx);
wait;
end process;
end ;
|
-------------------------------------------------------------------------------
-- axi_vdma_mm2s_axis_dwidth_converter
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_mm2s_axis_dwidth_converter.vhd
-- Description: This entity is the descriptor fetch command and status inteface
-- for the Scatter Gather Engine AXI DataMover.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_vdma_pkg.all;
entity axi_vdma_mm2s_axis_dwidth_converter is
generic ( C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED : integer := 32;
C_M_AXIS_MM2S_TDATA_WIDTH : integer := 32;
C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8 : integer := 4;
C_MM2S_SOF_ENABLE : integer range 0 to 1 := 0;
ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0 ;
C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8 : integer := 4;
-- C_AXIS_SIGNAL_SET : integer := 255;
C_AXIS_TID_WIDTH : integer := 1;
C_AXIS_TDEST_WIDTH : integer := 1;
C_FAMILY : string := "virtex7" );
port (
ACLK :in std_logic;
ARESETN :in std_logic;
ACLKEN :in std_logic;
mm2s_vsize_cntr_clr_flag : in std_logic ;
fsync_out : in std_logic ;
dwidth_fifo_pipe_empty : out std_logic ;
all_lines_xfred_s_dwidth : out std_logic ;
stop_reg : in std_logic ;
dm_halt_reg : in std_logic ;
crnt_vsize_d2 : in std_logic_vector(VSIZE_DWIDTH-1 downto 0) ;
S_AXIS_TVALID :in std_logic;
S_AXIS_TREADY :out std_logic;
S_AXIS_TDATA :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0);
S_AXIS_TSTRB :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0);
S_AXIS_TKEEP :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0);
S_AXIS_TLAST :in std_logic;
S_AXIS_TID :in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0);
S_AXIS_TDEST :in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0);
S_AXIS_TUSER :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0);
M_AXIS_TVALID :out std_logic;
M_AXIS_TREADY :in std_logic;
M_AXIS_TDATA :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0);
M_AXIS_TSTRB :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0);
M_AXIS_TKEEP :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0);
M_AXIS_TLAST :out std_logic;
M_AXIS_TID :out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0);
M_AXIS_TDEST :out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0);
M_AXIS_TUSER :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8-1 downto 0)
);
end axi_vdma_mm2s_axis_dwidth_converter;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_mm2s_axis_dwidth_converter is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant ZERO_VALUE : std_logic_vector(255 downto 0)
:= (others => '0');
-- Constants for line tracking logic
constant VSIZE_ONE_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,VSIZE_DWIDTH));
constant VSIZE_ZERO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= (others => '0');
-------------------------------------------------------------------------------
-- Verilog module component declarations
-------------------------------------------------------------------------------
component axi_vdma_v6_2_axis_dwidth_converter_v1_0_axis_dwidth_converter is
generic ( C_S_AXIS_TDATA_WIDTH : integer := 32;
C_M_AXIS_TDATA_WIDTH : integer := 32;
C_AXIS_TID_WIDTH : integer := 1;
C_AXIS_TDEST_WIDTH : integer := 1;
C_S_AXIS_TUSER_WIDTH : integer := 4;
C_M_AXIS_TUSER_WIDTH : integer := 4;
--C_AXIS_SIGNAL_SET : integer := 255;
C_FAMILY : string := "virtex7" );
port (
ACLK :in std_logic;
ARESETN :in std_logic;
ACLKEN :in std_logic;
S_AXIS_TVALID :in std_logic;
S_AXIS_TREADY :out std_logic;
S_AXIS_TDATA :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0);
S_AXIS_TSTRB :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0);
S_AXIS_TKEEP :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0);
S_AXIS_TLAST :in std_logic;
S_AXIS_TID :in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0);
S_AXIS_TDEST :in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0);
S_AXIS_TUSER :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0);
M_AXIS_TVALID :out std_logic;
M_AXIS_TREADY :in std_logic;
M_AXIS_TDATA :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0);
M_AXIS_TSTRB :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0);
M_AXIS_TKEEP :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0);
M_AXIS_TLAST :out std_logic;
M_AXIS_TID :out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0);
M_AXIS_TDEST :out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0);
M_AXIS_TUSER :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8-1 downto 0)
);
end component;
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal M_AXIS_TREADY_D1 : std_logic := '0';
signal M_AXIS_TLAST_D1 : std_logic := '0';
signal M_AXIS_TVALID_D1 : std_logic := '0';
signal M_AXIS_TVALID_OUT : std_logic := '0';
signal M_AXIS_TLAST_OUT : std_logic := '0';
signal vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal all_lines_xfred : std_logic := '0';
--signal crnt_vsize_d2 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal decr_vcount : std_logic := '0';
--signal fifo_pipe_empty : std_logic := '0'
--signal stop_reg : std_logic := '0'
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
GEN_DWIDTH_NO_SOF : if ENABLE_FLUSH_ON_FSYNC = 0 or C_MM2S_SOF_ENABLE = 0 generate
begin
all_lines_xfred_s_dwidth <= all_lines_xfred;
-- Pass out of core
M_AXIS_TVALID <= M_AXIS_TVALID_OUT;
M_AXIS_TLAST <= M_AXIS_TLAST_OUT;
MM2S_AXIS_DWIDTH_CONVERTER_I : axi_vdma_v6_2_axis_dwidth_converter_v1_0_axis_dwidth_converter
generic map( C_S_AXIS_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED ,
C_M_AXIS_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_AXIS_TID_WIDTH => C_AXIS_TID_WIDTH ,
C_S_AXIS_TUSER_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8 ,
C_M_AXIS_TUSER_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8 ,
C_AXIS_TDEST_WIDTH => C_AXIS_TDEST_WIDTH ,
--C_AXIS_SIGNAL_SET => C_AXIS_SIGNAL_SET ,
C_FAMILY => C_FAMILY )
port map(
ACLK => ACLK ,
ARESETN => ARESETN ,
ACLKEN => ACLKEN ,
S_AXIS_TVALID => S_AXIS_TVALID ,
S_AXIS_TREADY => S_AXIS_TREADY ,
S_AXIS_TDATA => S_AXIS_TDATA(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0) ,
S_AXIS_TSTRB => S_AXIS_TSTRB(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) ,
S_AXIS_TKEEP => S_AXIS_TKEEP(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) ,
S_AXIS_TLAST => S_AXIS_TLAST ,
S_AXIS_TID => S_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) ,
S_AXIS_TDEST => S_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) ,
S_AXIS_TUSER => S_AXIS_TUSER(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) ,
M_AXIS_TVALID => M_AXIS_TVALID_OUT ,
M_AXIS_TREADY => M_AXIS_TREADY ,
M_AXIS_TDATA => M_AXIS_TDATA(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0) ,
M_AXIS_TSTRB => M_AXIS_TSTRB(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0) ,
M_AXIS_TKEEP => M_AXIS_TKEEP(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0) ,
M_AXIS_TLAST => M_AXIS_TLAST_OUT ,
M_AXIS_TID => M_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) ,
M_AXIS_TDEST => M_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) ,
M_AXIS_TUSER => M_AXIS_TUSER(C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8-1 downto 0)
);
-- Register to break long timing paths for use in
-- transfer complete generation
DWIDTH_REG_STRM_SIGS : process(ACLK)
begin
if(ACLK'EVENT and ACLK = '1')then
if(ARESETN = '0')then
M_AXIS_TLAST_D1 <= '0';
M_AXIS_TVALID_D1 <= '0';
M_AXIS_TREADY_D1 <= '0';
else
M_AXIS_TLAST_D1 <= M_AXIS_TLAST_OUT;
M_AXIS_TVALID_D1 <= M_AXIS_TVALID_OUT;
M_AXIS_TREADY_D1 <= M_AXIS_TREADY;
end if;
end if;
end process DWIDTH_REG_STRM_SIGS;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when M_AXIS_TLAST_D1 = '1'
and M_AXIS_TVALID_D1 = '1'
and M_AXIS_TREADY_D1 = '1'
else '0';
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
DWIDTH_VERT_COUNTER : process(ACLK)
begin
if(ACLK'EVENT and ACLK = '1')then
if(ARESETN = '0' and fsync_out = '0')then
vsize_counter <= (others => '0');
all_lines_xfred <= '1';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
all_lines_xfred <= '0';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
all_lines_xfred <= '1';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
all_lines_xfred <= '0';
end if;
end if;
end process DWIDTH_VERT_COUNTER;
dwidth_fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and M_AXIS_TVALID_OUT = '0') -- All data for frame transmitted
or dm_halt_reg = '1' -- Commanded to Halt
else '0';
end generate GEN_DWIDTH_NO_SOF;
GEN_DWIDTH_SOF : if ENABLE_FLUSH_ON_FSYNC = 1 and C_MM2S_SOF_ENABLE = 1 generate
begin
-- Pass out of core
M_AXIS_TVALID <= M_AXIS_TVALID_OUT;
M_AXIS_TLAST <= M_AXIS_TLAST_OUT;
all_lines_xfred_s_dwidth <= all_lines_xfred;
MM2S_AXIS_DWIDTH_CONVERTER_I : axi_vdma_v6_2_axis_dwidth_converter_v1_0_axis_dwidth_converter
generic map( C_S_AXIS_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED ,
C_M_AXIS_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_AXIS_TID_WIDTH => C_AXIS_TID_WIDTH ,
C_S_AXIS_TUSER_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8 ,
C_M_AXIS_TUSER_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8 ,
C_AXIS_TDEST_WIDTH => C_AXIS_TDEST_WIDTH ,
--C_AXIS_SIGNAL_SET => C_AXIS_SIGNAL_SET ,
C_FAMILY => C_FAMILY )
port map(
ACLK => ACLK ,
ARESETN => ARESETN ,
ACLKEN => ACLKEN ,
S_AXIS_TVALID => S_AXIS_TVALID ,
S_AXIS_TREADY => S_AXIS_TREADY ,
S_AXIS_TDATA => S_AXIS_TDATA(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0) ,
S_AXIS_TSTRB => S_AXIS_TSTRB(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) ,
S_AXIS_TKEEP => S_AXIS_TKEEP(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) ,
S_AXIS_TLAST => S_AXIS_TLAST ,
S_AXIS_TID => S_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) ,
S_AXIS_TDEST => S_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) ,
S_AXIS_TUSER => S_AXIS_TUSER(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) ,
M_AXIS_TVALID => M_AXIS_TVALID_OUT ,
M_AXIS_TREADY => M_AXIS_TREADY ,
M_AXIS_TDATA => M_AXIS_TDATA(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0) ,
M_AXIS_TSTRB => M_AXIS_TSTRB(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0) ,
M_AXIS_TKEEP => M_AXIS_TKEEP(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0) ,
M_AXIS_TLAST => M_AXIS_TLAST_OUT ,
M_AXIS_TID => M_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) ,
M_AXIS_TDEST => M_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) ,
M_AXIS_TUSER => M_AXIS_TUSER(C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8-1 downto 0)
);
-- Register to break long timing paths for use in
-- transfer complete generation
DWIDTH_REG_STRM_SIGS : process(ACLK)
begin
if(ACLK'EVENT and ACLK = '1')then
if(ARESETN = '0')then
M_AXIS_TLAST_D1 <= '0';
M_AXIS_TVALID_D1 <= '0';
M_AXIS_TREADY_D1 <= '0';
else
M_AXIS_TLAST_D1 <= M_AXIS_TLAST_OUT;
M_AXIS_TVALID_D1 <= M_AXIS_TVALID_OUT;
M_AXIS_TREADY_D1 <= M_AXIS_TREADY;
end if;
end if;
end process DWIDTH_REG_STRM_SIGS;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when M_AXIS_TLAST_D1 = '1'
and M_AXIS_TVALID_D1 = '1'
and M_AXIS_TREADY_D1 = '1'
else '0';
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
DWIDTH_VERT_COUNTER : process(ACLK)
begin
if(ACLK'EVENT and ACLK = '1')then
if((ARESETN = '0' and fsync_out = '0') or mm2s_vsize_cntr_clr_flag = '1')then
vsize_counter <= (others => '0');
all_lines_xfred <= '1';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
all_lines_xfred <= '0';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
all_lines_xfred <= '1';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
all_lines_xfred <= '0';
end if;
end if;
end process DWIDTH_VERT_COUNTER;
dwidth_fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and M_AXIS_TVALID_OUT = '0') -- All data for frame transmitted
or dm_halt_reg = '1' -- Commanded to Halt
else '0';
end generate GEN_DWIDTH_SOF;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_vdma_mm2s_axis_dwidth_converter
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_mm2s_axis_dwidth_converter.vhd
-- Description: This entity is the descriptor fetch command and status inteface
-- for the Scatter Gather Engine AXI DataMover.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_vdma_pkg.all;
entity axi_vdma_mm2s_axis_dwidth_converter is
generic ( C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED : integer := 32;
C_M_AXIS_MM2S_TDATA_WIDTH : integer := 32;
C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8 : integer := 4;
C_MM2S_SOF_ENABLE : integer range 0 to 1 := 0;
ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0 ;
C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8 : integer := 4;
-- C_AXIS_SIGNAL_SET : integer := 255;
C_AXIS_TID_WIDTH : integer := 1;
C_AXIS_TDEST_WIDTH : integer := 1;
C_FAMILY : string := "virtex7" );
port (
ACLK :in std_logic;
ARESETN :in std_logic;
ACLKEN :in std_logic;
mm2s_vsize_cntr_clr_flag : in std_logic ;
fsync_out : in std_logic ;
dwidth_fifo_pipe_empty : out std_logic ;
all_lines_xfred_s_dwidth : out std_logic ;
stop_reg : in std_logic ;
dm_halt_reg : in std_logic ;
crnt_vsize_d2 : in std_logic_vector(VSIZE_DWIDTH-1 downto 0) ;
S_AXIS_TVALID :in std_logic;
S_AXIS_TREADY :out std_logic;
S_AXIS_TDATA :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0);
S_AXIS_TSTRB :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0);
S_AXIS_TKEEP :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0);
S_AXIS_TLAST :in std_logic;
S_AXIS_TID :in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0);
S_AXIS_TDEST :in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0);
S_AXIS_TUSER :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0);
M_AXIS_TVALID :out std_logic;
M_AXIS_TREADY :in std_logic;
M_AXIS_TDATA :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0);
M_AXIS_TSTRB :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0);
M_AXIS_TKEEP :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0);
M_AXIS_TLAST :out std_logic;
M_AXIS_TID :out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0);
M_AXIS_TDEST :out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0);
M_AXIS_TUSER :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8-1 downto 0)
);
end axi_vdma_mm2s_axis_dwidth_converter;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_mm2s_axis_dwidth_converter is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant ZERO_VALUE : std_logic_vector(255 downto 0)
:= (others => '0');
-- Constants for line tracking logic
constant VSIZE_ONE_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,VSIZE_DWIDTH));
constant VSIZE_ZERO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= (others => '0');
-------------------------------------------------------------------------------
-- Verilog module component declarations
-------------------------------------------------------------------------------
component axi_vdma_v6_2_axis_dwidth_converter_v1_0_axis_dwidth_converter is
generic ( C_S_AXIS_TDATA_WIDTH : integer := 32;
C_M_AXIS_TDATA_WIDTH : integer := 32;
C_AXIS_TID_WIDTH : integer := 1;
C_AXIS_TDEST_WIDTH : integer := 1;
C_S_AXIS_TUSER_WIDTH : integer := 4;
C_M_AXIS_TUSER_WIDTH : integer := 4;
--C_AXIS_SIGNAL_SET : integer := 255;
C_FAMILY : string := "virtex7" );
port (
ACLK :in std_logic;
ARESETN :in std_logic;
ACLKEN :in std_logic;
S_AXIS_TVALID :in std_logic;
S_AXIS_TREADY :out std_logic;
S_AXIS_TDATA :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0);
S_AXIS_TSTRB :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0);
S_AXIS_TKEEP :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0);
S_AXIS_TLAST :in std_logic;
S_AXIS_TID :in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0);
S_AXIS_TDEST :in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0);
S_AXIS_TUSER :in std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0);
M_AXIS_TVALID :out std_logic;
M_AXIS_TREADY :in std_logic;
M_AXIS_TDATA :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0);
M_AXIS_TSTRB :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0);
M_AXIS_TKEEP :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0);
M_AXIS_TLAST :out std_logic;
M_AXIS_TID :out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0);
M_AXIS_TDEST :out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0);
M_AXIS_TUSER :out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8-1 downto 0)
);
end component;
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal M_AXIS_TREADY_D1 : std_logic := '0';
signal M_AXIS_TLAST_D1 : std_logic := '0';
signal M_AXIS_TVALID_D1 : std_logic := '0';
signal M_AXIS_TVALID_OUT : std_logic := '0';
signal M_AXIS_TLAST_OUT : std_logic := '0';
signal vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal all_lines_xfred : std_logic := '0';
--signal crnt_vsize_d2 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal decr_vcount : std_logic := '0';
--signal fifo_pipe_empty : std_logic := '0'
--signal stop_reg : std_logic := '0'
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
GEN_DWIDTH_NO_SOF : if ENABLE_FLUSH_ON_FSYNC = 0 or C_MM2S_SOF_ENABLE = 0 generate
begin
all_lines_xfred_s_dwidth <= all_lines_xfred;
-- Pass out of core
M_AXIS_TVALID <= M_AXIS_TVALID_OUT;
M_AXIS_TLAST <= M_AXIS_TLAST_OUT;
MM2S_AXIS_DWIDTH_CONVERTER_I : axi_vdma_v6_2_axis_dwidth_converter_v1_0_axis_dwidth_converter
generic map( C_S_AXIS_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED ,
C_M_AXIS_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_AXIS_TID_WIDTH => C_AXIS_TID_WIDTH ,
C_S_AXIS_TUSER_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8 ,
C_M_AXIS_TUSER_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8 ,
C_AXIS_TDEST_WIDTH => C_AXIS_TDEST_WIDTH ,
--C_AXIS_SIGNAL_SET => C_AXIS_SIGNAL_SET ,
C_FAMILY => C_FAMILY )
port map(
ACLK => ACLK ,
ARESETN => ARESETN ,
ACLKEN => ACLKEN ,
S_AXIS_TVALID => S_AXIS_TVALID ,
S_AXIS_TREADY => S_AXIS_TREADY ,
S_AXIS_TDATA => S_AXIS_TDATA(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0) ,
S_AXIS_TSTRB => S_AXIS_TSTRB(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) ,
S_AXIS_TKEEP => S_AXIS_TKEEP(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) ,
S_AXIS_TLAST => S_AXIS_TLAST ,
S_AXIS_TID => S_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) ,
S_AXIS_TDEST => S_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) ,
S_AXIS_TUSER => S_AXIS_TUSER(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) ,
M_AXIS_TVALID => M_AXIS_TVALID_OUT ,
M_AXIS_TREADY => M_AXIS_TREADY ,
M_AXIS_TDATA => M_AXIS_TDATA(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0) ,
M_AXIS_TSTRB => M_AXIS_TSTRB(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0) ,
M_AXIS_TKEEP => M_AXIS_TKEEP(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0) ,
M_AXIS_TLAST => M_AXIS_TLAST_OUT ,
M_AXIS_TID => M_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) ,
M_AXIS_TDEST => M_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) ,
M_AXIS_TUSER => M_AXIS_TUSER(C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8-1 downto 0)
);
-- Register to break long timing paths for use in
-- transfer complete generation
DWIDTH_REG_STRM_SIGS : process(ACLK)
begin
if(ACLK'EVENT and ACLK = '1')then
if(ARESETN = '0')then
M_AXIS_TLAST_D1 <= '0';
M_AXIS_TVALID_D1 <= '0';
M_AXIS_TREADY_D1 <= '0';
else
M_AXIS_TLAST_D1 <= M_AXIS_TLAST_OUT;
M_AXIS_TVALID_D1 <= M_AXIS_TVALID_OUT;
M_AXIS_TREADY_D1 <= M_AXIS_TREADY;
end if;
end if;
end process DWIDTH_REG_STRM_SIGS;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when M_AXIS_TLAST_D1 = '1'
and M_AXIS_TVALID_D1 = '1'
and M_AXIS_TREADY_D1 = '1'
else '0';
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
DWIDTH_VERT_COUNTER : process(ACLK)
begin
if(ACLK'EVENT and ACLK = '1')then
if(ARESETN = '0' and fsync_out = '0')then
vsize_counter <= (others => '0');
all_lines_xfred <= '1';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
all_lines_xfred <= '0';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
all_lines_xfred <= '1';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
all_lines_xfred <= '0';
end if;
end if;
end process DWIDTH_VERT_COUNTER;
dwidth_fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and M_AXIS_TVALID_OUT = '0') -- All data for frame transmitted
or dm_halt_reg = '1' -- Commanded to Halt
else '0';
end generate GEN_DWIDTH_NO_SOF;
GEN_DWIDTH_SOF : if ENABLE_FLUSH_ON_FSYNC = 1 and C_MM2S_SOF_ENABLE = 1 generate
begin
-- Pass out of core
M_AXIS_TVALID <= M_AXIS_TVALID_OUT;
M_AXIS_TLAST <= M_AXIS_TLAST_OUT;
all_lines_xfred_s_dwidth <= all_lines_xfred;
MM2S_AXIS_DWIDTH_CONVERTER_I : axi_vdma_v6_2_axis_dwidth_converter_v1_0_axis_dwidth_converter
generic map( C_S_AXIS_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED ,
C_M_AXIS_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_AXIS_TID_WIDTH => C_AXIS_TID_WIDTH ,
C_S_AXIS_TUSER_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8 ,
C_M_AXIS_TUSER_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8 ,
C_AXIS_TDEST_WIDTH => C_AXIS_TDEST_WIDTH ,
--C_AXIS_SIGNAL_SET => C_AXIS_SIGNAL_SET ,
C_FAMILY => C_FAMILY )
port map(
ACLK => ACLK ,
ARESETN => ARESETN ,
ACLKEN => ACLKEN ,
S_AXIS_TVALID => S_AXIS_TVALID ,
S_AXIS_TREADY => S_AXIS_TREADY ,
S_AXIS_TDATA => S_AXIS_TDATA(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0) ,
S_AXIS_TSTRB => S_AXIS_TSTRB(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) ,
S_AXIS_TKEEP => S_AXIS_TKEEP(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) ,
S_AXIS_TLAST => S_AXIS_TLAST ,
S_AXIS_TID => S_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) ,
S_AXIS_TDEST => S_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) ,
S_AXIS_TUSER => S_AXIS_TUSER(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) ,
M_AXIS_TVALID => M_AXIS_TVALID_OUT ,
M_AXIS_TREADY => M_AXIS_TREADY ,
M_AXIS_TDATA => M_AXIS_TDATA(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0) ,
M_AXIS_TSTRB => M_AXIS_TSTRB(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0) ,
M_AXIS_TKEEP => M_AXIS_TKEEP(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0) ,
M_AXIS_TLAST => M_AXIS_TLAST_OUT ,
M_AXIS_TID => M_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) ,
M_AXIS_TDEST => M_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) ,
M_AXIS_TUSER => M_AXIS_TUSER(C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8-1 downto 0)
);
-- Register to break long timing paths for use in
-- transfer complete generation
DWIDTH_REG_STRM_SIGS : process(ACLK)
begin
if(ACLK'EVENT and ACLK = '1')then
if(ARESETN = '0')then
M_AXIS_TLAST_D1 <= '0';
M_AXIS_TVALID_D1 <= '0';
M_AXIS_TREADY_D1 <= '0';
else
M_AXIS_TLAST_D1 <= M_AXIS_TLAST_OUT;
M_AXIS_TVALID_D1 <= M_AXIS_TVALID_OUT;
M_AXIS_TREADY_D1 <= M_AXIS_TREADY;
end if;
end if;
end process DWIDTH_REG_STRM_SIGS;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when M_AXIS_TLAST_D1 = '1'
and M_AXIS_TVALID_D1 = '1'
and M_AXIS_TREADY_D1 = '1'
else '0';
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
DWIDTH_VERT_COUNTER : process(ACLK)
begin
if(ACLK'EVENT and ACLK = '1')then
if((ARESETN = '0' and fsync_out = '0') or mm2s_vsize_cntr_clr_flag = '1')then
vsize_counter <= (others => '0');
all_lines_xfred <= '1';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
all_lines_xfred <= '0';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
all_lines_xfred <= '1';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
all_lines_xfred <= '0';
end if;
end if;
end process DWIDTH_VERT_COUNTER;
dwidth_fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and M_AXIS_TVALID_OUT = '0') -- All data for frame transmitted
or dm_halt_reg = '1' -- Commanded to Halt
else '0';
end generate GEN_DWIDTH_SOF;
end implementation;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_t_e
--
-- Generated
-- by: wig
-- on: Sat Mar 3 17:08:41 2007
-- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl -nodelta ../case.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_t_e-e.vhd,v 1.1 2007/03/05 08:58:59 wig Exp $
-- $Date: 2007/03/05 08:58:59 $
-- $Log: inst_t_e-e.vhd,v $
-- Revision 1.1 2007/03/05 08:58:59 wig
-- Upgraded testcases
-- case/force still not fully operational (internal names keep case).
--
-- Revision 1.2 2007/03/03 17:24:06 wig
-- Updated testcase for case matches. Added filename serialization.
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.101 2007/03/01 16:28:38 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_t_e
--
entity inst_t_e is
-- Generics:
-- No Generated Generics for Entity inst_t_e
-- Generated Port Declaration:
-- No Generated Port for Entity inst_t_e
end inst_t_e;
--
-- End of Generated Entity inst_t_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.koc_lock_pack.all;
entity koc_lock_axi4_write_cntrl is
generic (
axi_address_width : integer := 16;
axi_data_width : integer := 32;
reg_control_offset : std_logic_vector := X"0000";
reg_control_default : std_logic_vector := X"00000001"
);
port (
aclk : in std_logic; --! Clock. Tested with 50 MHz.
aresetn : in std_logic;
axi_awaddr : in std_logic_vector(axi_address_width-1 downto 0); --! AXI4-Lite Address Write signal.
axi_awprot : in std_logic_vector(2 downto 0); --! AXI4-Lite Address Write signal.
axi_awvalid : in std_logic; --! AXI4-Lite Address Write signal.
axi_awready : out std_logic; --! AXI4-Lite Address Write signal.
axi_wvalid : in std_logic; --! AXI4-Lite Write Data signal.
axi_wready : out std_logic; --! AXI4-Lite Write Data signal.
axi_wdata : in std_logic_vector(axi_data_width-1 downto 0); --! AXI4-Lite Write Data signal.
axi_wstrb : in std_logic_vector(axi_data_width/8-1 downto 0); --! AXI4-Lite Write Data signal.
axi_bvalid : out std_logic; --! AXI4-Lite Write Response signal.
axi_bready : in std_logic; --! AXI4-Lite Write Response signal.
axi_bresp : out std_logic_vector(1 downto 0); --! AXI4-Lite Write Response signal.
reg_control : out std_logic_vector(axi_data_width-1 downto 0)
);
end koc_lock_axi4_write_cntrl;
architecture Behavioral of koc_lock_axi4_write_cntrl is
type state_type is (state_wait,state_write,state_response);
signal state : state_type := state_wait;
signal axi_awready_buff : std_logic := '0';
signal axi_awaddr_buff : std_logic_vector(axi_address_width-1 downto 0);
signal axi_wready_buff : std_logic := '0';
signal axi_bvalid_buff : std_logic := '0';
signal reg_control_buff : std_logic_vector(axi_data_width-1 downto 0) := reg_control_default;
begin
axi_awready <= axi_awready_buff;
axi_wready <= axi_wready_buff;
axi_bvalid <= axi_bvalid_buff;
axi_bresp <= axi_resp_okay;
reg_control <= reg_control_buff;
-- Drive the axi write interface.
process (aclk)
variable reg_control_var : std_logic_vector(axi_data_width-1 downto 0);
begin
-- Perform operations on the clock's positive edge.
if rising_edge(aclk) then
if aresetn='0' then
axi_awready_buff <= '0';
axi_wready_buff <= '0';
axi_bvalid_buff <= '0';
reg_control_buff <= reg_control_default;
state <= state_wait;
else
case state is
when state_wait=>
if axi_awvalid='1' and axi_awready_buff='1' then
axi_awready_buff <= '0';
axi_awaddr_buff <= axi_awaddr;
axi_wready_buff <= '1';
state <= state_write;
else
axi_awready_buff <= '1';
end if;
when state_write=>
if axi_wvalid='1' and axi_wready_buff='1' then
axi_wready_buff <= '0';
-- Determine control value from device requesting lock.
reg_control_var := (others=>'0');
for each_byte in 0 to axi_data_width/8-1 loop
if axi_awaddr_buff=reg_control_offset and axi_wstrb(each_byte)='1' then
reg_control_var(7+each_byte*8 downto each_byte*8) :=
axi_wdata(7+each_byte*8 downto each_byte*8);
end if;
end loop;
-- Perform lock if lock is available, or release it.
if reg_control_buff=reg_control_var then
reg_control_buff <= (others=>'0');
elsif reg_control_buff=std_logic_vector(to_unsigned(0,axi_data_width)) then
reg_control_buff <= reg_control_var;
end if;
state <= state_response;
axi_bvalid_buff <= '1';
end if;
when state_response=>
if axi_bvalid_buff='1' and axi_bready='1' then
axi_bvalid_buff <= '0';
state <= state_wait;
end if;
end case;
end if;
end if;
end process;
end Behavioral;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc\hdlcodercpu_eml\CPU_Subsystem_8_bit_pkg.vhd
-- Created: 2014-08-26 11:41:14
--
-- Generated by MATLAB 8.3 and HDL Coder 3.4
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
PACKAGE CPU_Subsystem_8_bit_pkg IS
TYPE vector_of_unsigned12 IS ARRAY (NATURAL RANGE <>) OF unsigned(11 DOWNTO 0);
END CPU_Subsystem_8_bit_pkg;
|
-------------------------------------------------------------------------------
-- sng_port_arb.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
-------------------------------------------------------------------------------
-- Filename: sng_port_arb.vhd
--
-- Description: This file is the top level arbiter for full AXI4 mode
-- when configured in a single port mode to BRAM.
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
-- JLJ 4/11/2011 v1.03a
-- ~~~~~~
-- Add input signal, AW2Arb_BVALID_Cnt, from wr_chnl. For configurations
-- when WREADY is to be a registered output. With a seperate FIFO for BID,
-- ensure arbitration does not get more than 8 ahead of BID responses. A
-- value of 8 is the max of the BVALID counter.
-- ^^^^^^
--
--
--
--
-------------------------------------------------------------------------------
-- Library declarations
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
------------------------------------------------------------------------------
entity sng_port_arb is
generic (
C_S_AXI_ADDR_WIDTH : integer := 32
-- Width of AXI address bus (in bits)
);
port (
-- *** AXI Clock and Reset ***
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
-- *** AXI Write Address Channel Signals (AW) ***
AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
AXI_AWVALID : in std_logic;
AXI_AWREADY : out std_logic := '0';
-- *** AXI Read Address Channel Signals (AR) ***
AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
AXI_ARVALID : in std_logic;
AXI_ARREADY : out std_logic := '0';
-- *** Write Channel Interface Signals ***
Arb2AW_Active : out std_logic := '0';
AW2Arb_Busy : in std_logic;
AW2Arb_Active_Clr : in std_logic;
AW2Arb_BVALID_Cnt : in std_logic_vector (2 downto 0);
-- *** Read Channel Interface Signals ***
Arb2AR_Active : out std_logic := '0';
AR2Arb_Active_Clr : in std_logic
);
end entity sng_port_arb;
-------------------------------------------------------------------------------
architecture implementation of sng_port_arb is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Constants
-------------------------------------------------------------------------------
constant C_RESET_ACTIVE : std_logic := '0';
constant ARB_WR : std_logic := '0';
constant ARB_RD : std_logic := '1';
-------------------------------------------------------------------------------
-- Signals
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- AXI Write & Read Address Channel Signals
-------------------------------------------------------------------------------
-- State machine type declarations
type ARB_SM_TYPE is ( IDLE,
RD_DATA,
WR_DATA
);
signal arb_sm_cs, arb_sm_ns : ARB_SM_TYPE;
signal axi_awready_cmb : std_logic := '0';
signal axi_awready_int : std_logic := '0';
signal axi_arready_cmb : std_logic := '0';
signal axi_arready_int : std_logic := '0';
signal last_arb_won_cmb : std_logic := '0';
signal last_arb_won : std_logic := '0';
signal aw_active_cmb : std_logic := '0';
signal aw_active : std_logic := '0';
signal ar_active_cmb : std_logic := '0';
signal ar_active : std_logic := '0';
-------------------------------------------------------------------------------
-- Architecture Body
-------------------------------------------------------------------------------
begin
---------------------------------------------------------------------------
-- *** AXI Output Signals ***
---------------------------------------------------------------------------
-- AXI Write Address Channel Output Signals
AXI_AWREADY <= axi_awready_int;
-- AXI Read Address Channel Output Signals
AXI_ARREADY <= axi_arready_int;
---------------------------------------------------------------------------
-- *** AXI Write Address Channel Interface ***
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- *** AXI Read Address Channel Interface ***
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- *** Internal Arbitration Interface ***
---------------------------------------------------------------------------
Arb2AW_Active <= aw_active;
Arb2AR_Active <= ar_active;
---------------------------------------------------------------------------
-- Main Arb State Machine
--
-- Description: Main arbitration logic when AXI BRAM controller
-- configured in a single port BRAM mode.
-- Module is instantiated when C_SINGLE_PORT_BRAM = 1.
--
-- Outputs: last_arb_won Registered
-- aw_active Registered
-- ar_active Registered
-- axi_awready_int Registered
-- axi_arready_int Registered
--
--
-- ARB_SM_CMB_PROCESS: Combinational process to determine next state.
-- ARB_SM_REG_PROCESS: Registered process of the state machine.
--
---------------------------------------------------------------------------
ARB_SM_CMB_PROCESS: process ( AXI_AWVALID,
AXI_ARVALID,
AW2Arb_BVALID_Cnt,
AW2Arb_Busy,
AW2Arb_Active_Clr,
AR2Arb_Active_Clr,
last_arb_won,
aw_active,
ar_active,
arb_sm_cs )
begin
-- assign default values for state machine outputs
arb_sm_ns <= arb_sm_cs;
axi_awready_cmb <= '0';
axi_arready_cmb <= '0';
last_arb_won_cmb <= last_arb_won;
aw_active_cmb <= aw_active;
ar_active_cmb <= ar_active;
case arb_sm_cs is
---------------------------- IDLE State ---------------------------
when IDLE =>
-- Check for valid read operation
-- Reads take priority over AW traffic (if both asserted)
-- 4/11
-- if ((AXI_ARVALID = '1') and (AXI_AWVALID = '1') and (last_arb_won = ARB_WR)) or
-- ((AXI_ARVALID = '1') and (AXI_AWVALID = '0')) then
-- 4/11
-- Add BVALID counter to AW arbitration.
-- Since this is arbitration to read, no need for BVALID counter.
if ((AXI_ARVALID = '1') and (AXI_AWVALID = '1') and (last_arb_won = ARB_WR)) or -- and
--(AW2Arb_BVALID_Cnt /= "111")) or
((AXI_ARVALID = '1') and (AXI_AWVALID = '0')) then
-- Read wins arbitration
arb_sm_ns <= RD_DATA;
axi_arready_cmb <= '1';
last_arb_won_cmb <= ARB_RD;
ar_active_cmb <= '1';
-- Write operations are lower priority than reads
-- when an AXI master asserted both operations simultaneously.
-- 4/11 elsif (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') then
elsif (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') and
(AW2Arb_BVALID_Cnt /= "111") then
-- Write wins arbitration
arb_sm_ns <= WR_DATA;
axi_awready_cmb <= '1';
last_arb_won_cmb <= ARB_WR;
aw_active_cmb <= '1';
end if;
------------------------- WR_DATA State -------------------------
when WR_DATA =>
-- Wait for write operation to complete
if (AW2Arb_Active_Clr = '1') then
aw_active_cmb <= '0';
-- Check early for pending read (to save clock cycle
-- in transitioning back to IDLE)
if (AXI_ARVALID = '1') then
-- Read wins arbitration
arb_sm_ns <= RD_DATA;
axi_arready_cmb <= '1';
last_arb_won_cmb <= ARB_RD;
ar_active_cmb <= '1';
-- Note: if timing paths occur b/w wr_chnl data SM
-- and here, remove this clause to check for early
-- arbitration on a read operation.
else
arb_sm_ns <= IDLE;
end if;
end if;
---------------------------- RD_DATA State ---------------------------
when RD_DATA =>
-- Wait for read operation to complete
if (AR2Arb_Active_Clr = '1') then
ar_active_cmb <= '0';
-- Check early for pending write operation (to save clock cycle
-- in transitioning back to IDLE)
-- 4/11 if (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') then
if (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') and
(AW2Arb_BVALID_Cnt /= "111") then
-- Write wins arbitration
arb_sm_ns <= WR_DATA;
axi_awready_cmb <= '1';
last_arb_won_cmb <= ARB_WR;
aw_active_cmb <= '1';
-- Note: if timing paths occur b/w rd_chnl data SM
-- and here, remove this clause to check for early
-- arbitration on a write operation.
-- Check early for a pending back-to-back read operation
elsif (AXI_AWVALID = '0') and (AXI_ARVALID = '1') then
-- Read wins arbitration
arb_sm_ns <= RD_DATA;
axi_arready_cmb <= '1';
last_arb_won_cmb <= ARB_RD;
ar_active_cmb <= '1';
else
arb_sm_ns <= IDLE;
end if;
end if;
--coverage off
------------------------------ Default ----------------------------
when others =>
arb_sm_ns <= IDLE;
--coverage on
end case;
end process ARB_SM_CMB_PROCESS;
---------------------------------------------------------------------------
ARB_SM_REG_PROCESS: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (S_AXI_AResetn = C_RESET_ACTIVE) then
arb_sm_cs <= IDLE;
last_arb_won <= ARB_WR;
aw_active <= '0';
ar_active <= '0';
axi_awready_int <='0';
axi_arready_int <='0';
else
arb_sm_cs <= arb_sm_ns;
last_arb_won <= last_arb_won_cmb;
aw_active <= aw_active_cmb;
ar_active <= ar_active_cmb;
axi_awready_int <= axi_awready_cmb;
axi_arready_int <= axi_arready_cmb;
end if;
end if;
end process ARB_SM_REG_PROCESS;
---------------------------------------------------------------------------
end architecture implementation;
|
library IEEE;
use IEEE.std_logic_1164.all;
entity SCIT4 is
port (
A, B : in std_logic_vector ( 3 downto 0 );
Cin : in std_logic;
S : out std_logic_vector ( 4 downto 0 )
);
end entity SCIT4;
architecture SCIT4_BODY of SCIT4 is
signal C : std_logic_vector ( 4 downto 0 );
begin
-- VSTUP : process (Cin)
-- begin
-- C(0) <= Cin;
-- end process VSTUP;
SCITANI : process ( C, A, B, Cin )
begin
C(0) <= Cin;
for I in 0 to 3 loop
S(I) <= A(I) xor B(I) xor C(I) after 2 ns;
C(I+1) <= ( A(I) and B(I) ) or ( A(I) and C(I) ) or ( B(I) and C(I) ) after 2 ns;
end loop;
S(4) <= C(4);
end process SCITANI;
-- VYSTUP : process (C(4))
-- begin
-- S(4) <= C(4);
-- end process VYSTUP;
end architecture SCIT4_BODY;
|
-- Project: VHDL to Verilog RTL translation
-- Revision: 1.0
-- Date of last Revision: February 27 2001
-- Designer: Vincenzo Liguori
-- vhd2vl test file
-- This VHDL file exercises vhd2vl
LIBRARY IEEE;
USE IEEE.std_logic_1164.all, IEEE.std_logic_arith.all, IEEE.std_logic_unsigned.all;
entity test is port(
-- Inputs
clk, rstn : in std_logic;
en, start_dec : in std_logic;
addr : in std_logic_vector(2 downto 0);
din : in std_logic_vector(25 downto 0);
we : in std_logic;
pixel_in : in std_logic_vector(7 downto 0);
pix_req : in std_logic;
config, bip : in std_logic;
a, b : in std_logic_vector(7 downto 0);
c, load : in std_logic_vector(7 downto 0);
pack : in std_logic_vector(6 downto 0);
base : in std_logic_vector(2 downto 0);
qtd : in std_logic_vector(21 downto 0);
-- Outputs
dout : out std_logic_vector(25 downto 0);
pixel_out : out std_logic_vector(7 downto 0);
pixel_valid : out std_logic;
code : out std_logic_vector(9 downto 0);
code1 : out std_logic_vector(9 downto 0);
complex : out std_logic_vector(23 downto 0);
eno : out std_logic
);
end test;
architecture rtl of test is
-- Components declarations are ignored by vhd2vl
-- but they are still parsed
component dsp port(
-- Inputs
clk, rstn : in std_logic;
en, start : in std_logic;
param : in std_logic_vector(7 downto 0);
addr : in std_logic_vector(2 downto 0);
din : in std_logic_vector(25 downto 0);
we : in std_logic;
memdin : out std_logic_vector(13 downto 0);
-- Outputs
dout : out std_logic_vector(25 downto 0);
memaddr : out std_logic_vector(5 downto 0);
memdout : out std_logic_vector(13 downto 0)
);
end component;
component mem port(
-- Inputs
clk, rstn : in std_logic;
en : in std_logic;
cs : in std_logic;
addr : in std_logic_vector(5 downto 0);
din : in std_logic_vector(13 downto 0);
-- Outputs
dout : out std_logic_vector(13 downto 0)
);
end component;
type state is (red, green, blue, yellow);
signal status : state;
constant PARAM1 : std_logic_vector(7 downto 0):="01101101";
constant PARAM2 : std_logic_vector(7 downto 0):="11001101";
constant PARAM3 : std_logic_vector(7 downto 0):="00010111";
signal param : std_logic_vector(7 downto 0);
signal selection : std_logic;
signal start, enf : std_logic; -- Start and enable signals
signal memdin : std_logic_vector(13 downto 0);
signal memaddr : std_logic_vector(5 downto 0);
signal memdout : std_logic_vector(13 downto 0);
signal colour : std_logic_vector(1 downto 0);
begin
param <= PARAM1 when config = '1' else PARAM2 when status = green else PARAM3;
-- Synchronously process
process(clk) begin
if clk'event and clk = '1' then
pixel_out <= pixel_in xor "11001100";
end if;
end process;
-- Synchronous process
process(clk) begin
if rising_edge(clk) then
case status is
when red => colour <= "00";
when green => colour <= "01";
when blue => colour <= "10";
when others => colour <= "11";
end case;
end if;
end process;
-- Synchronous process with asynch reset
process(clk,rstn) begin
if rstn = '0' then
status <= red;
elsif rising_edge(clk) then
case status is
when red =>
if pix_req = '1' then
status <= green;
end if;
when green =>
if a(3) = '1' then
start <= start_dec;
status <= blue;
elsif (b(5) & a(3 downto 2)) = "001" then
status <= yellow;
end if;
when blue =>
status <= yellow;
when others =>
start <= '0';
status <= red;
end case;
end if;
end process;
-- Example of with statement
with memaddr(2 downto 0) select
code(9 downto 2) <= "110" & pack(6 downto 2) when "000" | "110",
"11100010" when "101",
(others => '1') when "010",
(others => '0') when "011",
a + b + '1' when others;
code1(1 downto 0) <= a(6 downto 5) xor (a(4) & b(6));
-- Asynch process
decode : process(we, addr, config, bip) begin
if we = '1' then
if addr(2 downto 0) = "100" then
selection <= '1';
elsif (b & a) = a & b and bip = '0' then
selection <= config;
else
selection <= '1';
end if;
else
selection <= '0';
end if;
end process decode;
-- Components instantiation
dsp_inst : dsp port map(
-- Inputs
clk => clk,
rstn => rstn,
en => en,
start => start,
param => param,
addr => addr,
din => din,
we => we,
memdin => memdin,
-- Outputs
dout => dout,
memaddr => memaddr,
memdout => memdout
);
dsp_mem : mem port map(
-- Inputs
clk => clk,
rstn => rstn,
en => en,
cs => selection,
addr => memaddr,
din => memdout,
-- Outputs
dout => memdin
);
complex <= enf & ("110" * load) & qtd(3 downto 0) & base & "11001";
enf <= '1' when a = "1101111" + load and c < "1000111" else '0';
eno <= enf;
end rtl;
|
architecture rtl of fifo is
constant AVMM_SLAVE_NULL : t_avmm_slave :=
(
(others => '0'),
'0',
'0'
);
constant cons1 : t_type :=
(
(others => '0'),
(1 => '0', others => '1'),
(others => '0')
);
constant cons2 : t_type :=
(
(others => (valid => '0', data => (others => '0'))),
(others => (1 => '0', (others => '0')))
);
begin
end architecture rtl;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core - core top file for implementation
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: txreg_exdes.vhd
--
-- Description:
-- This is the FIFO core wrapper with BUFG instances for clock connections.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
entity txreg_exdes is
PORT (
CLK : IN std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(8-1 DOWNTO 0);
DOUT : OUT std_logic_vector(8-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end txreg_exdes;
architecture xilinx of txreg_exdes is
signal clk_i : std_logic;
component txreg is
PORT (
CLK : IN std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(8-1 DOWNTO 0);
DOUT : OUT std_logic_vector(8-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end component;
begin
clk_buf: bufg
PORT map(
i => CLK,
o => clk_i
);
exdes_inst : txreg
PORT MAP (
CLK => clk_i,
RST => rst,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
end xilinx;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_tb_05_03.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity tb_05_03 is
end entity tb_05_03;
architecture test of tb_05_03 is
signal D, clk, clr, Q : bit := '0';
begin
dut : entity work.edge_triggered_Dff(behavioral)
port map ( D => D, clk => clk, clr => clr,
Q => Q );
stimulus : process is
begin
D <= '1'; wait for 10 ns;
clk <= '1'; wait for 10 ns;
D <= '0'; wait for 10 ns;
clk <= '0'; wait for 10 ns;
D <= '1'; wait for 10 ns;
clr <= '1'; wait for 10 ns;
clk <= '1'; wait for 10 ns;
clr <= '0'; wait for 10 ns;
clk <= '0'; wait for 10 ns;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_tb_05_03.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity tb_05_03 is
end entity tb_05_03;
architecture test of tb_05_03 is
signal D, clk, clr, Q : bit := '0';
begin
dut : entity work.edge_triggered_Dff(behavioral)
port map ( D => D, clk => clk, clr => clr,
Q => Q );
stimulus : process is
begin
D <= '1'; wait for 10 ns;
clk <= '1'; wait for 10 ns;
D <= '0'; wait for 10 ns;
clk <= '0'; wait for 10 ns;
D <= '1'; wait for 10 ns;
clr <= '1'; wait for 10 ns;
clk <= '1'; wait for 10 ns;
clr <= '0'; wait for 10 ns;
clk <= '0'; wait for 10 ns;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_tb_05_03.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity tb_05_03 is
end entity tb_05_03;
architecture test of tb_05_03 is
signal D, clk, clr, Q : bit := '0';
begin
dut : entity work.edge_triggered_Dff(behavioral)
port map ( D => D, clk => clk, clr => clr,
Q => Q );
stimulus : process is
begin
D <= '1'; wait for 10 ns;
clk <= '1'; wait for 10 ns;
D <= '0'; wait for 10 ns;
clk <= '0'; wait for 10 ns;
D <= '1'; wait for 10 ns;
clr <= '1'; wait for 10 ns;
clk <= '1'; wait for 10 ns;
clr <= '0'; wait for 10 ns;
clk <= '0'; wait for 10 ns;
wait;
end process stimulus;
end architecture test;
|
--
---- SPI Module - entity/architecture pair
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
---- Filename: qspi_mode_0_module.vhd
---- Version: v3.0
---- Description: Serial Peripheral Interface (SPI) Module for interfacing
---- with a 32-bit AXI4 Bus.
----
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_spi.
--
-- axi_quad_spi.vhd
-- |--Legacy_mode
-- |-- axi_lite_ipif.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--Enhanced_mode
-- |--axi_qspi_enhanced_mode.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--XIP_mode
-- |-- axi_lite_ipif.vhd
-- |-- xip_cntrl_reg.vhd
-- |-- reset_sync_module.vhd
-- |-- xip_status_reg.vhd
-- |-- axi_qspi_xip_if.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- comp_defs.vhd -- (helper lib)
-------------------------------------------------------------------------------
-- Author: SK
-- ~~~~~~
-- - First version of axi_quad_spi.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v3.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.log2;
use proc_common_v4_0.ipif_pkg.all;
use proc_common_v4_0.proc_common_pkg.all;
library unisim;
use unisim.vcomponents.FD;
use unisim.vcomponents.FDRE;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------:
-- C_SCK_RATIO -- 2, 4, 16, 32, , , , 1024, 2048 SPI
-- clock ratio (16*N), where N=1,2,3...
-- C_SPI_NUM_BITS_REG -- Width of SPI Control register
-- in this module
-- C_NUM_SS_BITS -- Total number of SS-bits
-- C_NUM_TRANSFER_BITS -- SPI Serial transfer width.
-- Can be 8, 16 or 32 bit wide
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- SYSTEM
-- Bus2IP_Clk -- Bus to IP clock
-- Soft_Reset_op -- Soft_Reset_op Signal
-- OTHER INTERFACE
-- Slave_MODF_strobe -- Slave mode fault strobe
-- MODF_strobe -- Mode fault strobe
-- SR_3_MODF -- Mode fault error flag
-- SR_5_Tx_Empty -- Transmit Empty
-- Control_Reg -- Control Register
-- Slave_Select_Reg -- Slave Select Register
-- Transmit_Data -- Data Transmit Register Interface
-- Receive_Data -- Data Receive Register Interface
-- SPIXfer_done -- SPI transfer done flag
-- DTR_underrun -- DTR underrun generation signal
-- SPI INTERFACE
-- SCK_I -- SPI Bus Clock Input
-- SCK_O_reg -- SPI Bus Clock Output
-- SCK_T -- SPI Bus Clock 3-state Enable
-- (3-state when high)
-- MISO_I -- Master out,Slave in Input
-- MISO_O -- Master out,Slave in Output
-- MISO_T -- Master out,Slave in 3-state Enable
-- MOSI_I -- Master in,Slave out Input
-- MOSI_O -- Master in,Slave out Output
-- MOSI_T -- Master in,Slave out 3-state Enable
-- SPISEL -- Local SPI slave select active low input
-- has to be initialzed to VCC
-- SS_I -- Input of slave select vector
-- of length N input where there are
-- N SPI devices,but not connected
-- SS_O -- One-hot encoded,active low slave select
-- vector of length N ouput
-- SS_T -- Single 3-state control signal for
-- slave select vector of length N
-- (3-state when high)
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity qspi_mode_0_module is
generic
(
--C_SPI_MODE : integer;
C_SCK_RATIO : integer;
C_NUM_SS_BITS : integer;
C_NUM_TRANSFER_BITS : integer;
C_USE_STARTUP : integer;
C_SPICR_REG_WIDTH : integer;
C_SUB_FAMILY : string;
C_FIFO_EXIST : integer
);
port
(
Bus2IP_Clk : in std_logic;
Soft_Reset_op : in std_logic;
----------------------
-- Control Reg is 10-bit wide
SPICR_0_LOOP : in std_logic;
SPICR_1_SPE : in std_logic;
SPICR_2_MASTER_N_SLV : in std_logic;
SPICR_3_CPOL : in std_logic;
SPICR_4_CPHA : in std_logic;
SPICR_5_TXFIFO_RST : in std_logic;
SPICR_6_RXFIFO_RST : in std_logic;
SPICR_7_SS : in std_logic;
SPICR_8_TR_INHIBIT : in std_logic;
SPICR_9_LSB : in std_logic;
----------------------
SR_3_MODF : in std_logic;
SR_5_Tx_Empty : in std_logic;
Slave_MODF_strobe : out std_logic;
MODF_strobe : out std_logic;
SPIXfer_done_rd_tx_en: out std_logic;
Slave_Select_Reg : in std_logic_vector(0 to (C_NUM_SS_BITS-1));
Transmit_Data : in std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
Receive_Data : out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
SPIXfer_done : out std_logic;
DTR_underrun : out std_logic;
SPISEL_pulse_op : out std_logic;
SPISEL_d1_reg : out std_logic;
--SPI Interface
SCK_I : in std_logic;
SCK_O_reg : out std_logic;
SCK_T : out std_logic;
MISO_I : in std_logic;
MISO_O : out std_logic;
MISO_T : out std_logic;
MOSI_I : in std_logic;
MOSI_O : out std_logic;
MOSI_T : out std_logic;
SPISEL : in std_logic;
SS_I : in std_logic_vector((C_NUM_SS_BITS-1) downto 0);
SS_O : out std_logic_vector((C_NUM_SS_BITS-1) downto 0);
SS_T : out std_logic;
control_bit_7_8 : in std_logic_vector(0 to 1);
Mst_N_Slv_mode : out std_logic;
Rx_FIFO_Full : in std_logic;
reset_RcFIFO_ptr_to_spi : in std_logic;
DRR_Overrun_reg : out std_logic;
tx_cntr_xfer_done : out std_logic
);
end qspi_mode_0_module;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture imp of qspi_mode_0_module is
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Function Declarations
---------------------------------------------------------------------
------------------------
-- spcl_log2 : Performs log2(x) function for value of C_SCK_RATIO > 2
------------------------
function spcl_log2(x : natural) return integer is
variable j : integer := 0;
variable k : integer := 0;
begin
if(C_SCK_RATIO /= 2) then
for i in 0 to 11 loop
if(2**i >= x) then
if(k = 0) then
j := i;
end if;
k := 1;
end if;
end loop;
return j;
else
-- coverage off
return 2;
-- coverage on
end if;
end spcl_log2;
-------------------------------------------------------------------------------
-- Constant Declarations
------------------------------------------------------------------
constant RESET_ACTIVE : std_logic := '1';
constant COUNT_WIDTH : INTEGER := log2(C_NUM_TRANSFER_BITS)+1;
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
signal Ratio_Count : std_logic_vector
(0 to (spcl_log2(C_SCK_RATIO))-2);
signal Count : std_logic_vector
(COUNT_WIDTH downto 0)
:= (others => '0');
signal LSB_first : std_logic;
signal Mst_Trans_inhibit : std_logic;
signal Manual_SS_mode : std_logic;
signal CPHA : std_logic;
signal CPOL : std_logic;
signal Mst_N_Slv : std_logic;
signal SPI_En : std_logic;
signal Loop_mode : std_logic;
signal transfer_start : std_logic;
signal transfer_start_d1 : std_logic;
signal transfer_start_pulse : std_logic;
signal SPIXfer_done_int : std_logic;
signal SPIXfer_done_int_d1 : std_logic;
signal SPIXfer_done_int_pulse : std_logic;
signal SPIXfer_done_int_pulse_d1 : std_logic;
signal sck_o_int : std_logic;
signal sck_o_in : std_logic;
signal Count_trigger : std_logic;
signal Count_trigger_d1 : std_logic;
signal Count_trigger_pulse : std_logic;
signal Sync_Set : std_logic;
signal Sync_Reset : std_logic;
signal Serial_Dout : std_logic;
signal Serial_Din : std_logic;
signal Shift_Reg : std_logic_vector
(0 to C_NUM_TRANSFER_BITS-1);
signal SS_Asserted : std_logic;
signal SS_Asserted_1dly : std_logic;
signal Allow_Slave_MODF_Strobe : std_logic;
signal Allow_MODF_Strobe : std_logic;
signal Loading_SR_Reg_int : std_logic;
signal sck_i_d1 : std_logic;
signal spisel_d1 : std_logic;
signal spisel_pulse : std_logic;
signal rising_edge_sck_i : std_logic;
signal falling_edge_sck_i : std_logic;
signal edge_sck_i : std_logic;
signal MODF_strobe_int : std_logic;
signal master_tri_state_en_control: std_logic;
signal slave_tri_state_en_control: std_logic;
-- following signals are added for use in variouos clock ratio modes.
signal sck_d1 : std_logic;
signal sck_d2 : std_logic;
signal sck_rising_edge : std_logic;
signal rx_shft_reg : std_logic_vector(0 to C_NUM_TRANSFER_BITS-1);
signal SPIXfer_done_int_pulse_d2 : std_logic;
signal SPIXfer_done_int_pulse_d3 : std_logic;
-- added synchronization signals for SPISEL and SCK_I
signal SPISEL_sync : std_logic;
signal SCK_I_sync : std_logic;
-- following register are declared for making data path clear in different modes
signal rx_shft_reg_s : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1))
:=(others => '0');
signal rx_shft_reg_mode_0011 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1))
:=(others => '0');
signal rx_shft_reg_mode_0110 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1))
:=(others => '0');
signal sck_fe1 : std_logic;
signal sck_d21 : std_logic:='0';
signal sck_d11 : std_logic:='0';
signal SCK_O_1 : std_logic:='0';
signal receive_Data_int : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1))
:=(others => '0');
signal mosi_i_sync : std_logic;
signal miso_i_sync : std_logic;
signal serial_dout_int : std_logic;
--
--attribute IOB : string;
--attribute IOB of SPI_TRISTATE_CONTROL_II : label is "true";
--attribute IOB of SPI_TRISTATE_CONTROL_III : label is "true";
--attribute IOB of SPI_TRISTATE_CONTROL_IV : label is "true";
--attribute IOB of SPI_TRISTATE_CONTROL_V : label is "true";
--attribute IOB of OTHER_RATIO_GENERATE : label is "true";
--attribute IOB of SCK_I_REG : label is "true";
--attribute IOB of SPISEL_REG : label is "true";
signal Mst_Trans_inhibit_d1, Mst_Trans_inhibit_pulse : std_logic;
signal no_slave_selected : std_logic;
type STATE_TYPE is
(IDLE, -- decode command can be combined here later
TRANSFER_OKAY,
TEMP_TRANSFER_OKAY
);
signal spi_cntrl_ps: STATE_TYPE;
signal spi_cntrl_ns: STATE_TYPE;
signal stop_clock_reg : std_logic;
signal stop_clock : std_logic;
signal Rx_FIFO_Full_reg, DRR_Overrun_reg_int : std_logic;
signal transfer_start_d2 : std_logic;
signal transfer_start_d3 : std_logic;
signal SR_5_Tx_Empty_d1 : std_logic;
signal SR_5_Tx_Empty_pulse: std_logic;
signal SR_5_Tx_comeplete_Empty : std_logic;
signal falling_edge_sck_i_d1, rising_edge_sck_i_d1 : std_logic;
signal spisel_d2 : std_logic;
signal xfer_done_fifo_0 : std_logic;
signal rst_xfer_done_fifo_0 : std_logic;
-------------------------------------------------------------------------------
-- Architecture Starts
-------------------------------------------------------------------------------
begin
--------------------------------------------------
LOCAL_TX_EMPTY_RX_FULL_FIFO_0_GEN: if C_FIFO_EXIST = 0 generate
-----
begin
-----------------------------------------
TX_EMPTY_MODE_0_P: process (Bus2IP_Clk)is
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) or
(transfer_start_pulse = '1') or
(rst_xfer_done_fifo_0 = '1')then
xfer_done_fifo_0 <= '0';
elsif(SPIXfer_done_int_pulse = '1')then
xfer_done_fifo_0 <= '1';
end if;
end if;
end process TX_EMPTY_MODE_0_P;
------------------------------
RX_FULL_CHECK_PROCESS: process(Bus2IP_Clk) is
begin
if(Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE)or(reset_RcFIFO_ptr_to_spi = '1') then
Rx_FIFO_Full_reg <= '0';
elsif(SPIXfer_done_int_pulse = '1')then
Rx_FIFO_Full_reg <= '1';
end if;
end if;
end process RX_FULL_CHECK_PROCESS;
-----------------------------------
PS_TO_NS_PROCESS: process(Bus2IP_Clk)is
-----
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
spi_cntrl_ps <= IDLE;
stop_clock_reg <= '0';
else
spi_cntrl_ps <= spi_cntrl_ns;
stop_clock_reg <= stop_clock;
end if;
end if;
end process PS_TO_NS_PROCESS;
-----------------------------
SPI_STATE_MACHINE_P: process(
Mst_N_Slv,
stop_clock_reg,
spi_cntrl_ps,
no_slave_selected,
SR_5_Tx_Empty,
SPIXfer_done_int_pulse,
transfer_start_pulse,
xfer_done_fifo_0
)
begin
stop_clock <= '0';
rst_xfer_done_fifo_0 <= '0';
--------------------------
case spi_cntrl_ps is
--------------------------
when IDLE => if(SR_5_Tx_Empty = '0' and transfer_start_pulse = '1' and Mst_N_Slv = '1') then
stop_clock <= '0';
spi_cntrl_ns <= TRANSFER_OKAY;
else
stop_clock <= SR_5_Tx_Empty;
spi_cntrl_ns <= IDLE;
end if;
-------------------------------------
when TRANSFER_OKAY => if(SR_5_Tx_Empty = '1') then
if(no_slave_selected = '1')then
stop_clock <= '1';
spi_cntrl_ns <= IDLE;
else
spi_cntrl_ns <= TEMP_TRANSFER_OKAY;
end if;
else
spi_cntrl_ns <= TRANSFER_OKAY;
end if;
-------------------------------------
when TEMP_TRANSFER_OKAY => stop_clock <= stop_clock_reg;
if(SR_5_Tx_Empty='1')then
stop_clock <= xfer_done_fifo_0;
if (no_slave_selected = '1')then
spi_cntrl_ns <= IDLE;
--code coverage -- elsif(SPIXfer_done_int_pulse='1')then
--code coverage -- stop_clock <= SR_5_Tx_Empty;
--code coverage -- spi_cntrl_ns <= TEMP_TRANSFER_OKAY;
else
spi_cntrl_ns <= TEMP_TRANSFER_OKAY;
end if;
else
stop_clock <= '0';
rst_xfer_done_fifo_0 <= '1';
spi_cntrl_ns <= TRANSFER_OKAY;
end if;
-------------------------------------
-- coverage off
when others => spi_cntrl_ns <= IDLE;
-- coverage on
-------------------------------------
end case;
--------------------------
end process SPI_STATE_MACHINE_P;
-----------------------------------------------
end generate LOCAL_TX_EMPTY_RX_FULL_FIFO_0_GEN;
-------------------------------------------------------------------------------
LOCAL_TX_EMPTY_FIFO_12_GEN: if C_FIFO_EXIST /= 0 generate
-----
begin
-----
xfer_done_fifo_0 <= '0';
RX_FULL_CHECK_PROCESS: process(Bus2IP_Clk) is
----------------------
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
Rx_FIFO_Full_reg <= '0';
elsif(reset_RcFIFO_ptr_to_spi = '1') or (DRR_Overrun_reg_int = '1') then
Rx_FIFO_Full_reg <= '0';
elsif(SPIXfer_done_int_pulse = '1')and (Rx_FIFO_Full = '1') then
Rx_FIFO_Full_reg <= '1';
end if;
end if;
end process RX_FULL_CHECK_PROCESS;
----------------------------------
PS_TO_NS_PROCESS: process(Bus2IP_Clk)is
-----
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
spi_cntrl_ps <= IDLE;
stop_clock_reg <= '0';
else
spi_cntrl_ps <= spi_cntrl_ns;
stop_clock_reg <= stop_clock;
end if;
end if;
end process PS_TO_NS_PROCESS;
-----------------------------
SPI_STATE_MACHINE_P: process(
Mst_N_Slv ,
stop_clock_reg ,
spi_cntrl_ps ,
no_slave_selected ,
SR_5_Tx_Empty ,
SPIXfer_done_int_pulse ,
transfer_start_pulse ,
SPIXfer_done_int_pulse_d2,
SR_5_Tx_comeplete_Empty,
Loop_mode
)is
-----
begin
-----
stop_clock <= '0';
--rst_xfer_done_fifo_0 <= '0';
--------------------------
case spi_cntrl_ps is
--------------------------
when IDLE => if(SR_5_Tx_Empty = '0' and transfer_start_pulse = '1' and Mst_N_Slv = '1') then
spi_cntrl_ns <= TRANSFER_OKAY;
stop_clock <= '0';
else
stop_clock <= SR_5_Tx_Empty;
spi_cntrl_ns <= IDLE;
end if;
-------------------------------------
when TRANSFER_OKAY => if(SR_5_Tx_Empty = '1') then
--if(no_slave_selected = '1')then
if(SR_5_Tx_comeplete_Empty = '1' and
SPIXfer_done_int_pulse_d2 = '1') then
stop_clock <= '1';
spi_cntrl_ns <= IDLE;
else
spi_cntrl_ns <= TEMP_TRANSFER_OKAY;
end if;
else
spi_cntrl_ns <= TRANSFER_OKAY;
end if;
-------------------------------------
when TEMP_TRANSFER_OKAY => stop_clock <= stop_clock_reg;
--if(SR_5_Tx_Empty='1')then
if(SR_5_Tx_comeplete_Empty='1')then
-- stop_clock <= xfer_done_fifo_0;
if (Loop_mode = '1' and
SPIXfer_done_int_pulse_d2 = '1')then
stop_clock <= '1';
spi_cntrl_ns <= IDLE;
elsif(SPIXfer_done_int_pulse_d2 = '1')then
stop_clock <= SR_5_Tx_Empty;
spi_cntrl_ns <= TEMP_TRANSFER_OKAY;
elsif(no_slave_selected = '1') then
stop_clock <= '1';
spi_cntrl_ns <= IDLE;
else
spi_cntrl_ns <= TEMP_TRANSFER_OKAY;
end if;
else
--stop_clock <= '0';
--rst_xfer_done_fifo_0 <= '1';
spi_cntrl_ns <= TRANSFER_OKAY;
end if;
-------------------------------------
-- coverage off
when others => spi_cntrl_ns <= IDLE;
-- coverage on
-------------------------------------
end case;
--------------------------
end process SPI_STATE_MACHINE_P;
----------------------------------------
----------------------------------------
end generate LOCAL_TX_EMPTY_FIFO_12_GEN;
-----------------------------------------
SR_5_TX_EMPTY_PROCESS: process(Bus2IP_Clk)is
-----
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
SR_5_Tx_Empty_d1 <= '0';
else
SR_5_Tx_Empty_d1 <= SR_5_Tx_Empty;
end if;
end if;
end process SR_5_TX_EMPTY_PROCESS;
----------------------------------
SR_5_Tx_Empty_pulse <= SR_5_Tx_Empty_d1 and not (SR_5_Tx_Empty);
----------------------------------
-------------------------------------------------------------------------------
-- Combinatorial operations
-------------------------------------------------------------------------------
-----------------------------------------------------------
LSB_first <= SPICR_9_LSB; -- Control_Reg(0);
Mst_Trans_inhibit <= SPICR_8_TR_INHIBIT; -- Control_Reg(1);
Manual_SS_mode <= SPICR_7_SS; -- Control_Reg(2);
CPHA <= SPICR_4_CPHA; -- Control_Reg(5);
CPOL <= SPICR_3_CPOL; -- Control_Reg(6);
Mst_N_Slv <= SPICR_2_MASTER_N_SLV; -- Control_Reg(7);
SPI_En <= SPICR_1_SPE; -- Control_Reg(8);
Loop_mode <= SPICR_0_LOOP; -- Control_Reg(9);
Mst_N_Slv_mode <= SPICR_2_MASTER_N_SLV; -- Control_Reg(7);
-----------------------------------------------------------
MOSI_O <= Serial_Dout;
MISO_O <= Serial_Dout;
Receive_Data <= receive_Data_int;
DRR_Overrun_reg <= DRR_Overrun_reg_int;
DRR_OVERRUN_REG_PROCESS:process(Bus2IP_Clk) is
-----
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
DRR_Overrun_reg_int <= '0';
else
DRR_Overrun_reg_int <= not(DRR_Overrun_reg_int or Soft_Reset_op) and
Rx_FIFO_Full_reg and
SPIXfer_done_int_pulse; --_d2;
end if;
end if;
end process DRR_OVERRUN_REG_PROCESS;
MST_TRANS_INHIBIT_D1_I: component FD
generic map
(
INIT => '1'
)
port map
(
Q => Mst_Trans_inhibit_d1,
C => Bus2IP_Clk,
D => Mst_Trans_inhibit
);
Mst_Trans_inhibit_pulse <= Mst_Trans_inhibit and (not Mst_Trans_inhibit_d1);
-------------------------------------------------------------------------------
--* -------------------------------------------------------------------------------
--* -- MASTER_TRIST_EN_PROCESS : If not master make tristate enabled
--* ----------------------------
master_tri_state_en_control <=
'0' when
(
(control_bit_7_8(0)='1') and -- decides master/slave mode
(control_bit_7_8(1)='1') and -- decide the spi_en
((MODF_strobe_int or SR_3_MODF)='0') and --no mode fault
(Loop_mode = '0')
) else
'1';
--SPI_TRISTATE_CONTROL_II : Tri-state register for SCK_T, ideal state-deactive
SPI_TRISTATE_CONTROL_II: component FD
generic map
(
INIT => '1'
)
port map
(
Q => SCK_T,
C => Bus2IP_Clk,
D => master_tri_state_en_control
);
--SPI_TRISTATE_CONTROL_III: tri-state register for MOSI, ideal state-deactive
SPI_TRISTATE_CONTROL_III: component FD
generic map
(
INIT => '1'
)
port map
(
Q => MOSI_T,
C => Bus2IP_Clk,
D => master_tri_state_en_control
);
--SPI_TRISTATE_CONTROL_IV: tri-state register for SS,ideal state-deactive
SPI_TRISTATE_CONTROL_IV: component FD
generic map
(
INIT => '1'
)
port map
(
Q => SS_T,
C => Bus2IP_Clk,
D => master_tri_state_en_control
);
--* -------------------------------------------------------------------------------
--* -- SLAVE_TRIST_EN_PROCESS : If slave mode, then make tristate enabled
--* ---------------------------
slave_tri_state_en_control <=
'0' when
(
(control_bit_7_8(0)='0') and -- decides master/slave
(control_bit_7_8(1)='1') and -- decide the spi_en
(SPISEL_sync = '0') and
(Loop_mode = '0')
) else
'1';
--SPI_TRISTATE_CONTROL_V: tri-state register for MISO, ideal state-deactive
SPI_TRISTATE_CONTROL_V: component FD
generic map
(
INIT => '1'
)
port map
(
Q => MISO_T,
C => Bus2IP_Clk,
D => slave_tri_state_en_control
);
-------------------------------------------------------------------------------
DTR_COMPLETE_EMPTY_P:process(Bus2IP_Clk)is
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1')then
if(SR_5_Tx_Empty = '1' and SPIXfer_done_int_pulse = '1')then
SR_5_Tx_comeplete_Empty <= '1';
elsif(SR_5_Tx_Empty = '0')then
SR_5_Tx_comeplete_Empty <= '0';
end if;
end if;
end process DTR_COMPLETE_EMPTY_P;
---------------------------------
DTR_UNDERRUN_FIFO_0_GEN: if C_FIFO_EXIST = 0 generate
begin
-- DTR_UNDERRUN_PROCESS_P : For Generating DTR underrun error
-------------------------
DTR_UNDERRUN_PROCESS_P: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if((Soft_Reset_op = RESET_ACTIVE) or
(SPISEL_sync = '1') or
(Mst_N_Slv = '1')--master mode
) then
DTR_underrun <= '0';
elsif((Mst_N_Slv = '0') and (SPI_En = '1')) then-- slave mode
if (SR_5_Tx_comeplete_Empty = '1') then
--if(SPIXfer_done_int_pulse_d2 = '1') then
DTR_underrun <= '1';
--end if;
else
DTR_underrun <= '0';
end if;
end if;
end if;
end process DTR_UNDERRUN_PROCESS_P;
-------------------------------------
end generate DTR_UNDERRUN_FIFO_0_GEN;
DTR_UNDERRUN_FIFO_EXIST_GEN: if C_FIFO_EXIST /= 0 generate
begin
-- DTR_UNDERRUN_PROCESS_P : For Generating DTR underrun error
-------------------------
DTR_UNDERRUN_PROCESS_P: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if((Soft_Reset_op = RESET_ACTIVE) or
(SPISEL_sync = '1') or
(Mst_N_Slv = '1')--master mode
) then
DTR_underrun <= '0';
elsif((Mst_N_Slv = '0') and (SPI_En = '1')) then-- slave mode
if (SR_5_Tx_comeplete_Empty = '1') then
if(SPIXfer_done_int_pulse = '1') then
DTR_underrun <= '1';
end if;
else
DTR_underrun <= '0';
end if;
end if;
end if;
end process DTR_UNDERRUN_PROCESS_P;
-------------------------------------
end generate DTR_UNDERRUN_FIFO_EXIST_GEN;
-------------------------------------------------------------------------------
-- SPISEL_SYNC: first synchronize the incoming signal, this is required is slave
--------------- mode of the core.
SPISEL_REG: component FD
generic map
(
INIT => '1' -- default '1' to make the device in default master mode
)
port map
(
Q => SPISEL_sync,
C => Bus2IP_Clk,
D => SPISEL
);
---- SPISEL_DELAY_1CLK_PROCESS_P : Detect active SCK edge in slave mode
-------------------------------
SPISEL_DELAY_1CLK_PROCESS_P: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
spisel_d1 <= '1';
spisel_d2 <= '1';
else
spisel_d1 <= SPISEL_sync;
spisel_d2 <= spisel_d1;
end if;
end if;
end process SPISEL_DELAY_1CLK_PROCESS_P;
--SPISEL_DELAY_1CLK: component FD
-- generic map
-- (
-- INIT => '1' -- default '1' to make the device in default master mode
-- )
-- port map
-- (
-- Q => spisel_d1,
-- C => Bus2IP_Clk,
-- D => SPISEL_sync
-- );
--SPISEL_DELAY_2CLK: component FD
-- generic map
-- (
-- INIT => '1' -- default '1' to make the device in default master mode
-- )
-- port map
-- (
-- Q => spisel_d2,
-- C => Bus2IP_Clk,
-- D => spisel_d1
-- );
---- spisel pulse generating logic
---- this one clock cycle pulse will be available for data loading into
---- shift register
--spisel_pulse <= (not SPISEL_sync) and spisel_d1;
------------------------------------------------
-- spisel pulse generating logic
-- this one clock cycle pulse will be available for data loading into
-- shift register
spisel_pulse <= (not spisel_d1) and spisel_d2;
-- --------|__________ -- SPISEL
-- ----------|________ -- SPISEL_sync
-- -------------|_____ -- spisel_d1
-- ----------------|___-- spisel_d2
-- _____________|--|__ -- SPISEL_pulse_op
SPISEL_pulse_op <= spisel_pulse;
SPISEL_d1_reg <= spisel_d2;
-------------------------------------------------------------------------------
--SCK_I_SYNC: first synchronize incomming signal
-------------
SCK_I_REG: component FD
generic map
(
INIT => '0'
)
port map
(
Q => SCK_I_sync,
C => Bus2IP_Clk,
D => SCK_I
);
------------------------------------------------------------------
-- SCK_I_DELAY_1CLK_PROCESS : Detect active SCK edge in slave mode on +ve edge
SCK_I_DELAY_1CLK_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
sck_i_d1 <= '0';
else
sck_i_d1 <= SCK_I_sync;
end if;
end if;
end process SCK_I_DELAY_1CLK_PROCESS;
-------------------------------------------------------------------------------
-- RISING_EDGE_CLK_RATIO_4_GEN: to synchronise the incoming clock signal in
-- slave mode in SCK ratio = 4
RISING_EDGE_CLK_RATIO_4_GEN : if C_SCK_RATIO = 4 generate
begin
-- generate a SCK control pulse for rising edge as well as falling edge
rising_edge_sck_i <= SCK_I and (not(SCK_I_sync)) and (not(SPISEL_sync));
falling_edge_sck_i <= (not(SCK_I) and SCK_I_sync) and (not(SPISEL_sync));
end generate RISING_EDGE_CLK_RATIO_4_GEN;
-------------------------------------------------------------------------------
-- RISING_EDGE_CLK_RATIO_OTHERS_GEN: Due to timing crunch, in SCK> 4 mode,
-- the incoming clock signal cant be synchro
-- -nized with internal AXI clock.
-- slave mode operation on SCK_RATIO=2 isn't
-- supported in the core.
RISING_EDGE_CLK_RATIO_OTHERS_GEN: if ((C_SCK_RATIO /= 2) and (C_SCK_RATIO /= 4))
generate
begin
-- generate a SCK control pulse for rising edge as well as falling edge
rising_edge_sck_i <= SCK_I_sync and (not(sck_i_d1)) and (not(SPISEL_sync));
falling_edge_sck_i <= (not(SCK_I_sync) and sck_i_d1) and (not(SPISEL_sync));
end generate RISING_EDGE_CLK_RATIO_OTHERS_GEN;
-------------------------------------------------------------------------------
-- combine rising edge as well as falling edge as a single signal
edge_sck_i <= rising_edge_sck_i or falling_edge_sck_i;
no_slave_selected <= and_reduce(Slave_Select_Reg(0 to (C_NUM_SS_BITS-1)));
-------------------------------------------------------------------------------
-- TRANSFER_START_PROCESS : Generate transfer start signal. When the transfer
-- gets completed, SPI Transfer done strobe pulls
-- transfer_start back to zero.
---------------------------
TRANSFER_START_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE or
(
Mst_N_Slv = '1' and -- If Master Mode
(
SPI_En = '0' or -- enable not asserted or
(SPIXfer_done_int = '1' and SR_5_Tx_Empty = '1') or -- no data in Tx reg/FIFO or
SR_3_MODF = '1' or -- mode fault error
Mst_Trans_inhibit = '1' or -- Do not start if Mst xfer inhibited
stop_clock = '1'
)
) or
(
Mst_N_Slv = '0' and -- If Slave Mode
(
SPI_En = '0' -- enable not asserted or
)
)
)then
transfer_start <= '0';
else
-- Delayed SPIXfer_done_int_pulse to work for synchronous design and to remove
-- asserting of loading_sr_reg in master mode after SR_5_Tx_Empty goes to 1
--if((SPIXfer_done_int_pulse = '1') or
-- (SPIXfer_done_int_pulse_d1 = '1') or
-- (SPIXfer_done_int_pulse_d2='1')) then-- this is added to remove
-- -- glitch at the end of
-- -- transfer in AUTO mode
-- transfer_start <= '0'; -- Set to 0 for at least 1 period
-- else
transfer_start <= '1'; -- Proceed with SPI Transfer
-- end if;
end if;
end if;
end process TRANSFER_START_PROCESS;
-------------------------------------------------------------------------------
-- TRANSFER_START_1CLK_PROCESS : Delay transfer start by 1 clock cycle
--------------------------------
TRANSFER_START_1CLK_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
transfer_start_d1 <= '0';
transfer_start_d2 <= '0';
transfer_start_d3 <= '0';
else
transfer_start_d1 <= transfer_start;
transfer_start_d2 <= transfer_start_d1;
transfer_start_d3 <= transfer_start_d2;
end if;
end if;
end process TRANSFER_START_1CLK_PROCESS;
-- transfer start pulse generating logic
transfer_start_pulse <= transfer_start and (not(transfer_start_d1));
---------------------------------------------------------------------------------
---- TRANSFER_DONE_PROCESS : Generate SPI transfer done signal
----------------------------
--TRANSFER_DONE_PROCESS: process(Bus2IP_Clk)
--begin
-- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
-- if(Soft_Reset_op = RESET_ACTIVE or transfer_start_pulse = '1' or (and_reduce(Count(COUNT_WIDTH-1 downto (COUNT_WIDTH-COUNT_WIDTH)))='1')) then
-- SPIXfer_done_int <= '0';
-- --elsif (transfer_start_pulse = '1') then
-- -- SPIXfer_done_int <= '0';
-- elsif(and_reduce(Count((COUNT_WIDTH-1) downto (COUNT_WIDTH-COUNT_WIDTH+1))) = '1') then --(Count(COUNT_WIDTH) = '1') then
-- SPIXfer_done_int <= '1';
-- end if;
-- end if;
--end process TRANSFER_DONE_PROCESS;
-------------------------------------------------------------------------------
-- TRANSFER_DONE_1CLK_PROCESS : Delay SPI transfer done signal by 1 clock cycle
-------------------------------
TRANSFER_DONE_1CLK_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
SPIXfer_done_int_d1 <= '0';
else
SPIXfer_done_int_d1 <= SPIXfer_done_int;
end if;
end if;
end process TRANSFER_DONE_1CLK_PROCESS;
--
-- transfer done pulse generating logic
SPIXfer_done_int_pulse <= SPIXfer_done_int and (not(SPIXfer_done_int_d1));
-------------------------------------------------------------------------------
-- TRANSFER_DONE_PULSE_DLY_PROCESS : Delay SPI transfer done pulse by 1 and 2
-- clock cycles
------------------------------------
-- Delay the Done pulse by a further cycle. This is used as the output Rx
-- data strobe when C_SCK_RATIO = 2
TRANSFER_DONE_PULSE_DLY_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
SPIXfer_done_int_pulse_d1 <= '0';
SPIXfer_done_int_pulse_d2 <= '0';
SPIXfer_done_int_pulse_d3 <= '0';
else
SPIXfer_done_int_pulse_d1 <= SPIXfer_done_int_pulse;
SPIXfer_done_int_pulse_d2 <= SPIXfer_done_int_pulse_d1;
SPIXfer_done_int_pulse_d3 <= SPIXfer_done_int_pulse_d2;
end if;
end if;
end process TRANSFER_DONE_PULSE_DLY_PROCESS;
-------------------------------------------------------------------------------
-- RX_DATA_GEN1: Only for C_SCK_RATIO = 2 mode.
----------------
RX_DATA_SCK_RATIO_2_GEN1 : if C_SCK_RATIO = 2 generate
begin
-----
TRANSFER_DONE_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE or transfer_start_pulse = '1' or SPIXfer_done_int = '1') then -- or (and_reduce(Count(COUNT_WIDTH-1 downto (COUNT_WIDTH-COUNT_WIDTH)))='1')) then
SPIXfer_done_int <= '0';
--elsif (transfer_start_pulse = '1') then
-- SPIXfer_done_int <= '0';
-- elsif(and_reduce(Count((COUNT_WIDTH-1) downto (COUNT_WIDTH-COUNT_WIDTH+1))) = '1') then --(Count(COUNT_WIDTH) = '1') then
elsif (Count(COUNT_WIDTH-1) = '1' and
Count(COUNT_WIDTH-2) = '1' and
Count(COUNT_WIDTH-3) = '1' and
Count(COUNT_WIDTH-4) = '0') then
SPIXfer_done_int <= '1';
--SPIXfer_done_int <= Count(COUNT_WIDTH-1) and
-- Count(COUNT_WIDTH-2) and
-- Count(COUNT_WIDTH-3) and
-- not Count(COUNT_WIDTH-4);
end if;
end if;
end process TRANSFER_DONE_PROCESS;
-- This is mux to choose the data register for SPI mode 00,11 and 01,10.
rx_shft_reg <= rx_shft_reg_mode_0011
when ((CPOL = '0' and CPHA = '0') or (CPOL = '1' and CPHA = '1'))
else rx_shft_reg_mode_0110
when ((CPOL = '0' and CPHA = '1') or (CPOL = '1' and CPHA = '0'))
else
(others=>'0');
-- RECEIVE_DATA_STROBE_PROCESS : Strobe data from shift register to receive
-- data register
--------------------------------
-- For a SCK ratio of 2 the Done needs to be delayed by an extra cycle
-- due to the serial input being captured on the falling edge of the PLB
-- clock. this is purely required for dealing with the real SPI slave memories.
RECEIVE_DATA_STROBE_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(SPIXfer_done_int_pulse_d1 = '1') then
if(Loop_mode = '1') then
if (LSB_first = '1') then
for i in 0 to C_NUM_TRANSFER_BITS-1 loop
receive_Data_int(i) <= Shift_Reg(C_NUM_TRANSFER_BITS-1-i);
end loop;
else
receive_Data_int <= Shift_Reg;
end if;
else
if (LSB_first = '1') then
for i in 0 to C_NUM_TRANSFER_BITS-1 loop
receive_Data_int(i) <= rx_shft_reg(C_NUM_TRANSFER_BITS-1-i);
end loop;
else
receive_Data_int <= rx_shft_reg;
end if;
end if;
end if;
end if;
end process RECEIVE_DATA_STROBE_PROCESS;
-- Done strobe delayed to match receive data
SPIXfer_done <= SPIXfer_done_int_pulse_d3;
SPIXfer_done_rd_tx_en <= transfer_start_pulse or SPIXfer_done_int_pulse_d3; -- SPIXfer_done_int_pulse_d1;
tx_cntr_xfer_done <= transfer_start_pulse or SPIXfer_done_int_pulse_d3;
-------------------------------------------------
end generate RX_DATA_SCK_RATIO_2_GEN1;
-------------------------------------------------------------------------------
-- RX_DATA_GEN_OTHER_RATIOS: This logic is for other SCK ratios than
---------------------------- C_SCK_RATIO =2
RX_DATA_GEN_OTHER_SCK_RATIOS : if C_SCK_RATIO /= 2 generate
begin
FIFO_PRESENT_GEN: if C_FIFO_EXIST = 1 generate
-----
begin
-----
-------------------------------------------------------------------------------
-- TRANSFER_DONE_PROCESS : Generate SPI transfer done signal
--------------------------
TRANSFER_DONE_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE or
transfer_start_pulse = '1' or
SPIXfer_done_int = '1') then -- or (and_reduce(Count(COUNT_WIDTH-1 downto (COUNT_WIDTH-COUNT_WIDTH)))='1')) then
SPIXfer_done_int <= '0';
elsif(Mst_N_Slv = '1') and
--and_reduce(Count((COUNT_WIDTH-1) downto (COUNT_WIDTH-COUNT_WIDTH))) ='1'
((Count(COUNT_WIDTH) ='1') and (or_reduce(Count((COUNT_WIDTH-1) downto 0)) = '0'))
and
Count_trigger = '1'
then
SPIXfer_done_int <= '1';
elsif--(Mst_N_Slv = '0') and
and_reduce(Count((COUNT_WIDTH-1) downto (COUNT_WIDTH-COUNT_WIDTH+1))) ='1' then
if((CPOL xor CPHA) = '0') and rising_edge_sck_i = '1' then
SPIXfer_done_int <= '1';
elsif((CPOL xor CPHA) = '1') and falling_edge_sck_i = '1' then
SPIXfer_done_int <= '1';
end if;
end if;
end if;
end process TRANSFER_DONE_PROCESS;
end generate FIFO_PRESENT_GEN;
--------------------------------------------------------------
FIFO_ABSENT_GEN: if C_FIFO_EXIST = 0 generate
-----
begin
-----
-------------------------------------------------------------------------------
-- TRANSFER_DONE_PROCESS : Generate SPI transfer done signal
--------------------------
TRANSFER_DONE_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE or
transfer_start_pulse = '1' or
SPIXfer_done_int = '1') then
SPIXfer_done_int <= '0';
elsif(Mst_N_Slv = '1') and
((Count(COUNT_WIDTH) ='1') and (or_reduce(Count((COUNT_WIDTH-1) downto 0)) = '0'))
and
Count_trigger = '1'
then
SPIXfer_done_int <= '1';
elsif--(Mst_N_Slv = '0') and
and_reduce(Count((COUNT_WIDTH-1) downto (COUNT_WIDTH-COUNT_WIDTH+1))) ='1' then
if((CPOL xor CPHA) = '0') and rising_edge_sck_i = '1' then
SPIXfer_done_int <= '1';
elsif((CPOL xor CPHA) = '1') and falling_edge_sck_i = '1' then
SPIXfer_done_int <= '1';
end if;
end if;
end if;
end process TRANSFER_DONE_PROCESS;
end generate FIFO_ABSENT_GEN;
-- This is mux to choose the data register for SPI mode 00,11 and 01,10.
-- the below mux is applicable only for Master mode of SPI.
rx_shft_reg <=
rx_shft_reg_mode_0011
when ((CPOL = '0' and CPHA = '0') or (CPOL = '1' and CPHA = '1'))
else
rx_shft_reg_mode_0110
when ((CPOL = '0' and CPHA = '1') or (CPOL = '1' and CPHA = '0'))
else
(others=>'0');
-- RECEIVE_DATA_STROBE_PROCESS_OTHER_RATIO: the below process if for other
-------------------------------------------- SPI ratios of C_SCK_RATIO >2
-- -- It multiplexes the data stored
-- -- in internal registers in LSB and
-- -- non-LSB modes, in master as well as
-- -- in slave mode.
RECEIVE_DATA_STROBE_PROCESS_OTHER_RATIO: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(SPIXfer_done_int_pulse_d1 = '1') then
if (Mst_N_Slv = '1') then -- in master mode
if (LSB_first = '1') then
for i in 0 to (C_NUM_TRANSFER_BITS-1) loop
receive_Data_int(i) <= rx_shft_reg(C_NUM_TRANSFER_BITS-1-i);
end loop;
else
receive_Data_int <= rx_shft_reg;
end if;
elsif(Mst_N_Slv = '0') then -- in slave mode
if (LSB_first = '1') then
for i in 0 to (C_NUM_TRANSFER_BITS-1) loop
receive_Data_int(i) <= rx_shft_reg_s
(C_NUM_TRANSFER_BITS-1-i);
end loop;
else
receive_Data_int <= rx_shft_reg_s;
end if;
end if;
end if;
end if;
end process RECEIVE_DATA_STROBE_PROCESS_OTHER_RATIO;
SPIXfer_done <= SPIXfer_done_int_pulse_d2;
SPIXfer_done_rd_tx_en <= transfer_start_pulse or
SPIXfer_done_int_pulse_d2 or
spisel_pulse;
tx_cntr_xfer_done <= transfer_start_pulse or SPIXfer_done_int_pulse_d2;
--------------------------------------------
end generate RX_DATA_GEN_OTHER_SCK_RATIOS;
-------------------------------------------------------------------------------
-- OTHER_RATIO_GENERATE : Logic to be used when C_SCK_RATIO is not equal to 2
-------------------------
OTHER_RATIO_GENERATE: if(C_SCK_RATIO /= 2) generate
--attribute IOB : string;
--attribute IOB of MOSI_I_REG : label is "true";
begin
-----
-------------------------------------------------------------------------------
-- OTHER_RATIO_MISO_I_REG_IOB_GEN: Push the IO1_I register in IOB
-- --------------
-- Only when the targeted family is 7-series or spartan 6
-- ir-respective of C_USE_STARTUP parameter
OTHER_RATIO_MISO_I_REG_IOB_GEN: if(C_SUB_FAMILY = "virtex7"
or
C_SUB_FAMILY = "kintex7"
or
C_SUB_FAMILY = "artix7"
--or
--C_SUB_FAMILY = "spartan6"
)
-- or
-- (
-- C_USE_STARTUP = 0
-- and
-- C_SUB_FAMILY = "virtex6"
-- )
generate
-- attribute IOB : string;
--attribute IOB of MISO_I_REG : label is "true";
-----
begin
-----
MISO_I_REG: component FD
generic map
(
INIT => '0'
)
port map
(
Q => miso_i_sync,
C => Bus2IP_Clk,
D => MISO_I
);
end generate OTHER_RATIO_MISO_I_REG_IOB_GEN;
-----------------------------------------------------------------
-- OTHER_RATIO_MISO_I_REG_NO_IOB_GEN: If C_USE_STARTUP is used and family is virtex6, then
-- IO1_I is registered only, but it is not pushed in IOB.
-- this is due to STARTUP block in V6 is having DINSPI interface available for IO1_I.
-- OTHER_RATIO_MISO_I_REG_NO_IOB_GEN: if(C_USE_STARTUP = 1
-- and
-- C_SUB_FAMILY = "virtex6"
-- ) generate
-------
--begin
-------
--MISO_I_REG: component FD
--generic map
-- (
-- INIT => '0'
-- )
--port map
-- (
-- Q => miso_i_sync,
-- C => Bus2IP_Clk,
-- D => MISO_I
-- );
--end generate OTHER_RATIO_MISO_I_REG_NO_IOB_GEN;
-----------------------------------------------------------------
MOSI_I_REG: component FD
generic map
(
INIT => '0'
)
port map
(
Q => mosi_i_sync,
C => Bus2IP_Clk,
D => MOSI_I
);
------------------------------
LOOP_BACK_PROCESS: process(Bus2IP_Clk)is
-----
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Loop_mode = '0' or Soft_Reset_op = RESET_ACTIVE) then
serial_dout_int <= '0';
elsif(Loop_mode = '1') then
serial_dout_int <= Serial_Dout;
end if;
end if;
end process LOOP_BACK_PROCESS;
------------------------------
-- EXTERNAL_INPUT_OR_LOOP_PROCESS: The logic below provides MUXed input to
-- serial_din input.
EXTERNAL_INPUT_OR_LOOP_PROCESS: process(Loop_mode,
Mst_N_Slv,
mosi_i_sync,
miso_i_sync,
serial_dout_int
)is
-----
begin
-----
if(Mst_N_Slv = '1' )then
if(Loop_mode = '1')then
Serial_Din <= serial_dout_int;
else
Serial_Din <= miso_i_sync;
end if;
else
Serial_Din <= mosi_i_sync;
end if;
end process EXTERNAL_INPUT_OR_LOOP_PROCESS;
-------------------------------------------------------------------------------
-- RATIO_COUNT_PROCESS : Counter which counts from (C_SCK_RATIO/2)-1 down to 0
-- Used for counting the time to control SCK_O_reg generation
-- depending on C_SCK_RATIO
------------------------
RATIO_COUNT_PROCESS: process(Bus2IP_Clk)is
-----
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if((Soft_Reset_op = RESET_ACTIVE) or (transfer_start = '0')) then
Ratio_Count <= CONV_STD_LOGIC_VECTOR(
((C_SCK_RATIO/2)-1),(spcl_log2(C_SCK_RATIO)-1));
else
Ratio_Count <= Ratio_Count - 1;
if (Ratio_Count = 0) then
Ratio_Count <= CONV_STD_LOGIC_VECTOR(
((C_SCK_RATIO/2)-1),(spcl_log2(C_SCK_RATIO)-1));
end if;
end if;
end if;
end process RATIO_COUNT_PROCESS;
-------------------------------------------------------------------------------
-- COUNT_TRIGGER_GEN_PROCESS : Generate a trigger whenever Ratio_Count reaches
-- zero
------------------------------
COUNT_TRIGGER_GEN_PROCESS: process(Bus2IP_Clk)is
-----
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if((Soft_Reset_op = RESET_ACTIVE) or (transfer_start = '0')) then
Count_trigger <= '0';
elsif(Ratio_Count = 0) then
Count_trigger <= not Count_trigger;
end if;
end if;
end process COUNT_TRIGGER_GEN_PROCESS;
-------------------------------------------------------------------------------
-- COUNT_TRIGGER_1CLK_PROCESS : Delay cnt_trigger signal by 1 clock cycle
-------------------------------
COUNT_TRIGGER_1CLK_PROCESS: process(Bus2IP_Clk)is
-----
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if((Soft_Reset_op = RESET_ACTIVE) or (transfer_start = '0')) then
Count_trigger_d1 <= '0';
else
Count_trigger_d1 <= Count_trigger;
end if;
end if;
end process COUNT_TRIGGER_1CLK_PROCESS;
-- generate a trigger pulse for rising edge as well as falling edge
Count_trigger_pulse <= (Count_trigger and (not(Count_trigger_d1))) or
((not(Count_trigger)) and Count_trigger_d1);
-------------------------------------------------------------------------------
-- SCK_CYCLE_COUNT_PROCESS : Counts number of trigger pulses provided. Used for
-- controlling the number of bits to be transfered
-- based on generic C_NUM_TRANSFER_BITS
----------------------------
SCK_CYCLE_COUNT_PROCESS: process(Bus2IP_Clk)is
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
Count <= (others => '0');
elsif (Mst_N_Slv = '1') then
if (SPIXfer_done_int = '1')or
(transfer_start = '0') or
(xfer_done_fifo_0 = '1') then
Count <= (others => '0');
elsif((Count_trigger_pulse = '1') and (Count(COUNT_WIDTH) = '0')) then
Count <= Count + 1;
-- coverage off
if (Count(COUNT_WIDTH) = '1') then
Count <= (others => '0');
end if;
-- coverage on
end if;
elsif (Mst_N_Slv = '0') then
if ((transfer_start = '0') or (SPISEL_sync = '1')or
(spixfer_done_int = '1')) then
Count <= (others => '0');
elsif (edge_sck_i = '1') then
Count <= Count + 1;
-- coverage off
if (Count(COUNT_WIDTH) = '1') then
Count <= (others => '0');
end if;
-- coverage on
end if;
end if;
end if;
end process SCK_CYCLE_COUNT_PROCESS;
-------------------------------------------------------------------------------
-- SCK_SET_RESET_PROCESS : Sync set/reset toggle flip flop controlled by
-- transfer_start signal
--------------------------
SCK_SET_RESET_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if((Soft_Reset_op = RESET_ACTIVE) or
(Sync_Reset = '1') or
(Mst_N_Slv='0')
)then
sck_o_int <= '0';
elsif(Sync_Set = '1') then
sck_o_int <= '1';
elsif (transfer_start = '1') then
sck_o_int <= sck_o_int xor Count_trigger_pulse;
end if;
end if;
end process SCK_SET_RESET_PROCESS;
------------------------------------
-- DELAY_CLK: Delay the internal clock for a cycle to generate internal enable
-- -- signal for data register.
-------------
DELAY_CLK: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if (Soft_Reset_op = RESET_ACTIVE)then
sck_d1 <= '0';
sck_d2 <= '0';
else
sck_d1 <= sck_o_int;
sck_d2 <= sck_d1;
end if;
end if;
end process DELAY_CLK;
------------------------------------
-- Rising egde pulse for CPHA-CPOL = 00/11 mode
sck_rising_edge <= not(sck_d2) and sck_d1;
-- CAPT_RX_FE_MODE_00_11: The below logic is the date registery process for
------------------------- SPI CPHA-CPOL modes of 00 and 11.
CAPT_RX_FE_MODE_00_11 : process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if (Soft_Reset_op = RESET_ACTIVE)then
rx_shft_reg_mode_0011 <= (others => '0');
elsif((sck_rising_edge = '1') and (transfer_start='1')) then
rx_shft_reg_mode_0011<= rx_shft_reg_mode_0011
(1 to (C_NUM_TRANSFER_BITS-1)) & Serial_Din;
end if;
end if;
end process CAPT_RX_FE_MODE_00_11;
--
sck_fe1 <= (not sck_d1) and sck_d2;
-- CAPT_RX_FE_MODE_01_10 : The below logic is the date registery process for
------------------------- SPI CPHA-CPOL modes of 01 and 10.
CAPT_RX_FE_MODE_01_10 : process(Bus2IP_Clk)
begin
--if rising_edge(Bus2IP_Clk) then
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if (Soft_Reset_op = RESET_ACTIVE)then
rx_shft_reg_mode_0110 <= (others => '0');
elsif ((sck_fe1 = '1') and (transfer_start = '1')) then
rx_shft_reg_mode_0110 <= rx_shft_reg_mode_0110
(1 to (C_NUM_TRANSFER_BITS-1)) & Serial_Din;
end if;
end if;
end process CAPT_RX_FE_MODE_01_10;
-------------------------------------------------------------------------------
-- CAPTURE_AND_SHIFT_PROCESS : This logic essentially controls the entire
-- capture and shift operation for serial data
------------------------------
CAPTURE_AND_SHIFT_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
Shift_Reg(0) <= '0';
Shift_Reg(1) <= '1';
Shift_Reg(2 to C_NUM_TRANSFER_BITS -1) <= (others => '0');
Serial_Dout <= '1';
elsif((Mst_N_Slv = '1')) then -- and (not(Count(COUNT_WIDTH) = '1'))) then
--if(Loading_SR_Reg_int = '1') then
if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1')then
if(LSB_first = '1') then
for i in 0 to C_NUM_TRANSFER_BITS-1 loop
Shift_Reg(i) <= Transmit_Data
(C_NUM_TRANSFER_BITS-1-i);
end loop;
Serial_Dout <= Transmit_Data(C_NUM_TRANSFER_BITS-1);
else
Shift_Reg <= Transmit_Data;
Serial_Dout <= Transmit_Data(0);
end if;
-- Capture Data on even Count
elsif(--(transfer_start = '1') and
(Count(0) = '0') ) then
Serial_Dout <= Shift_Reg(0);
-- Shift Data on odd Count
elsif(--(transfer_start = '1') and
(Count(0) = '1') and
(Count_trigger_pulse = '1')) then
Shift_Reg <= Shift_Reg
(1 to C_NUM_TRANSFER_BITS -1) & Serial_Din;
end if;
-- below mode is slave mode logic for SPI
elsif(Mst_N_Slv = '0') then
--if((Loading_SR_Reg_int = '1') or (spisel_pulse = '1')) then
--if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1')then
if(SR_5_Tx_Empty_pulse = '1' or SPIXfer_done_int = '1')then
if(LSB_first = '1') then
for i in 0 to C_NUM_TRANSFER_BITS-1 loop
Shift_Reg(i) <= Transmit_Data
(C_NUM_TRANSFER_BITS-1-i);
end loop;
Serial_Dout <= Transmit_Data(C_NUM_TRANSFER_BITS-1);
else
Shift_Reg <= Transmit_Data;
Serial_Dout <= Transmit_Data(0);
end if;
elsif (transfer_start = '1') then
if((CPOL = '0' and CPHA = '0') or
(CPOL = '1' and CPHA = '1')) then
if(rising_edge_sck_i = '1') then
rx_shft_reg_s <= rx_shft_reg_s(1 to
C_NUM_TRANSFER_BITS -1) & Serial_Din;
Shift_Reg <= Shift_Reg(1 to
C_NUM_TRANSFER_BITS -1) & Serial_Din;
--elsif(falling_edge_sck_i = '1') then
--elsif(rising_edge_sck_i_d1 = '1')then
-- Serial_Dout <= Shift_Reg(0);
end if;
Serial_Dout <= Shift_Reg(0);
elsif((CPOL = '0' and CPHA = '1') or
(CPOL = '1' and CPHA = '0')) then
--Serial_Dout <= Shift_Reg(0);
if(falling_edge_sck_i = '1') then
rx_shft_reg_s <= rx_shft_reg_s(1 to
C_NUM_TRANSFER_BITS -1) & Serial_Din;
Shift_Reg <= Shift_Reg(1 to
C_NUM_TRANSFER_BITS -1) & Serial_Din;
--elsif(rising_edge_sck_i = '1') then
--elsif(falling_edge_sck_i_d1 = '1')then
-- Serial_Dout <= Shift_Reg(0);
end if;
Serial_Dout <= Shift_Reg(0);
end if;
end if;
end if;
end if;
end process CAPTURE_AND_SHIFT_PROCESS;
-----
end generate OTHER_RATIO_GENERATE;
-------------------------------------------------------------------------------
-- RATIO_OF_2_GENERATE : Logic to be used when C_SCK_RATIO is equal to 2
------------------------
RATIO_OF_2_GENERATE: if(C_SCK_RATIO = 2) generate
--------------------
begin
-----
-------------------------------------------------------------------------------
-- SCK_CYCLE_COUNT_PROCESS : Counts number of trigger pulses provided. Used for
-- controlling the number of bits to be transfered
-- based on generic C_NUM_TRANSFER_BITS
----------------------------
SCK_CYCLE_COUNT_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if((Soft_Reset_op = RESET_ACTIVE) or
(transfer_start = '0') or
(SPIXfer_done_int = '1') or
(Mst_N_Slv = '0')) then
Count <= (others => '0');
--elsif (Count(COUNT_WIDTH) = '0') then
-- Count <= Count + 1;
elsif(Count(COUNT_WIDTH) = '0')then
if(CPHA = '0')then
if(CPOL = '0' and transfer_start_d1 = '1')then -- cpol = cpha = 00
Count <= Count + 1;
elsif(transfer_start_d1 = '1') then -- cpol = cpha = 10
Count <= Count + 1;
end if;
else
if(CPOL = '1' and transfer_start_d1 = '1')then -- cpol = cpha = 11
Count <= Count + 1;
elsif(transfer_start_d1 = '1') then-- cpol = cpha = 10
Count <= Count + 1;
end if;
end if;
end if;
end if;
end process SCK_CYCLE_COUNT_PROCESS;
-------------------------------------------------------------------------------
-- SCK_SET_RESET_PROCESS : Sync set/reset toggle flip flop controlled by
-- transfer_start signal
--------------------------
SCK_SET_RESET_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if((Soft_Reset_op = RESET_ACTIVE) or (Sync_Reset = '1')) then
sck_o_int <= '0';
elsif(Sync_Set = '1') then
sck_o_int <= '1';
elsif (transfer_start = '1') then
sck_o_int <= (not sck_o_int);-- xor Count(COUNT_WIDTH);
end if;
end if;
end process SCK_SET_RESET_PROCESS;
-- CAPT_RX_FE_MODE_00_11: The below logic is to capture data for SPI mode of
--------------------------- 00 and 11.
-- Generate a falling edge pulse from the serial clock. Use this to
-- capture the incoming serial data into a shift register.
CAPT_RX_FE_MODE_00_11 : process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '0') then
sck_d1 <= sck_o_int;
sck_d2 <= sck_d1;
-- if (sck_rising_edge = '1') then
if (sck_d1 = '1') then
rx_shft_reg_mode_0011 <= rx_shft_reg_mode_0011
(1 to (C_NUM_TRANSFER_BITS-1)) & MISO_I;
end if;
end if;
end process CAPT_RX_FE_MODE_00_11;
-- Falling egde pulse
sck_rising_edge <= sck_d2 and not sck_d1;
--
-- CAPT_RX_FE_MODE_01_10: the below logic captures data in SPI 01 or 10 mode.
---------------------------
CAPT_RX_FE_MODE_01_10: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
sck_d11 <= sck_o_in;
sck_d21 <= sck_d11;
if(CPOL = '1' and CPHA = '0') then
if ((sck_d1 = '1') and (transfer_start = '1')) then
rx_shft_reg_mode_0110 <= rx_shft_reg_mode_0110
(1 to (C_NUM_TRANSFER_BITS-1)) & MISO_I;
end if;
elsif((CPOL = '0') and (CPHA = '1')) then
if ((sck_fe1 = '0') and (transfer_start = '1')) then
rx_shft_reg_mode_0110 <= rx_shft_reg_mode_0110
(1 to (C_NUM_TRANSFER_BITS-1)) & MISO_I;
end if;
end if;
end if;
end process CAPT_RX_FE_MODE_01_10;
sck_fe1 <= (not sck_d11) and sck_d21;
-------------------------------------------------------------------------------
-- CAPTURE_AND_SHIFT_PROCESS : This logic essentially controls the entire
-- capture and shift operation for serial data in
------------------------------ master SPI mode only
CAPTURE_AND_SHIFT_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
Shift_Reg(0) <= '0';
Shift_Reg(1) <= '1';
Shift_Reg(2 to C_NUM_TRANSFER_BITS -1) <= (others => '0');
Serial_Dout <= '1';
elsif(Mst_N_Slv = '1') then
--if(Loading_SR_Reg_int = '1') then
if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1') then
if(LSB_first = '1') then
for i in 0 to C_NUM_TRANSFER_BITS-1 loop
Shift_Reg(i) <= Transmit_Data
(C_NUM_TRANSFER_BITS-1-i);
end loop;
Serial_Dout <= Transmit_Data(C_NUM_TRANSFER_BITS-1);
else
Shift_Reg <= Transmit_Data;
Serial_Dout <= Transmit_Data(0);
end if;
elsif(--(transfer_start = '1') and
(Count(0) = '0') -- and
--(Count(COUNT_WIDTH) = '0')
) then -- Shift Data on even
Serial_Dout <= Shift_Reg(0);
elsif(--(transfer_start = '1') and
(Count(0) = '1')-- and
--(Count(COUNT_WIDTH) = '0')
) then -- Capture Data on odd
if(Loop_mode = '1') then -- Loop mode
Shift_Reg <= Shift_Reg(1 to
C_NUM_TRANSFER_BITS -1) & Serial_Dout;
else
Shift_Reg <= Shift_Reg(1 to
C_NUM_TRANSFER_BITS -1) & MISO_I;
end if;
end if;
elsif(Mst_N_Slv = '0') then
-- Added to have consistent default value after reset
--if((Loading_SR_Reg_int = '1') or (spisel_pulse = '1')) then
if(spisel_pulse = '1' or SPIXfer_done_int_d1 = '1') then
Shift_Reg <= (others => '0');
Serial_Dout <= '0';
end if;
end if;
end if;
end process CAPTURE_AND_SHIFT_PROCESS;
-----
end generate RATIO_OF_2_GENERATE;
-------------------------------------------------------------------------------
-- SCK_SET_GEN_PROCESS : Generate SET control for SCK_O_reg
------------------------
SCK_SET_GEN_PROCESS: process(CPOL,CPHA,transfer_start_pulse,
SPIXfer_done_int,
Mst_Trans_inhibit_pulse
)
begin
-- if(transfer_start_pulse = '1') then
--if(Mst_Trans_inhibit_pulse = '1' or SPIXfer_done_int = '1') then
if(transfer_start_pulse = '1' or SPIXfer_done_int = '1') then
Sync_Set <= (CPOL xor CPHA);
else
Sync_Set <= '0';
end if;
end process SCK_SET_GEN_PROCESS;
-------------------------------------------------------------------------------
-- SCK_RESET_GEN_PROCESS : Generate SET control for SCK_O_reg
--------------------------
SCK_RESET_GEN_PROCESS: process(CPOL,
CPHA,
transfer_start_pulse,
SPIXfer_done_int,
Mst_Trans_inhibit_pulse)
begin
--if(transfer_start_pulse = '1') then
--if(Mst_Trans_inhibit_pulse = '1' or SPIXfer_done_int = '1') then
if(transfer_start_pulse = '1' or SPIXfer_done_int = '1') then
Sync_Reset <= not(CPOL xor CPHA);
else
Sync_Reset <= '0';
end if;
end process SCK_RESET_GEN_PROCESS;
-------------------------------------------------------------------------------
-- RATIO_NOT_EQUAL_4_GENERATE : Logic to be used when C_SCK_RATIO is not equal
-- to 4
-------------------------------
RATIO_NOT_EQUAL_4_GENERATE: if(C_SCK_RATIO /= 4) generate
begin
-----
-------------------------------------------------------------------------------
-- SCK_O_SELECT_PROCESS : Select the idle state (CPOL bit) when not transfering
-- data else select the clock for slave device
-------------------------
SCK_O_NQ_4_SELECT_PROCESS: process(sck_o_int,
CPOL,
transfer_start,
transfer_start_d1,
Count(COUNT_WIDTH),
xfer_done_fifo_0
)is
begin
if((transfer_start = '1') and
(transfer_start_d1 = '1') and
(Count(COUNT_WIDTH) = '0')and
(xfer_done_fifo_0 = '0')
) then
sck_o_in <= sck_o_int;
else
sck_o_in <= CPOL;
end if;
end process SCK_O_NQ_4_SELECT_PROCESS;
---------------------------------
SCK_O_NQ_4_NO_STARTUP_USED: if (C_USE_STARTUP = 0) generate
----------------
--attribute IOB : string;
--attribute IOB of SCK_O_NE_4_FDRE_INST : label is "true";
signal slave_mode : std_logic;
----------------
begin
-----
slave_mode <= not (Mst_N_Slv);
-- FDRE: Single Data Rate D Flip-Flop with Synchronous Reset and
-- Clock Enable (posedge clk).
SCK_O_NE_4_FDRE_INST : component FDRE
generic map (
INIT => '0'
) -- Initial value of register (0 or 1)
port map
(
Q => SCK_O_reg, -- Data output
C => Bus2IP_Clk, -- Clock input
CE => '1', -- Clock enable input
R => slave_mode, -- Synchronous reset input
D => sck_o_in -- Data input
);
end generate SCK_O_NQ_4_NO_STARTUP_USED;
-----------------------------
SCK_O_NQ_4_STARTUP_USED: if (C_USE_STARTUP = 1) generate
-------------
begin
-----
---------------------------------------------------------------------------
-- SCK_O_FINAL_PROCESS : Register the final SCK_O_reg
------------------------
SCK_O_NQ_4_FINAL_PROCESS: process(Bus2IP_Clk)
-----
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
--If Soft_Reset_op or slave Mode.Prevents SCK_O_reg to be generated in slave
if((Soft_Reset_op = RESET_ACTIVE) or
(Mst_N_Slv = '0')
) then
SCK_O_reg <= '0';
else
SCK_O_reg <= sck_o_in;
end if;
end if;
end process SCK_O_NQ_4_FINAL_PROCESS;
-------------------------------------
end generate SCK_O_NQ_4_STARTUP_USED;
-------------------------------------
end generate RATIO_NOT_EQUAL_4_GENERATE;
-------------------------------------------------------------------------------
-- RATIO_OF_4_GENERATE : Logic to be used when C_SCK_RATIO is equal to 4
------------------------
RATIO_OF_4_GENERATE: if(C_SCK_RATIO = 4) generate
begin
-----
-------------------------------------------------------------------------------
-- SCK_O_FINAL_PROCESS : Select the idle state (CPOL bit) when not transfering
-- data else select the clock for slave device
------------------------
-- A work around to reduce one clock cycle for sck_o generation. This would
-- allow for proper shifting of data bits into the slave device.
-- Removing the final stage F/F. Disadvantage of not registering final output
-------------------------------------------------------------------------------
SCK_O_EQ_4_FINAL_PROCESS: process(Mst_N_Slv,
sck_o_int,
CPOL,
transfer_start,
transfer_start_d1,
Count(COUNT_WIDTH),
xfer_done_fifo_0
)is
-----
begin
-----
if((Mst_N_Slv = '1') and
(transfer_start = '1') and
(transfer_start_d1 = '1') and
(Count(COUNT_WIDTH) = '0')and
(xfer_done_fifo_0 = '0')
) then
SCK_O_1 <= sck_o_int;
else
SCK_O_1 <= CPOL and Mst_N_Slv;
end if;
end process SCK_O_EQ_4_FINAL_PROCESS;
-------------------------------------
SCK_O_EQ_4_NO_STARTUP_USED: if (C_USE_STARTUP = 0) generate
----------------
--attribute IOB : string;
--attribute IOB of SCK_O_EQ_4_FDRE_INST : label is "true";
signal slave_mode : std_logic;
----------------
begin
-----
slave_mode <= not (Mst_N_Slv);
-- FDRE: Single Data Rate D Flip-Flop with Synchronous Reset and
-- Clock Enable (posedge clk).
SCK_O_EQ_4_FDRE_INST : component FDRE
generic map (
INIT => '0'
) -- Initial value of register (0 or 1)
port map
(
Q => SCK_O_reg, -- Data output
C => Bus2IP_Clk, -- Clock input
CE => '1', -- Clock enable input
R => slave_mode, -- Synchronous reset input
D => SCK_O_1 -- Data input
);
end generate SCK_O_EQ_4_NO_STARTUP_USED;
-----------------------------
SCK_O_EQ_4_STARTUP_USED: if (C_USE_STARTUP = 1) generate
-------------
begin
-----
----------------------------------------------------------------------------
-- SCK_RATIO_4_REG_PROCESS : The SCK is registered in SCK RATIO = 4 mode
----------------------------------------------------------------------------
SCK_O_EQ_4_REG_PROCESS: process(Bus2IP_Clk)
-----
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
-- If Soft_Reset_op or slave Mode. Prevents SCK_O_reg to be generated in slave
if((Soft_Reset_op = RESET_ACTIVE) or
(Mst_N_Slv = '0')
) then
SCK_O_reg <= '0';
else
SCK_O_reg <= SCK_O_1;
end if;
end if;
end process SCK_O_EQ_4_REG_PROCESS;
-----------------------------------
end generate SCK_O_EQ_4_STARTUP_USED;
-------------------------------------
end generate RATIO_OF_4_GENERATE;
-------------------------------------------------------------------------------
-- LOADING_FIRST_ELEMENT_PROCESS : Combinatorial process to generate flag
-- when loading first data element in shift
-- register from transmit register/fifo
----------------------------------
LOADING_FIRST_ELEMENT_PROCESS: process(Soft_Reset_op,
SPI_En,Mst_N_Slv,
SS_Asserted,
SS_Asserted_1dly,
SR_3_MODF,
transfer_start_pulse)is
begin
if(Soft_Reset_op = RESET_ACTIVE) then
Loading_SR_Reg_int <= '0'; --Clear flag
elsif(SPI_En = '1' and --Enabled
(
((Mst_N_Slv = '1') and --Master configuration
(SS_Asserted = '1') and
(SS_Asserted_1dly = '0') and
(SR_3_MODF = '0')
) or
((Mst_N_Slv = '0') and --Slave configuration
((transfer_start_pulse = '1'))
)
)
)then
Loading_SR_Reg_int <= '1'; --Set flag
else
Loading_SR_Reg_int <= '0'; --Clear flag
end if;
end process LOADING_FIRST_ELEMENT_PROCESS;
-------------------------------------------------------------------------------
-- SELECT_OUT_PROCESS : This process sets SS active-low, one-hot encoded select
-- bit. Changing SS is premitted during a transfer by
-- hardware, but is to be prevented by software. In Auto
-- mode SS_O reflects value of Slave_Select_Reg only
-- when transfer is in progress, otherwise is SS_O is held
-- high
-----------------------
SELECT_OUT_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
SS_O <= (others => '1');
SS_Asserted <= '0';
SS_Asserted_1dly <= '0';
elsif(transfer_start = '0') or (xfer_done_fifo_0 = '1') then -- Tranfer not in progress
if(Manual_SS_mode = '0') then -- Auto SS assert
SS_O <= (others => '1');
else
for i in C_NUM_SS_BITS-1 downto 0 loop
SS_O(i) <= Slave_Select_Reg(C_NUM_SS_BITS-1-i);
end loop;
end if;
SS_Asserted <= '0';
SS_Asserted_1dly <= '0';
else
for i in C_NUM_SS_BITS-1 downto 0 loop
SS_O(i) <= Slave_Select_Reg(C_NUM_SS_BITS-1-i);
end loop;
SS_Asserted <= '1';
SS_Asserted_1dly <= SS_Asserted;
end if;
end if;
end process SELECT_OUT_PROCESS;
-------------------------------------------------------------------------------
-- MODF_STROBE_PROCESS : Strobe MODF signal when master is addressed as slave
------------------------
MODF_STROBE_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if((Soft_Reset_op = RESET_ACTIVE) or (SPISEL_sync = '1')) then
MODF_strobe <= '0';
MODF_strobe_int <= '0';
Allow_MODF_Strobe <= '1';
elsif((Mst_N_Slv = '1') and --In Master mode
(SPISEL_sync = '0') and (Allow_MODF_Strobe = '1')) then
MODF_strobe <= '1';
MODF_strobe_int <= '1';
Allow_MODF_Strobe <= '0';
else
MODF_strobe <= '0';
MODF_strobe_int <= '0';
end if;
end if;
end process MODF_STROBE_PROCESS;
-------------------------------------------------------------------------------
-- SLAVE_MODF_STROBE_PROCESS : Strobe MODF signal when slave is addressed
-- but not enabled.
------------------------------
SLAVE_MODF_STROBE_PROCESS: process(Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if((Soft_Reset_op = RESET_ACTIVE) or (SPISEL_sync = '1')) then
Slave_MODF_strobe <= '0';
Allow_Slave_MODF_Strobe<= '1';
elsif((Mst_N_Slv = '0') and --In Slave mode
(SPI_En = '0') and --but not enabled
(SPISEL_sync = '0') and
(Allow_Slave_MODF_Strobe = '1')
) then
Slave_MODF_strobe <= '1';
Allow_Slave_MODF_Strobe <= '0';
else
Slave_MODF_strobe <= '0';
end if;
end if;
end process SLAVE_MODF_STROBE_PROCESS;
---------------------xxx------------------------------------------------------
end imp;
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Mon May 26 11:16:06 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim /home/keith/Documents/VHDL-lib/top/stereo_radio/ip/dds/dds_funcsim.vhdl
-- Design : dds
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
d8xvwbfVVOwe18UXp6OIppOfMlqR2kjI/C6xX05FTHU8t5J1FuCayg1b8DV73j0+lrSU5NbPke7J
wKyKo6vZmQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHeURXmQty7NeAv3XUoO5qZy5wiWI4KdVxtm2GsoWgcVxvm19Vpj0GV1w7gFqCWnA4FOQTZuRczj
Ij8Zgd4djaP+0m+uF1VB+55mfNaKcPG2LmiRY6n1d+6aXiDzlcGYYizcbBz72kRf3eOIqxpeA4D2
3Z2PIkm8MwLtPGSJ/Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qH9+GhW8bT+j42lWyvygK5/6l4trt1BCmWOpQcKA/HZx2kAGsb+FDG/Xy6w33wIiMr/qkXwfaeaz
zlfzzUtccPjNghsznvMRED7lhG+MVvWZ9dxb/eJgA8z59jDK+8wSykzMrx433vlospEmnUeHAQ+H
4dfYGCJl9cTzNC+uQlFaZQsxHSBPlOlJ0GYkyCUnHQQjAEI62DNG0kEkyaiojOK+3cvYSaF6wa2m
I1Cx0Gw1ktdWILhOWUSpxci92nn54fp2GViAZYTlm0DB4uFKOskBdOQytDP2f2b1yNgPb5maNLgm
+O1ey7vhDLFg2yHH9hL6wSCP3onvhEE46TJLQA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PyfKkUL3/8sDtTLwxhpqedhayaiDS2FNnCfS6sCchY9cwD/PXy3suivOsUKbKwOiyhWnF/tQl4Kq
HzosYuk9tWTm2j5KKAjvrbIuKxPEwXnj4hRLEObKTAhKWjc2v2evf+nFlXCB529PJsYPSU+Jmqkr
zAHGbiyeXTy5GwBCfYw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZF+QB2spbWlec/knTfuPrXaT+v7qNpjfq0lmc40Eofb98i14vOGTUx8PEHILvAb2Z54dFdacNzrB
d4Uhl9bKx6JU/AkvN8zsp17drYaDzpZrkmxxlVdox34c9gk1gp4pRBazBCiUTMxBrRL7kEPgnOmk
/WE9OP1QAhhZeA5r/HbSVnK/CEigmHINLCFfC2uepHTQbur/n29duc7Tjf6CS4lcmDe7A+tmnKFC
Gf1+66fm+kSxjOLSIhPwC80VuQ+EeB0rA/PChtXN4H3x/F44vX92xjZ6F5Sx4Jq0NxXAC/h845YU
20Yd7EW+jvXAgaNCRT5u7w6v8I9bFKrVlDcgmQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
em3LTrJsp5xVSoYhlZc4zDdmPfqyi6JlYKzzOmILVrhbEVNnteK1KHFTvZG72Y0WBw0ge67rTBWU/igO9hm0VaJ6SXnQG5jJtqaFD+CAK4YzOkcLHItxa++KaP2LeKQdZKSKaMvWGKlu+elb7LMfM5Gp1AIvnaULcptNZHA+E3oSVAqHoMmNDsW6wo82MIPDMZ/B1nR15DT5bfl1j40UrL2HN4cw16gAbxXpuK/iHoTXXVrC7Zu6eDrnNCZWRrbYqd85+pvzKIfiG7kczYz4V+fIN9obUiUPBrxo1jFnH/lwMcPit2MD+au6vYXEwHAm+0wSdJKvO+iR6Sur9HnuwA==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
IQ929iUoeWnpxJmei7ohinY2Tb2QXsXbPMYozmGsbT8hkE0WN5CDGzYzFmEi58wEo2SDVv3HRKU+rjxrHeJ7jxNrUEdq5zMDSxZCElsFdBIgqBnsvUGP6WeYwV+3j0L5JEbFCtodC+o+gddMNsHj/dPdbmsGgxhtow3I655J+PwwcpXZp9JCoIYMmB+qvSwYzFTjZ8CPRIDYl2JBNAYNBm11xu/pSxu8fUlErIdlYg+C73lDyOlU91kyRwpruHN++laNVG4oN1BwRZxkF+OrdEEkMtdobyy7Lvz04WnXgeJLu3gw6HF3unymYDbqPCJyYpySIvaxL10dzGcF6eTEYg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 469904)
`protect data_block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=
`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \ddsdds_compiler_v6_0__parameterized0\ is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 39 downto 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tlast : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tready : in STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 39 downto 0 );
m_axis_phase_tlast : out STD_LOGIC;
m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
event_pinc_invalid : out STD_LOGIC;
event_poff_invalid : out STD_LOGIC;
event_phase_in_invalid : out STD_LOGIC;
event_s_phase_tlast_missing : out STD_LOGIC;
event_s_phase_tlast_unexpected : out STD_LOGIC;
event_s_phase_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 37 downto 0 );
debug_axi_poff_in : out STD_LOGIC_VECTOR ( 37 downto 0 );
debug_axi_resync_in : out STD_LOGIC;
debug_axi_chan_in : out STD_LOGIC_VECTOR ( 0 to 0 );
debug_core_nd : out STD_LOGIC;
debug_phase : out STD_LOGIC_VECTOR ( 37 downto 0 );
debug_phase_nd : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsdds_compiler_v6_0__parameterized0\ : entity is "dds_compiler_v6_0";
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of \ddsdds_compiler_v6_0__parameterized0\ : entity is "zynq";
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 9;
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 38;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_LATENCY : integer;
attribute C_LATENCY of \ddsdds_compiler_v6_0__parameterized0\ : entity is 7;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of \ddsdds_compiler_v6_0__parameterized0\ : entity is 2;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 16;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 16;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of \ddsdds_compiler_v6_0__parameterized0\ : entity is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of \ddsdds_compiler_v6_0__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_RESYNC : integer;
attribute C_RESYNC of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of \ddsdds_compiler_v6_0__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_POR_MODE : integer;
attribute C_POR_MODE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 40;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 32;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 40;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of \ddsdds_compiler_v6_0__parameterized0\ : entity is "yes";
end \ddsdds_compiler_v6_0__parameterized0\;
architecture STRUCTURE of \ddsdds_compiler_v6_0__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
attribute C_ACCUMULATOR_WIDTH of i_synth : label is 38;
attribute C_AMPLITUDE of i_synth : label is 0;
attribute C_CHANNELS of i_synth : label is 1;
attribute C_CHAN_WIDTH of i_synth : label is 1;
attribute C_DEBUG_INTERFACE of i_synth : label is 0;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_M_DATA of i_synth : label is 1;
attribute C_HAS_M_PHASE of i_synth : label is 1;
attribute C_HAS_PHASEGEN of i_synth : label is 1;
attribute C_HAS_PHASE_OUT of i_synth : label is 1;
attribute C_HAS_SINCOS of i_synth : label is 1;
attribute C_HAS_S_CONFIG of i_synth : label is 0;
attribute C_HAS_S_PHASE of i_synth : label is 1;
attribute C_HAS_TLAST of i_synth : label is 0;
attribute C_HAS_TREADY of i_synth : label is 0;
attribute C_LATENCY of i_synth : label is 7;
attribute C_MEM_TYPE of i_synth : label is 1;
attribute C_MODE_OF_OPERATION of i_synth : label is 0;
attribute C_MODULUS of i_synth : label is 9;
attribute C_M_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 32;
attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 40;
attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_NEGATIVE_COSINE of i_synth : label is 0;
attribute C_NEGATIVE_SINE of i_synth : label is 0;
attribute C_NOISE_SHAPING of i_synth : label is 0;
attribute C_OPTIMISE_GOAL of i_synth : label is 0;
attribute C_OUTPUTS_REQUIRED of i_synth : label is 2;
attribute C_OUTPUT_FORM of i_synth : label is 0;
attribute C_OUTPUT_WIDTH of i_synth : label is 16;
attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 16;
attribute C_PHASE_INCREMENT of i_synth : label is 3;
attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET of i_synth : label is 0;
attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE of i_synth : label is 0;
attribute C_RESYNC of i_synth : label is 0;
attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 40;
attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_USE_DSP48 of i_synth : label is 0;
attribute C_XDEVICEFAMILY of i_synth : label is "zynq";
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
attribute secure_extras : string;
attribute secure_extras of i_synth : label is "A";
begin
debug_axi_resync_in <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_synth: entity work.\ddsdds_compiler_v6_0_viv__parameterized0\
port map (
aclk => aclk,
aclken => aclken,
aresetn => aresetn,
debug_axi_chan_in(0) => debug_axi_chan_in(0),
debug_axi_pinc_in(37 downto 0) => debug_axi_pinc_in(37 downto 0),
debug_axi_poff_in(37 downto 0) => debug_axi_poff_in(37 downto 0),
debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => debug_core_nd,
debug_phase(37 downto 0) => debug_phase(37 downto 0),
debug_phase_nd => debug_phase_nd,
event_phase_in_invalid => event_phase_in_invalid,
event_pinc_invalid => event_pinc_invalid,
event_poff_invalid => event_poff_invalid,
event_s_config_tlast_missing => event_s_config_tlast_missing,
event_s_config_tlast_unexpected => event_s_config_tlast_unexpected,
event_s_phase_chanid_incorrect => event_s_phase_chanid_incorrect,
event_s_phase_tlast_missing => event_s_phase_tlast_missing,
event_s_phase_tlast_unexpected => event_s_phase_tlast_unexpected,
m_axis_data_tdata(31 downto 0) => m_axis_data_tdata(31 downto 0),
m_axis_data_tlast => m_axis_data_tlast,
m_axis_data_tready => m_axis_data_tready,
m_axis_data_tuser(0) => m_axis_data_tuser(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(39 downto 0) => m_axis_phase_tdata(39 downto 0),
m_axis_phase_tlast => m_axis_phase_tlast,
m_axis_phase_tready => m_axis_phase_tready,
m_axis_phase_tuser(0) => m_axis_phase_tuser(0),
m_axis_phase_tvalid => m_axis_phase_tvalid,
s_axis_config_tdata(0) => s_axis_config_tdata(0),
s_axis_config_tlast => s_axis_config_tlast,
s_axis_config_tready => s_axis_config_tready,
s_axis_config_tvalid => s_axis_config_tvalid,
s_axis_phase_tdata(39 downto 0) => s_axis_phase_tdata(39 downto 0),
s_axis_phase_tlast => s_axis_phase_tlast,
s_axis_phase_tready => s_axis_phase_tready,
s_axis_phase_tuser(0) => s_axis_phase_tuser(0),
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dds is
port (
aclk : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 39 downto 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 39 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of dds : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of dds : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of dds : entity is "dds_compiler_v6_0,Vivado 2014.1";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of dds : entity is "dds,dds_compiler_v6_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of dds : entity is "dds,dds_compiler_v6_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dds_compiler,x_ipVersion=6.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_MODE_OF_OPERATION=0,C_MODULUS=9,C_ACCUMULATOR_WIDTH=38,C_CHANNELS=1,C_HAS_PHASE_OUT=1,C_HAS_PHASEGEN=1,C_HAS_SINCOS=1,C_LATENCY=7,C_MEM_TYPE=1,C_NEGATIVE_COSINE=0,C_NEGATIVE_SINE=0,C_NOISE_SHAPING=0,C_OUTPUTS_REQUIRED=2,C_OUTPUT_FORM=0,C_OUTPUT_WIDTH=16,C_PHASE_ANGLE_WIDTH=16,C_PHASE_INCREMENT=3,C_PHASE_INCREMENT_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_RESYNC=0,C_PHASE_OFFSET=0,C_PHASE_OFFSET_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_OPTIMISE_GOAL=0,C_USE_DSP48=0,C_POR_MODE=0,C_AMPLITUDE=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_HAS_TLAST=0,C_HAS_TREADY=0,C_HAS_S_PHASE=1,C_S_PHASE_TDATA_WIDTH=40,C_S_PHASE_HAS_TUSER=0,C_S_PHASE_TUSER_WIDTH=1,C_HAS_S_CONFIG=0,C_S_CONFIG_SYNC_MODE=0,C_S_CONFIG_TDATA_WIDTH=1,C_HAS_M_DATA=1,C_M_DATA_TDATA_WIDTH=32,C_M_DATA_HAS_TUSER=0,C_M_DATA_TUSER_WIDTH=1,C_HAS_M_PHASE=1,C_M_PHASE_TDATA_WIDTH=40,C_M_PHASE_HAS_TUSER=0,C_M_PHASE_TUSER_WIDTH=1,C_DEBUG_INTERFACE=0,C_CHAN_WIDTH=1}";
end dds;
architecture STRUCTURE of dds is
signal NLW_U0_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 37 downto 0 );
signal NLW_U0_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 37 downto 0 );
signal NLW_U0_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 37 downto 0 );
signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of U0 : label is 38;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of U0 : label is 0;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of U0 : label is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of U0 : label is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of U0 : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of U0 : label is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of U0 : label is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of U0 : label is 1;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of U0 : label is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of U0 : label is 1;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of U0 : label is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of U0 : label is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of U0 : label is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of U0 : label is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 7;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of U0 : label is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of U0 : label is 9;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of U0 : label is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of U0 : label is 32;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of U0 : label is 40;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of U0 : label is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of U0 : label is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of U0 : label is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of U0 : label is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of U0 : label is 2;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of U0 : label is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of U0 : label is 16;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of U0 : label is 16;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of U0 : label is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of U0 : label is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of U0 : label is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of U0 : label is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of U0 : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of U0 : label is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of U0 : label is 40;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of U0 : label is std.standard.true;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.\ddsdds_compiler_v6_0__parameterized0\
port map (
aclk => aclk,
aclken => '1',
aresetn => '1',
debug_axi_chan_in(0) => NLW_U0_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(37 downto 0) => NLW_U0_debug_axi_pinc_in_UNCONNECTED(37 downto 0),
debug_axi_poff_in(37 downto 0) => NLW_U0_debug_axi_poff_in_UNCONNECTED(37 downto 0),
debug_axi_resync_in => NLW_U0_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_U0_debug_core_nd_UNCONNECTED,
debug_phase(37 downto 0) => NLW_U0_debug_phase_UNCONNECTED(37 downto 0),
debug_phase_nd => NLW_U0_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_U0_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_U0_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_U0_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => NLW_U0_event_s_phase_tlast_missing_UNCONNECTED,
event_s_phase_tlast_unexpected => NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(31 downto 0) => m_axis_data_tdata(31 downto 0),
m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(39 downto 0) => m_axis_phase_tdata(39 downto 0),
m_axis_phase_tlast => NLW_U0_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_U0_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => m_axis_phase_tvalid,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(39 downto 0) => s_axis_phase_tdata(39 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
|
-- Copyright (C) 2016 by Spallina Ind.
library ieee;
use ieee.std_logic_1164.all;
entity TESTONE is
end TESTONE;
architecture beh of TESTONE is
component sedici_bit is
port (
din : in std_logic_vector(15 downto 0);
start, clk : in std_logic;
res : out std_logic_vector(15 downto 0);
fine : out std_logic
);
end component;
signal start, clk, fine : std_logic;
signal din, res : std_logic_vector(15 downto 0);
begin
DUT: sedici_bit port map (din, start, clk, res, fine);
process
begin
clk <= '0';
wait for 5 ns;
clk <= '1';
wait for 5 ns;
end process;
start <= '1' after 1 ns, '0' after 11 ns,
'1' after 51 ns, '0' after 61 ns,
'1' after 111 ns, '0' after 121 ns,
'1' after 181 ns, '0' after 191 ns;
din <= "00000000000000"&"00" after 11 ns, "0000000000000000" after 21 ns, -- NOT
"00000000000000"&"01" after 61 ns, "0000000000000001" after 71 ns, "0000000000000010" after 81 ns, -- OR
"00000000000000"&"10" after 121 ns, "0000000000000011" after 131 ns, "0000000000000101" after 141 ns, -- ADD
"00000000000000"&"11" after 191 ns, "0000000000000110" after 201 ns, "0000000000011111" after 211 ns; -- MAC
end beh;
|
Subsets and Splits
No saved queries yet
Save your SQL queries to embed, download, and access them later. Queries will appear here once saved.