content
stringlengths 1
1.04M
⌀ |
---|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block
QCuLdQnOPKmgrfnyL3TzNGb9MmGOftaeWnZajruW4TIWVJZGVEgLgjSF5wLryqJ5txV4kNt11Y4N
T9luiN6S0pJsXIWy/g2VK296Rfoj1ayr3yKXft4v1ZjlsJluD23Gb2S6V1ShQ8J/LaEsTVGhkky0
o2Xdjb4LQ+LH474rcEnHBQbmNAXCZ/+eNXbXEnVyUh2eCsmZjIiH7exgCiDVxzQSJ4nGdaDwuU+U
8hki04VxXXRlCsXSccZ3WHNP2J/z8ptWc7SD5wRJW1aWUWLitFpaX7aMDQPMHdjEdfmn+thQY9m3
bR2icjUJC3wV3SXRcv9eEY/11pVYqW967Hi+qv5q0LJLK99xwnveD7GLbHdmVKQGGl+CCC98F4y6
DEOL78vCIYE8TEcb66OUhCu/yscfhlG918dv2QyPkXsKYR5nMy60ZH58WCdTwEeb+fvAkTr247WW
8d2pPWBAQjMKt40XGNjL5ndFW9XYq3vnzeG9Z06CDKWaqouhUFwhPGsUHB4sEf+YuXfSCxJSVNlr
5jHYTyYlSGUtfkWaEdJLbSylPVQHho3u1+VLsR0lDadL51LfTHJSIOqvaH1+ZiVu87dxCQPT70eD
jz33jbR98jlq3v7ZcMe1oP4bP36/GsrBoxcpy5cjFnxigzIAGkLKQZh3QChKHTErcBJqApage+CF
m/3xWyKPMPDZUJTpQXu22ZZqKIXBiDGRId6QotUzjgMA+5BFttLK2/RIfZlGm+/x9x6tlOOBMW1x
aMggi1wEBsAyvno2q9WP7FfwCePnaIKqcHUaQA/QW9yhkszaO9hm2J5GnQhWt8palmM0ggEoEAGh
nQDpiBcDltI0cUm2nyc5I9xRtCBeoIUq1DExCrU4q7WFw6U0w31DGnwnJZRmgaoUC4En3CDRFW3p
1oE7BYvJobFZXHdbJ7cVGQTfWzuO5yBulZSAVBY6jbEvWTO+YpJaW6qfF/cPd+L+Gi+0AxZ68QlE
U6/slXs8k5HGAb9yMqs6Uuex34l4paxkkxRz57/41gKgj3VAL1eliLWZkhkk1TkFgE6GO+ss8aRS
uWwZ+SL01B2YMt3g8Fq+12zNG5Gx3f/m3Wc62x8o9yiS6kZ2rI6FwyNlyvVDMT7C7al2Sv6igUST
66Ot8NAL8bgb6LyAzb7PbjWXo1FwzxpmAgO8ctowDaIX/DVV5hC2SrcL0NdlflWjn28nRt7nGwZy
NbBCm94U/FkX/IeRvrZwXqyhnm+sR3VI1DHqmd5fMV20MUjP3LK/zghF9M+3ZcbUdFlHfzjS+t7u
WBWKzW3A9ifx7O5HqGG0FKlm11jvNl4WXyjoQhx3cbKxvocWQ3BUmh6V0XzCygyFEwaJFk+S8qoj
DlNGHv2ctR6VaOceXZaboTjbc8n9Zm91WHamuUfx3aQK4G8mThjABQjpnDMlv5N6b37vyRU0ucOQ
gH8BmlescyRaZsz+AOvr09daFTBxysHufsUlPlhrB2DuqviOEjBNYDR3BDh9ARbPnXhg/y4QpvjP
U3e5LT/3EOZhdekKi2slwCvLl8NKbU8V0lqa26iyxFF9GDFhIAPrYUpWYGBk1a/sHL55IJDRi4md
ZH3xaNDm0ADzTbFyIT7QSyH+PfR/9kzk8T3fNaiLxB6M+PPTA1h74bMz6YF4+Fn5t2qhMIFgZo4k
xx/YE0/AdcrnlVh2yVEZEcp8lZ+9+cZ0Ylx4zniZiRnFixF2j9grh3AUbarGr/uEkIEeEte4bJ1Y
75bngb9j+cbSzPLy0xveS25L0yrcqzig3viI2M2jtT6EPmglY1dPM9zWKeBZNlj4xA5lveaghpNF
Bd961Yw5C3LNJ/YgAj0ZM+U53bqeh7/WzehKdVFwnpvRXaKeL+7KXeAxvl5I9wwdTtfc9rGOOiVx
SXSmawbhEp9TF2ov8k98VpnS5Mek1Fk3taacNR1cLVgDi6+e7TsT1DZT2IskZ6W03W5tW9Hx2MpE
vM6bNt3wUpduKvMSHyBhbrK8ov6MCNsmKuDTEwGutno4JmWg0EbWhZn7a6EwfidvVpB+wHafceMK
LKkhUmWSj/Gc1foDOaQFEJoCyMEXXHd1jiPtqN8JGUwcMo+CFmEz+xDS3YLf70DiYOY9X3lxfILo
WZYGFpHYMOmaMaSBImsm8QcV4oYBmezWK2FizGt5f8CVsx7jup1yyeGOBVcuyBp2mFeu7X8NRvKs
ZQNuG+GhukSiimjwen+q9GuEs1zSj7R1zKjBtqwv3AZPhXl9a3PrllXxJ5MFATeI4ixlSIbrPS/z
ZPzrZIbxX5LsQ5NT8EXqZPyOkSXLPDmt3C2IstNt/LM2jNojO5sIHrdquV3GVWePscysl4Arey66
nCipX+5tLUTTWgnChcT+D0pwFX0yHVk1Mb7r4J5gT++elSQ1ypjfp9W4B/6ApL2XiXHzOHV/6Mfr
taJa32zN3qUZRgq8G7U/QZ4nwjnarwwU6CwQ3bGcKpXajJCEwk34rM+D19UcLq+r7weIRU+4Kh4V
xO5361lL1sZLu1CpkmYnNgf5c+CdODCDP6PZUad3LFPJalpY6NExU2KOGICqBYMDOCDu6wwnW5bv
7594EaT/YJfGQE1g9mlG0oargTipP/Oz3SY7qBSVRAowWUtD0JbHhJ0gNsSeY1nJ4qXGtZEPtexC
b4cjO3DTFftzlgH/8WdmFzVbcTHf5BBipCIpOIXmG1oqI30MXUgQegL1ihceyABZSY2x9U/m5lbh
I6hi0O+9l1uVPL44n86LQaFlW8RQO5C/pViIvQOU5+Uq9r4XMxtnZ3hgBXircUmbJkNEM4u1SFLZ
faIhxy3shZejztHT71qWTYq+z12E3nAX4M/Y+2kmDotnsh7xjbTRN22f9ecARVGlenoK1SPaAHe3
pzzKliOwNoHz0CskWe+EflAoiEdR0jLvIX3thfvLFsGU3YXqyHi2qZglEhLbk3TytPC7ZPwU7lML
CuMD9RCA0Wn3Gf18Hg/YuyB3OgTyyd8ZrPLVvZN6BblDq3PsZoJv8FzEMoi3xiUQ+Loa2vW0ba3O
p90HjF/mNsYRgWgfcAhInfbZms7TOfGtVNGS+IAEcQRFQ2hn12p+VtQME5EX+QlIYgIT8Pe+wRg6
XZHzisskV2OHERI4QcgamenvILTAjSiwnQRLxXFr8OaHLV8lypUbCipVeGmw6twXj9u2geT3/WKM
NoSqQMZO6V/aX1Ahf5bhd108NEHo3hc+VqhCAxbbT1nsr2Rb+HRNLW80wNF3XSMgbrU7TANyyvDv
6UX9Qm5/kVDxKa0+ZKTMcrqaxSkRCejdsZhtnwj20TaOzZRcticyCjdPuvVSFpJsclEd76BHZBbF
s1Xzpw+o9vjCTQ9yFC1QZ4LtG7zGt9OejZHhZ9XqHDMtG71o9k7BHvUMgGXc5E1kLNIZuO1Vdc2e
Hzyd6SbQZA9tkW/u2vnLB4FEGgopg5LBs5EJE5MeK6oJuUH9ApntbimhVa7m9VFLnS7MIYaaB+MJ
brjIO/nHUyE/HwNagJzn0ym1eNb7Xa2obcgNl57QQXVfLSfpbpuQg8PyLus8UyrLnuX0DpRpmLeA
3abzxfFLWM6LvpAuY7U3KXgWjrCepxJ3H0aWxXbUgRyrOEpoNKD8b6+zaHbYQzPYvD4uiNJTWnFv
6f9AXmLROu+1AZLnK2YndtfFHpJTYCb3OtM4a/D/642j3WcYT03z4A5tgxwcuT0gIfJb7GSyvHco
6HUd+DROvv/MkACw0fGfUKt5lhWfQFOLqii+uXQFoO6o3GSdUyx6FCPjTF64e60wShUpu7+Hhdne
zhkPYebGxVDlI73oQDlHr7Ba6AiZUmyfQ2TUdTgHRHU6gHmlQEXLSq1jg6CwHAEhCiW4J00H0sih
XII3rDkKyWbrdEHmbHzFoFezVzb+YyyaBS2sVu3ktu3wXka/cLyOdTeCM/pWc7b7qKjEvL1HYNuv
Hn9a0xjL2egeh42ZUKEAFltbVPFlEhE0yoX62GZdGJUequzEkxweKxJ2kKmmJlhihKLAiLApoAxH
Zl+jeOFnGgPUq73mOORf5PApNo2f8Pyd8DD7flDdcyHR4KFn9McF3VabjJGvzF7tHTV9PYXAv7mF
oTdGOJx4SEgCv2Mm9ZQN5oplTkO/6MNnTdYTTwiELwFmu8LpS6SwG7V1noVBVfpDZbgv18DThMnt
2wePKCXUBk7LHid7/9Iz2g3MBunpD/GSWNv80pbwbuysTwaW3RtV8tyj79klBHwwScrxFscXCEf3
HfDfEBr5PZ+2BY9eU28u227VKn+lIvBoJebvTE3P/hXKiqERKYAJj6qBAUFjItd9cXVZVdSaNdsg
Q7eKEgBEUF7m2mv41fTZO90CAttvV2TA9WGjZtDfAiv8oeAnEDzaZLCKlfigMRIkbPkwbTHvwYY9
Cme7LCCNPmfi3uqPDq+8f3hl6FN+UAoPZDOCbvYd5qhUi+TcXAl/JtQjkb4dVXCBot0x0wi45P+G
D+3LjcDSwH1eaW3IIzP018ILKzZfQgs9/R7LpwjyhUKH0/DpXh/qj1bOSPFhhCqx3OqvlJYtYk8m
4fYGJuaMLbuUvzKTS5V+Vnf8TNaRa9ywI/EYuNNo3iMbtsyM76ZX6g+wcpeiFbISN9xGB0QbhVDL
OpJzxXbh/eeom3M2Z17jl2WS1mz/kfaPM0t1DPaX1AApTK8Z2i54e9noNA8Q3LW915/M8yPuRpbZ
GNO9ah9yIkcRj8LgUpF+9TAhJRGAfjV24dRN+C1LKheLeMYSOUQtZTBEoTV0si5Z1R8o6Iy/wScA
yiKhVOb5B8RDH4oDlxJ31v1n6UkOXYsScpOZuRE+uL6c8glZlzULnL60pZjzBXveCTbQDmR/EDVg
KmzVLFrBX9S4sA4gDBpF3ldu0vId0n2Vu+PXBXYYwZMyW19mpjgjwAwt2g9WSdsspLB6F72ZK76E
T67zxqHz1AE4bcHoYn5YaxjspTNjp5ZAHjv977WZ243wkAw1mKf1sKQTqE1WlI86BCYI0tOMo9XS
kc3gZJC5z2C9aUuDfG7B7WSkh0b0Ql23aBZWCSWvIp3gXF5AxsnyM7OXuw0SLtpKIPnfUOIDuxRI
EQ4Ji6CgxplGtYk/7s91lX9ywMoszY+CcDjeEs2InhwYzNQ9uLUQfZ5GCX2GuHFisL51ndzka/2l
6Bo+aEAIkPBBgNkQ2j+wnN29w2cwCID/dO2NCe2/6w3LF6H+7hQ9fdA0zrezBJnrCDVNH2CwdWY0
5M9F7ZnNpKu1a4kyOdMGV5C0NZ1XjAK8S5RhfAaeb/sJhIE/ILPOKlIn57QJ4WJcVLTzgqEcxtqb
WrZqBak44Z0wzyNGXys8p7kC/++92Vo96GvlZCLfKfquDHMqY9sOSL98wvt/+T5jbRuExX76AlcE
TEZmvX829FhTWBAw3VYmcHbc/Zwz2Ih52ZRg1Y6kK7HTE7QDSdZLLiXImH0CMAfAWjc63QnabWia
9q0sBSIATZGMl5lVfCgl/eyKcLexNcMzj1cNc1qK4NDDKMVw7Oe+wUTwvCgoZ17L09iqfnt/ay3K
A3Vi9yVR/A23im8DNEFrR73dcL4orCA1yC5MN2Ma+RL7Xzbg9/9JWBpKzSWdTzgPIwawVSLWPhBa
STf2xzAJu3MxEirc/F7O89dd5RYSnyRTlFtTnMFmKLryzh3CSuiEgmiIq8jna/WXweEzC+2hwPd/
ZfsPNzZk4AE9ydywDCkCrmVJzM9tB7MBtyrHmXykrW12HOM+Sw/XM9+bInUaEQgxDl/jXMlpDMnC
dih0QjPRrPezl57FuJ43PzmYBv9ZF72xO8QsoJBZ27ACm6AxBNt+5CkIUCvQAhsQPcUb0BD2tU4O
KVg7dpO9BblLyKpvtyL/JGZYMqq33VB/v4z8trSTKm7Rj0L5btDqOkmb8xLNslsFRC0imdvAG7qH
am30mxwj0Cdx2zjSaWicPNfRYiEPAKxwVS2LDa0OdeinRH7FkNUiSPicCjiQ60FysDxuw+Q15xw4
VnmmWYCeYGgmrAa/O2frlkE04C6MIrA+6gDs0LKgrA4GBViTHHSXs8V1YIw0oaKI02bR7CvvnfsF
O56K5VVwxQYo8vhLNBb4nWX2YH2B67QoEHN13lyShL5xYQm2MUZB4aEnac546LJqeeAi5b4TB06p
ZigiTbmVo1B0ka0OJc+vUa1K0Tg3ZuL310ENIa+sOYprrlUTP5HJniCf5LBJryy18V9fBL6tKarg
aLFbC6VstIruz6SregKwO9BqkXlHjyhPIMwXLqAAU+fPEe+TROsCwjtf2aqmlQagAxTASzuo8aq/
3BelBLhUsH86999EMqUns/n8US+l7HRyGY5u3hsujI9BZyo3OWNQziJZLAci/PMoIwNnTnokoCuE
jmU6xchw5hHLsKYjdBfd8pWSorFaHTFWqVGRhNPiRRE20YPQhw1Cc1fuZaKVUuY9dyNmMB+WpkFp
aCSuPIOkkAbOlkqgx3aLD+SnJwv9lCWtgNxun4FITuYMG42riPMG2eoPdNbC9mno989zaQbXmbm4
VWI/3yzvQ7XGVyfJ2TL0/sw8eRb2Yrx5Lz9IrIFCWJnlISSin8NwtTvVKl0434EflWuas41xcTT7
zGV+d1lqXyoOVVA/4R3NyqzTr0kbkrIv4LHYXCbIwaLV4p86TOy6TvOPuuof06vQLySNf5t7TWRs
tBOgcRWSjJLRoJZVZyOc6j2Z4Zsrwkdc9ouviLiPv61FEPLgaZ/ab9ITsotfXb6XzVSYqhbZ/05J
bPg5LBthd2PhFl9gz8YKczL3LUmTLEyuuXjK2ORfE1/8wwkjj2pBnE62d0vNI8qunp+vwjq+6v1c
gBvog+2G7ShyF2DHdodJDllfe3g8KOh2nnDTIX8g1T+PJUsLuW1hjUR16wI+Jvk1FuUFnuhR/8fC
8VsVTtCI1wRG0gh0ru2P2EBISvliJB5QKwFxRGEhO2BZKISt6WzYv4bArSl6+J6bLrF/oz8L1Wxw
pQDbFIGqiRvjP4Z7jtgBZMjTaKoheqP4C8Q4wDq43QHoCwScctT0B7fNPpD1SxYiIiH9WwSbe3Um
4teEj1G1IOdyBO/6YWG+/Zdjs06zuDboMB0NhtzfgePPKDIVRvMHYo6m6LQXCjCKa3qG83alhAes
Y2GJMPCCn5ztcKBBZLRcXxXrpNjaOxKNimuR14gNaGVoNuCIkeMJm0PRu0ST7lHN3IjUr30IMhBI
3vzIGNpinAzFIPQU/GscTVBfuoArwMlzlbsNnUWT2Lwx8VNNLAAAFty4+kKnNMmqOM0kJIPlD2SO
F7CU4XPprTL8Z035uuW+9gfbZsrQcVh+Ae1GJQg6zhYYaL1DrsLxz/E0y2VyU8O6eTCagl8flf1r
t6Evat4JhNomT2HofNsyfKpuAx+qgMlPaz0zu4201BBdr+R/lhUl3NGLRsVSaxpc16tlGe1iflHw
roJtyga319XCquurkR7UYr2QwOKg+8Xp8brB4n4aAQajK6Je8c9VQUXBfpPaxCE9YuUI/ZmBUkDg
5t09neD8QCBwJUhj0nr6wmgg4KCz425pM/oHFj47aaY3eEYNSnK5cs7ipI3964ta8NkVvIjjNNuW
bMkpGijKAAWNxii5P+gyltNSGrpPVQaEg/G5l2aPCGWMX4KouSiBwfJcDeaUZjgTYeTxWW3KYq0N
gtkVPODFMmnk34474GP6HnIBowad4V21ogiRck0a30IoW9stLjmwI3UCXTFDQCQWxSaPb+c11hqU
uiRSasuPbDLjYDM4d086jBMzvTgbgZRk9m9FKzJ2BmAHP5H00GB7EH3D1n6kU0WZ8PKrKltGZ0kG
6w85I/4Xf7vdoY+GoIa/Vi4f7oIZVdUDTaQFw3nuZC/HxBvDvTuvMJQRQxJvt+pelmdNF7E2hjwd
jHrsQKeEEKMqdOzIhbY0o8KKqQXg6cs0gujnjVjREMDsLyAZvoRoVZmdYX3PhMu+56caxFStPkGI
Pxx/WPfLQWj8ae/OLxU0UxLGDIxa90tQN0jLaYFvfopLiwI5LOBA7RO1EFy8afCZgje/wR6Afjsa
cm2wbjwOrCY0nB2uRCwSkmLvGOG0bm3CL2Lbgjsv9ijbSELrCYJZEG7dFsHsHiQ8OWgyjdjHLKDI
xGeaBqTS65wFbu1ciUiyxC3DGjavxin2R7hoHtDkyEH3t9JxhnmVEEFnG5PiRH1/tkhOoj07Fu9x
zpMnx4heiYx/LkunVY2tGikK6TZcNeqTYVkaMLiFSJuHHZ5B2NQ1cz9J5J9rgIw0g2lzo24FdSl4
7QI1IHvGDdvZpvDbRZJlp2ZxHNs7JZQAg7TqdgyMchDSDRnR9+vWEgPoUFf7SgbrpnCbSV2uSD99
AskxJsAiDnpAsQ0zaiupgrhyKWaiDVFaiV30aRnf+vl0r9Te1e6oC4Z8X6+kOvx2KOQJrJl3w8eL
FoMd3Pt9Rnfl5JeGV7JmDHnsYpWV2rTGPx81B1BvHXIEccsGRFYMxUPQ3slrTXA65dm4RpluQXKw
1/r0NzxHZmdPa/gg08JaFbx/XWCk2gkvb4U1UWFAZle3qoIF0FI4Mpwz0jRjY2Vy++mH+4/3Zp7V
yZ0OvbDMIyXEeuJm1lRwLFlY3RMJH5ghUeRO4f25l45EbU8LIw1aaZKqjBfsuSnEZII5iUu4B4ik
KwO7QvMRTThTx0v7BveDo6F8HUrgd/QCOGgcvgK/z8Vzcyx9/0zLjMERKY2pT3zELXyPznKAObVW
Lokdz8JusI9v9SyZNW3pUsD4rC4weDb1tb7VNurxhLoL6NEUPGC3VcD5HFpW8NMKjgCfGnhS4S1j
Ntyv+BZRLn8mXiqXCnhaNQTvll5ijqb8AITHWPjaJz25GB9mO6tKIQ8nKlwWhPRcET1J2Y4C9O8Z
qObETucJgLG+KWFHXKd+XGLJDDdgeJgBiLjkXOK074gnPle3CSNUzBGQGCO9u3/RauopBng2lVvP
8/tmiytFhO4bjS/hslob+iLHObbUJg0U7xPoIP1YyNDep92So+pOpS1uXEsqRZ032qeQct9+fiPe
+DwKpDV8tMtUUT1hIOKiv/JXCT3Ebjj6n+r9raDEE2nJlpbH8p0cLgWsXCvIgxizyb3q+sa7NbUE
jddJzle7EDsx/upKD5zjVK0fJi3SbCObHpUGMCOktwOSgWEDFhEEaPOY/HUhbXZio7M2gO14q2q6
cUgQ/xcLrNdbZRKwwGsb77UsvRxqdUttmNeM3MPByAxCI65NsYWJmG/QMmkf4JcFRTYVaGMYgMGL
vh5eoTwVAzsB6iNBQzCG0bQ0NeRcuZk0W2e/SpG6taq5dBtjBdeYQqhGOIoI0uMyUsiNpcupnu1t
VayfwWC61ZZXGY88ng4F2Ng95Nxr12D7nZTewi6hYeDVTKr1iEhkfv70XRXgn37pKTptcRNJKYKq
cR8BUrPiMesdD8tqYQpxMd0SZpZVzTsFh+YnMWU9KdECCP3Hd/XJy9Pt4VqQThQehh+Fs+pV2Drv
ozZCiWIDc/pdwg+zda/+EyEtyzcEIskumaareIyfbz9LjZ0x+oA2aVJWEJQYqBobm74bqA4u/R++
B623RDq0vCioj9I7syS5brazVgOBH3GfkSVc7WZ7j8JP4ulMqtvAj+k0B2OdwJkBauDvp6trwyUJ
pCFfGYmi0R9F/NOtuu+hY8RTqjM16rtO9xQfI0S2kN55v6rSkA2FLox/c1KnaXue6JSmQzyKzHLC
H+0aZP1ErVZ5A8Fab7+n1XE0HfE2rhU+qM1EvFROrm3SZ+hiQphqEV56Vpb/l03HvqJB6mXIuBUK
qu8/dF6i11GKQrzBjqR8V/V1FwMaDXkzDlrh0gIS/ADRi0ilhC9D4U6VJ9+4D8e/39vJqw2A9ez8
mhg4AH1NU8i8QKv2okcaBzYPVPmPKOi1WaUqD8UZt7SBSsxPpDfW4HRr3/0Xq5r1Pg3mfzMLjJ2H
N2iFPVYI42jDuEQPtNUPTm+CwTlinKRoppLfSLKjYsQXG7PZSKAuHuJ6ISasSIqcKeWDgKb+b5ly
jkqW8Kfvuxj2AroUK32JMh48aLXdMOyTqXvoChDk4eegI9Gg1FZJ8a5BTQut62DU8kC5LtbFDmsv
Q2feZdBD251a9p2C2XNPFJL0eYu2SEsOGMEMh6Wg6wAdYlCN4ZK/YxaZgzcPlAya6H1VRWqF1NnJ
2av9DNN9LBD2BTpqXAXVxr9U72aSmxjUImJTezuDB5EzmHauOMwXX2QxFgQIaI20N7QCq7MctQbA
EIx4NybXrrOj6ly3sHeerRLRqGOnkeU4q6GDDETUY/OQX+JUNBUljrahvI//Dclp0o+dhuqPCGm2
JSXMWCE+wmAuwDB5QCbVffVT4w1rqh1ANjxLCFlwNlVXj86hF2xilwHLE23nn/f8+PgHuMSqiz4I
l25Q7SmZLF8fApLmu5keYRR14QsiSl0hWb4SMstkWaWJ4DcXHvJRb5T6PeSd/9uk43Xq9sLNH0PU
c4euO7Op1XZk3Y7XGwE2qdxWivQS5Y7ulnnSvTirHUuVsbFxRs70CwEy6RBSJAYNcQ+CKZu7V7ws
g4P5RsPSxK91weCLL2NEmGgTpci6RIK7HL5QattccBSGqh015pkCIiq5BUew28FWIO81F8fjSII9
hGZXocDj8pMYmCzgUPd8LLQUiSfxGMS+zecrLSxFR8/4eSZGhNZXZBcglCmfMRkMjySEqx3ODi59
Jb6kfc0RPUmvLBrKhTPSOtoojM4A8fiSM9VBdxtZYUZfR+Qj/M1Ep+z2SmhzQkHPzXtxsGUDTbxF
B2du0Uhb3G+GYcMVZ99lBw7nZ9jA5cCjtQu1jRHb3VjffvgQAMyg84X2PhwQp3yS8sTD7Ju/jxfR
JZKspgWtk95OeVWqXbLO2QlKyay/lqhf3+esCtv162wj3eh1S0hyAk8cVhDb8i+bKKS58o4Z96D6
TDO2nIeWXtvkhrDpWzb6QFvuMGZljafCgtbpu6ZIrJVOXSqsobj4Ii5lc7uSRUo86yvvSndEaU7h
eB3KaDVJSyTKmN0KubKjCgPuNRmXbXFOjKr+VezLSFcmBgbcAGhx+UeVfLe3kCAN1Bgu5NnqNyjT
rTIxRz15j/z80nCeMINSmtxWD4ETt55w4OiQ6cUNErdN588rDzbOKEttbP73wzjnbtwdLdCHZBYI
lIKlr+r1Y/o2x5eHHhgrlLHu2IM8zxwPWksmPpXDNPbnQtB+4golQ9Rf3SpoCE3zPJe3ukwtrhM2
DFFZCROkL62Jc21G7/QcjFTJAOkccZ7lPRAWMSSG0qGo/kY1eQJ2Gh5i/SZTx/NMH9n4xv3V0f+q
ppAIAunPtms3viyemVCxeDW4aoaPSm2thb4nMXmLO1Mb/ocYm/QngooR+DBf4+J2F9Oc70r4BWZE
nSSuZO5k9yVYhfXniGkHefpU8cWdNM43m4AN+sRvdmIrTvxrV8tYOuqcMhY3cB26CAvr00PYzjje
JWVQ+YuQEzwBfFdT91FvMzJXaV+rgs3KtEwav5cPlAxgyVQjKeB4ZN5ylbZJvxNLCJ9h9UhAtxnG
7c47nSwTgMaxhxvJQFqRMWgn/8eoEHUDFvu7UGZk32Lq49dJ0J32mcnyPnBzQVg5ISiYRz0R+c3G
yDfehRU01U8Yfe6glm4b3E3VSOq/kOWvvvwJMJgJzp/5D+DBwS4pYGo/PIKoL+Wb91DEbsY5CL5J
Im4Bxmg9kn3jzvFeoq+aofiK9Zx6GAjDmcsQsRhRjPKSEuDbOL29HKj1oqLXdmxN/TzRGcrF5FoB
cOte2gTeLUAWF9GCEXygSLBFzAGEz73Qs43LAJfsXAQRT685wjTCuaGVkocw5W/lzRfg4wvGJ1Nm
Y2NuA96p0yTEbRXfSjj1MndjKYwE8WOWnJy3P8iWEPH6xx56qIRFCfSCNhU/YXm9WDCJBg28Vns2
CAV95gwfsN6BmT0v8AYGXb++PhL3qd2JRypQ+16DGMiJ1ZH0VR0YKStm3uKvWmU8CG4lzkyQ1Oaj
5OwcvOAZLXxYHkR8ST70PH0cbYc0ZKql1BSuGMiQpOiuZoejL8qizYASjvKtxKzknj2+tm7GRqcy
c2JYV/+J0HYCLTwS2PraMGJUw7ZbeRBV3IDRwiYcnFUFSshCamlrYbvQ/Ps7u8/oMAmJnlXadHVl
HpIANm4PB4sb/mLJhoaOBx6TihfRqym/pIWbWw4U6M6s9ztvgcECHFsMKU3sq5qFWKZXC7c4D2Gv
Prnj5hk0KGoDFHc0zsoGeLivv8f7JKW7CI97OK3K8LOfP5+b2g/EpbhCQsHixqsIufN2NbBw7QHh
QvTfxcZ3XsMdXiKZJn7ZGOD4Fbp7IH+cktfDvSbuV+sZgTpTwYj97a6GiN2HBbyOYhAiSEBupsfK
ahCFd7WUdwMBnLWgQfs7JGYCSjyocLHkWGpBYDZfkAxujwfByhKhEI0/FokCjjBUQt1fj6cCRiyP
ql0zDUdsNuwkG3P2P+tB5eCPracgIa7LTo/2Gj+jIW815F8BhJjqHVqhWfM45BGtdJkSQHKvBsob
UYtDHcFrN256LRkv2NPaxSF64WcjwwK9WffZTE9yDOT2933DjH35zx8RrXeD1Qa9+F8RNoO4jdyv
YlDhreC8ninvafSPUw9TrgEUf6S5z1iePMtYlxGIehbOWB5n3DGXvZdJgJwVhb6n9J4/v0XNGOsm
JN0IEBs2pc4PphVSJ8SITStyIoZF3WmzpHVs/Wt/I+hho3hfgWHtFUQ/NNrCjRhymBUyp4tbpxRH
DSiv9a4EKuvSfNX1xbaiLEPJG7ZWKvtdXD9GQY7bTOsaogv/AHQ5M9TgGeWeWrZgLBB4TIuqGvgw
qGp7C07+2Bsmg8lTQMVMk2Kc04jPMvAIbKwneOMUU5PFnAHb1owwR9m1P5tOsMum4iAiTtGK6MKg
HrI4gyRCNIe4eURSFwNf0NVhOIdOjhiszEfD6NAH5h2426ouTXjRQx3s/8EWkT6GOrntco60H+IG
a1e0q+sUCrZMXx4Pkbxw0e91vBqjkvcAljJSWiyQXkJ0Yxlwf97NGt01jrF+YuZXKdtVpIflfEyd
G7vnVeBmZqInzL9kmWZTdBWwFiZ/BLGfn0UJEeKzSvk+V85JQQMDBzixqFhinNXkaB+buL5iGKnH
/poQBLJmXATwhkvywjLBti277m/dJqMV7SxFhRlqPgkwWNFPOiktPr8BEwR9LNZRqh1OWvkF710X
J4vJA0ZBtpH7f1Hne6JipSPVnl5yo1MfPgVGEno0jIxRlgPPJRSYH9QOs7S80GT28G70gIg/iG25
/fIigR3iWBD1rzwnAVd9UKSEG1Onvq+JDHd5c8mxhmvl+jXznWYcPW4yRz1g7inw1Qq9F1Z+ozNs
iIT36H4thvASbDRypvpR/2Srs6J0erEwrrV6gzYX40WcVe3OiwajpcZifr5po8lJFuNoqC+u2KUX
nsKE/tqPT3v6WoaZ17/F+p4gXjvKVJ4jrSRL/wMGfBlz3jEJg3gUEoR7MkaOuv2dlaK8tYjaaOPu
vTG9aYhr0q8sOl1a9FMfisWjTsUrVZPFjQTrMv/u9OwrMt2g7C8ha91leSVfYtgOnaMC9hrUB16L
wKfUxHMlh2aOjaVT5sSrD8eWHWhVHnG9oqPcIBkClAbgALM9e20gvKzBcJ21hVmmEty5MhAAJhf7
t2swM89QKzFaHTxt+o8n9NtphK9erWxg+nUL7mtoUQMOb1G7tpyrkFrQ0fL4mdDASiYYomf36PwL
CPF/IzoMXVztvdCwBbd1+LTz4G6YDhUl7PtybTOlRM5vm+GFianPOJ/uJ9zv1IO3PY0Zlv9FMlWV
fh+gCxsS+rHI2CVuGAwlnSQgZKwhGJxVkXqXbE4SgHpQXaSuwjJNYGs7FhbMvvc8XCHqpZaN+6qc
/CpbbeNkHruio3OamgsR1W+elCAEZ4nYSMIS67wQc49xZsBj2Y2j3zRtgeAPclT8x34gYNS6lkjp
F/jkDY4k51h7MGxYgxWRJYliBKGruHbTvntOwEPZ3HqyIMEugnoi2/1PTYjBFNHPYf0u5MbSIN8j
SiNb2xKTHfnM3BSjA3KOUZ9rg2gh3NF2QyoEnCKkI6qp1oyez55RtLUQRr9cZ0a4KRd26nhV4fnV
bQOTXcGE6cHbXJ/zM0kIY1ZoujYbymkVO2OM4hpA867ngruvvSbFAfaFxHlV8+C3zMKzmaC/9Fbh
psAKZWWb1mg9WQb+3Fw7rVqPqDUQRK+2nDZnSnrDG1Rx5P3KHrab3AySY7dL5mLY6M3uazYNZfa5
FdEYzOxtqERvBRI6eG1HGcyOepfcRQ8gSLm8AFi9K3Ncnx7M3K+rZ+3heQLi7giF4fzuXn3PVD0f
TGb0kAbqUb0Ewa/QVqzZq+h1WSRrNkmosVbrgljqjb1FBdXEU0thaMtngDfwhCeyB/F14ty6Dut8
GbnURKIDzGPxBWOwT/T3orn/6mgErzmWCvk+3dpI1OQb3OP8+HhWZswP+SRT6eIMhw6EFF2exiIZ
6sP/WXZNEJf/p9L4rMoy7kjESUuv2pRlulPv/NLY6IHYAUM8odhnfIWh3U4Ec/B+kr5Q9jrE7j04
KxYDZtYWUrO7j6TFGwUAsnbh47ERQrcCvw/1yXoBjWL7gQ4/QGmwQmR1k3U/7+uTL4zYXPJJ1A66
eJYH6nkCeCb1wNWlplPKsDEds4rLYSo9EaVePour/A6r3BDJSInahapC1/NQvZEsPj20Fabu/ap2
u2QABxAEuCF9H7FZL3hSJig+qsTerVkjdu3egrtNi1L3kQA2rpsJ/gd5KBcT7p3xASfR76gof3PZ
A+RYVCrAUH4A4YTB/33Zin836D7e3jQzsc0lvc7hEl4Jj/YCOD8BpXrwFsoTjW8S7WzDE1JEBURc
MSIj1axKdGJEtQ99telLbHMEdPKUqJZ4FPC0en865AIdiONeIEFPuOdmvDkAx+VTzj3m6Z81a8eK
Jnrzm0djcfsNNO/e0GwNhdg/ANDkUN0m4sSMLhA+FoaWdTypzuQ6lu4v9m3cptAHLNdB0z/AOU+S
TAS9MtooH6kpVwo4WXOW7WDViGiWAcR2TCzuTxXV8qHPpVxO38fVXAV9+eITmc9kUEq8y2F39Avq
45oei62AzhSnudej7CdGqq9JSGTbS7GLZP/r+eTL43GYle73eLNjlGMzVCJNQIIKwGp2oX09ZflT
vImHUE1u2ncCIVLHbcNEnsOnCllMKexyyuz/YGgiihErUfYbci3YyUbQW5KeWF5chJRnSJVerfFF
IQnoQGmQDcHWDZBYU3amqc2Miohtn2431JBCOpsgLM7Ufo7zjqcteK60QLR/EeeUwBDTTUaUOHvs
a/YYbD6lAXMFcwH/GK2Fu+unvI5Qlk8SktI9U7NYMw/LqP1TORKvc9E9AC7h/7EuKx7BTG/Y8T+w
HR6emobOVm2TMQ5SGrgPACqk7/4xLBnDXfU3KBiUJAv/w5/shZsTpzLnXPTwM6bCUwkdGFrcNWdO
e1YGWHB4gMyXNgvAYbJpGF4A5Tj08zrqgf4WRsPNJyvp0tWln2NWmZxbyluW7bsSmXdTAePTjejT
tRyUDihqW9M8NXd9qflljZKNG6JVlYMVaGfgERVN80+Z80rSotSJ4Qo17LaAuaAwWQ983wvly3Pa
chRu9C+4CUFLdKATEgprZZqZs01vmq568atvTl8wqigZ74EFfGgt80mMMCQfaKVFM4bNxVw9BoMX
3PYpm2uc+eoCwGukTqZkx+BBwTU1BwaILD2GBrMqmcsyy+Jzb5wTdCz7yy6zoqjIznZcfxsv1EbK
zKGiSHVhxhYywHSRAfCSgZbic3ZXHSCLKpEPLmJlfaJXLmG/9lFF0HVP1y+6R92l7B+RyHyg+iPy
u5yNGS0ECCAEHf1KdVHoktGSYw4oa2t6WxFcqtTf1lOcB5t1FiPEuu531/u4BV8bQzBMbjIU1x5y
+R1zZWHjT7uHpJvBW6YPjs9HzDeBNIu9M/NwAXUoVBFMHzV1F244r6EBsfC31CID+CmHyc/bk/oF
KzuAh6TKAqvejmoZYCz4ZXPt2h8e3fQVuySizRFLTygJ8HkycWIxHQp3U0H/UrVmwBc8orSmJBX4
gq4up/3NKL/VThnfqsYziqRS1h3XR56bv4LLiOgyFs93kMTqJQ3nVunt1b/0JkRRv92YMebMydhD
IaExvFUl0mGAJ2oXvB7frs8z1U/Kj3bHMssA5OLxHN4dk7nbfIwCnM0HPoK40yjaxfndgOKoTUnO
hzv/RaZyNZWcdr6DdXJNWs9RrMk7kMQ/CFEqlE01tqCs3Fy6Z8eXaFhlGUJ8CoSrbo4HIO7ZFlzH
7JEEsSFJlN2Q6RDuVHQcy7Wp+YI+6yeP0z1ssajXvX5okz3Ynm3/U8rAtJRVESzNxN+KUxmROynn
3/vyJkBEU6G8Vxpzi0Knu+ZiRnwtl+aM1oPziipPBJ8MduCExjDMn5DKdWOYVzGGBz0njAOycKV+
al1ro6ZnTjmieEsukC1yNq4kZmjlOvB6SgBkomwViKmTICYsu8oDohuJm5mUTugsJduueNotsvdH
FR3jQQYx6k9/aBX2Ha2DP0Aii/nsyMNnJ6x87GKVvVR8aXofJI8fvuQQeaAcR65gnvUWN7fJL0aM
VE8+mIh3d9fguuo8cWpQ/Bl17a7QU8Woh9fVvfN8+arYBP0W7jdtp0InRfE1ms3t2FeJZipnzTOo
EgaOmLCkx1POzH8Yb2ixlS3DoHSr/Y6d+TKNhOqhiXjOwvmPlbLlG0b7mATnLkefZZfz07zAOj6B
9D2iYb1k1kRNmgn4JFZOFfw0F5/hbfD7a/h5dbKDl72ld7rTeNVwBxELFsOCoD958dVDwwXUvvBq
VENZHdOUDzzt8hU6CPu0WvV5m8Ilqnsx6PzBlWPMzawOHxmh8EyzMUjo8XmiEffDTJqzJuxQv34T
55PLy8cxCapj0hzjd4s6/ldiqF5uMVImY+BDKOGtPe0VWioFqsRjbMi+n25HGZufFtQJ8GlI7Qae
3WbMC/V639laV8w44LJ9e5PqTiNe792En2h+SFEkLOfTaF3u8kZLqlNq9cQJlRhxmOqEe3tGJ10g
M1jelMxIdqNP8B0N5NrAEreI9pzWntSw2Gax4tqjSUBy126FcjmcDL9GoZELPTS15q2ehmz/a3U0
3bVAgE7/KZy1Cw/ln2FkTBS4h8muDnhxC804OcZXMjKt1XZrrjtprTdfhq/zcFNJ3pWe5GWimdTM
I4cqZmMrX9HlejqDfKGcV88NDFYcYBWshCu1TdlpNyxVNi9TtQDNEvNbKeKNJcClRgSzRUFQF3CC
LJRWKa0o8ZgNL8UVzvknIh62bT6LxXYvEr8/mGgG4Nb8sSh7PAzJFS6Hd00EcneeZzEe3f6VQlNz
rZANhQSHzxw4BhfkkvsFL4Nm9KSSZ1n7QVnt0kj7QnqcdA7qkgMh/I6QQ2X3h4QZ4JN1HwK+Zrdm
YN959DVhXJJ0FKZUe0n90SyE0Ob7TTGjfHj7g6fP5QIk85xHV0kUytilMgi8IT1NYLCl0I26laZD
ebXvh0d3X11WcqJ1IVZJOdQot7Kop7RTjYXswcBqXjriE6BZasyR3guOBppA/U8axsKZNZL8GwgB
yBtWeL+XP1x7Ma8tJLgIDq09WQBryejNYVrxkdCG/KlXHx7zT8Ia8KbVGZ2OwI7dWMgwujgmT0t3
QCk80947ZZyuBGi/SNYvzzRcQulFKNZZYXQV5QewV1IF/WHUOxVa34uhSXHq6h+kScgKXK7j3Qua
PkR93mtMyKIxJ1iqRrsUTVQDNvoysHLYBZ1lJQ/TxDicj6pqNur73+zhFmSXTQPpCnKQY+Kpu6pY
LOErM6Vbadp5RmvgU4xpIBpYxZ806AF06kc2ilXQ7biJ6QkcyVSY5tH1TGceZZ7+kkWMcvlI1E6W
KnYraCNplDjo7EG9hGSOpD1U+vGFo902P18VfMOLZd4pfKDepKAq38sibX6/xihW6pUrGc3xqg+M
xLeylfjRCQ7+W87A8+soO0LlJzqzPRra7UobFcC238eakAZBpumeXO01cJFfBlceunDFmrWKIBR8
1N5zPbqYFhtR0XLWeJNvibbjuNh8lAAWxNcuVL+ohpnWyAWE1Snho8iuXzoqkaKNXjGaj9uSct+Z
4GHS0Nb0tppbrYEaYFZOP219qRwlz6EEZf1Fkx0hOPBLWi68u1PuFKVl5kjScabkwhISKVBG/i2U
x9wxi/bYekkxLYOFtkZwzHIbNBDYGDXRG4O+qk9RCeWi33PWxSt4Bn4RJ4SJfXK5uvjXscyTms9V
kAA7hslyhJsLUiCH38RQD9eO14GMNdM/fAgfJVHRtHfxf5A7Nqz4fM55+axHwIItHRlhl6QTWEEC
Z9UBhKuTmcqszKqCnMOubgdwfSggqHfWXzD1EWRVPmLoBV3tKFzYLlLVZGh8bCABit6DEoAp8g9A
4oMI5DzL3T6DwuJ7BmO6EyIsLnb80tnrE0v0FEac6FybTZ/y82gA6VlmQ8+FLs4qZ0NtpDnW+nKr
RYWeO16RfzfJmd/6nbJY+lbOC79Um4WOuFLrJvKtQCxQclRGhQB5lZS5vb2Xt3p80ebkX3tcevix
kk41hRhxY3a++1DBicEKH1gEpQ27g8wBjtlJ0Kxqqx9ezJHW2qD/SeuXnyvirBqTOuY1wMzAgAOj
1ZO87vx2EFh0JsiwmAoLEfQ0e1JP1O5og1B+ybIA0oP3qipeVWBtNpdHpNLDUtzn2icMFheP0sEQ
qG4DFmQGmKNQhGfTRIX9BIsirZliU78ZcY2amplohkBLkehAOuDw3DgetnZrio9NnBgxcDcVy5Q6
9QmKXJGWUJlSmvFsb3F5MfnoE1+czUE+mmwhnE5h21vbd/uBPKhcW7K7k1NEX5WLAYWN4lyOrEqz
fd9J9UcX/zVxvx4iq75uoBZosD0FcJpam/gZfXF78imbbtuEQsEFq/y/b7YGIUlcOx5p3wG/KTaM
pXHeVGk93wobopa6p7zQS5RqGGAg+qS4qa6atDqIfDLhVMTzbtXHnFEmuPrT1bhkNzn0GYmTdajD
k6Qyk5JK19qo/+Gf8shPX+v0i0b4e21FxgtKD0lkMMIdRLwAhsxQ98VtvEmzpfRjncGRzpMpY63z
QtIKkn8atSYntA5z0gmbp0tx+BhKE+F2GKt3ALFctA0o8yBhFG3Kd3sUTWRKfy81J1CVgyOX96o9
lHOHq1mvxcZ+BWq20KBXS3ZEvQWSr31YkSWAcyS99x3GWr8NvYkp1F1g5RaRGfzxuAuxeTebQt5O
pzCfTFFOsKUm6+p6RNw7bWqxOxs2AZIPIOaixoT+jVRI0x+skQnkmHnYV7tyFDgyvbLQgMCpCZeg
nBs1ZCAs9MBKu2tTZUVhDIWPi3ylgz8bfYGdPJBOyU2k4MSbMUApH65ZnG6sQVpqEgq255MXi3em
JInjcVqbaJnSYpcukDWM7oVneDTq7sLEIfXSaoo8zFWzbWdeFHR5+RoQbPlwO3T0HpiHYv9RjaGf
tJuKJyUEpxwUAoJfi+F/H5xDKKw6vXJW6+PYHRlf6ERPSZy8SAxSA1y0RAdKuEfNoiqiZW4ynoAl
vfPtcNDSwjdcRGsoymiPDjLesn9wrw0/wZA6Wq7a1vhobl9HNVt4//btn/l0PhVGQ2eXQ7LPMayc
9pJaO9KFSp4WmKfPTZltNQpp0ncohp5AGEFhvJ6jFWiDobM2mQZpjg18cUrrNMTR7kFrJeQZTSyj
GdrV1dIIhE2iiqt4D6kk9l/V1Wl6THRYr0cdrjIKQZvIHljr/uY/RFYSe7NXGIe1eayL8Ux2UBNY
oc8127FT4bLswj29DEWh09no8afS0dXUOtJPu6BthICOl7AeByDraIAzeBz5wCnguVGAwn+jDoPX
7vqaHtuG004KoOwPtF3ZjJuMUAX1PW+rNbQqAMoOPO8pYINY7VV2XZ71Ux/QibI16YkDNM+c3qHi
1uun+TO7bFF5Sv9tC1Lu68x8cWKbSGePHSBXIS6s/HC2ZAFqahpeIrhx2uCs86yrCAuPfcmqyxDU
yi9lGG+OrAwxFqb1tAth0XJPm3nv9c/8XU8Dyfc4JdXiv6Reqg5YmBTnPSRjei+MAalvy9Lq8m21
fjKWp82UNvlkEJT5E59ZJz76DgAxCyEgKaNwrnm5ASpou0aTz2VBXBU9ETCvt9uS4/Qkr/Q4ycT2
sOjPg4I7AEyBaom4hKKJEcJSGlMVGSRh6XZoH0sn8MReP1S9fxVa66h4NVkhmhiCtUZ6+2N6YCVL
gYH/8rk5JaGB0w6/qxs9z8THInm5x/pn9jHj9TyqBEGx+HxVZNyfEJT3kkP3danLeLGzWb53SYKY
HMOTavqTppuMCaD6hqwRQEZZOB8gvKbKlAR+pc2D1HSOMrGQHO001enXbce9ciTYwH2buTIwTUfk
byvO0z/9RAT1SbRyhZOg/PfDC5D3G9Ai5vcnvyeYZvoAMsmr0xi55LLBPjoJWLb924prxAgz96Ig
uW8bEd5Vu4rEeSbcljjuprSs3TpVPh7tLEQR/eOvWYphti6VvdIxeinPQIN1IQSSGS9RB9gDkmYS
DosTtUw4CiTI2ayV57uC7gQ2zi/bpFA4UBFqhwmz/DsxAA+I4Wo+y2B/4SLxkVhcOZdFSb9PMdFE
BKUdYatHrtsL/J4Q7uub1/P9Z+S7FuXKExMwIAgrIyQDe2+rTZFUxJLJykasGMBtWDDJNF5S1Jac
cyzkBsDlumVzAuYiKdlklVWmQjikWkqCNCyM6kUPlB2RmpWspza97/YPw0uWrK9QrlNxSnZyrKr/
t4fFvA6CriNiXKD9oSEqvXaMDtSSFloQAT5DBNQJsZRWY1GhAuBG6STdQj8r9q/e8G5/DWjQam7L
edAQ9saClHKhLE8DwQp6daApCZjX1kFXmQGPse3SF0nhV85GSwqqS5xZ2I26QII9tOEhT0Gi6dSO
DOATpfEwSw0QO0H29hrGjdnLOAM9aC1X4bOhuibkkBc2viMEA6zauwJ/SWMQzYRuonfFYDqDohQN
PLZ95RaglYerQA9TzPitZRaqum07JFWgOBlJxq1R32duaD4uzXLPRMRyp4OqwxqglVp/0RmAyvz/
suPjhPds6Rdpbzh51PYjyzTeGhS2N6RDneqpGWdJjWZ/LAkt9usCd93Ecj9oo+RdlG6istdrm0QX
CSxA9gdO9O++p3GZpHyQ8JtDneizfFf29QIrwBerW+RhImUJM4VpsQhqFHfi5CBk9o5/Z6VcJcHw
uxZ+qcMaUuBW4jvK0hG6p+6cr2RKGENWWPXTOlOHb06b0l4JIL4JBXHai45rNWu8ar7uCMLF6gyo
JNyyeamkkAvv2AGPM8yMFgOcjhO7hLZvG11x29BlASJQUA0BMKpSITuj2y4V1zlTdCh3FI9e34nH
qs4TWKAa6QQ/26J+wxTTD2QQqdHUOMBXkIOpFvBfw0EJoIgg8qsEVplavIXR3SioVVNHOW6jlMvD
Y7VYL9+/qnYTDhrZiAfotNgm3dUaIkH5xKBKRLIY6w4FIYmbr3azQqL89tcOum7sFA+oOL1X1Sh1
CE0ySfecJhYTL5mhUh3xrU9v3iCygXcD7yWxBr4HrbogRebcCkLALsLuaZ3upW1TB93Cwts9nFDg
MXKxP7/Z0MGNux9guVH10OtXXeeIBHuQQ6QHt23LMdJ01HC6ubgbFYXBIfoo0QvSQ7AoI/SpcgZa
DlejtyLRCUlFd+/NS3hkVx14futmDH+kqzHgGfHwEDY2oV24Gg8LWvWPgV2P9L0TltdP6prGcFSr
c0OXuJDnaLw7DTpYgoXKeVvtfai+ELLSG6XHEbRO1SUmOLHIENudOHT7XVWWxMzYaHvyyWQdv1MM
gfPg5ntZLvbhlUdaK4LswU2GzH6Atumthgr2LRnz09ug1vJTZvMj35uixdAiUHuXZxObOHgrheax
gIQtNExrJ4LFWYrnZW4yfdYkGAmB1HIz1bxyu+LkB2cv4aWENk5IcHvmzS84Cu8rSCFAEdsJCbau
66p5E0gwWrA9yr1TQmo1lttT2d5AKcyMtT7ErCrUbQMpx0JBUd9opDnXAg6WHOzo75bdmuxohdPo
0pn/nxn5mFWjqJfO/bd70ZfFSRVvUPfIQfgHHiYKAnYAd2Bwu/UedPGhZguXGWbfYlYGT7YFy/+u
n2u+6cCPofh0ujdUTdOCQxwDIWQMu+nLRwUEU4k0C0yfrZ/92K1i+livMoAatmMKwtaqOPwFVzCd
qpi2fENqpg1yhozrbf7A0uRa84vb5E3QzUz2M/K+6Q4xPlfzrFQqncCWHJbkRgqJm+desVZSFyTi
04GSF9Bib+Q1A6GESZwDSpy0H8VulyY7AZruWKiMprc+YuK0gR7F3ivekcPYRCGQQi2LC/Qfa4qB
f7R37uR+qUC1xP/BYOI3OGLRI/61xe4topGoaU2AJyb64JNKacCrFru4Q1/4+w8eaWY11SUkDnR2
kInnR37pdBcuBbYDeBdVx57kcuq8wgBa6kLxyqR6IEZh4n+1cqZvixFI+2xqeSerLctZWcBp82os
X23OtjJ6QABRFh1/sUuF+2S5R7TR6tBP10gPmqxQWXJT46H0I6d7vutcuB2tgKmLneBXJgF78Q2c
eypNDiIblm8ghxcrY8WATLq7k43xs/X70kKrTSFga8nIL4bKezngvIlLjM4jXSNPEXmnoyiK15Lp
RDuEUL0w3p7gODTkhOuLLrIWDpFTxP8aMM9kdg2jGY3hzPHJJZ/uvJ8UgnrTKC80v02DmyscAHk1
rm4nETv6c1bSV3LRfcOPLguBRuwlElGooU6VqgwzAFTk7Kg9gW8MVfiVGuUX1U6RsUbBMkQPDX95
Sc04Q0uTv9jnKN4j9libJ0oaOxb+9fx0q3Fd9TvSth2BhqgsenBAygnuqUGCWrJSG16dG4m5btbh
19IGiw10p1B/m66501vjtCqfP+gCy/+3+Lt1xNt3Pmnrlxiz4CJFUsTqmPcfCtqYT0Xut690uxh9
T5bBIbF77lm6un6Q8XF9Fc7ljzlloahTDBZZEGrcdi9A+im2Thz8KyTEdxAS/TSWDsHP9E+Gtz/x
B65OD374uG5nlH17N/p9nJaMwkWe3SUR+3v8CKBB9AhMzaM3wACrhER730WahE+BFXoIa/Vac7Tg
1D7lnRBoV0qe/9rJQpQGCuevlOwF2u7mMMUtdudZMwtSGdTPZAosWFzEm1zWdSis0ZxBHzd2lXqH
wmcHvycYzAO9n8A0+FivqPq27nPr/g9AbYitmoN82aUDrxQKKFIORgbttSL5TagZjlVEs/yCd3/K
OYV2PRnkH2kRCyVCwGQB6y3ixiHB5ig2zhA4N709Ygl9cNeiShKiYUL7a3vTDeD8jVxY/p0kj2GB
/I4B0A8W9tsfQ5Zu6HgXRj8cxm4tUzZjHYX314FG2SPZK3eS65vPXDMExqAeWC9JUVFnZ4qK16Ze
1T6HS+P5jLMWw6tfD4GPvHqffj2BQaU3ks8GLbwSiHLJ0YJH29TR8Za+OP5dol6H11iH5gcIIUIy
c2NiA5vLW9KBwftffY2uZKz8TSJ9O3T9SNQf8wE4ulDRLxWulZvnbzPkIIE2AFjfVY1R+kwG8XOV
YaKZF2RVM54hQRh0f5tBlsDaFMCcoZnHt+ObpbvM4Rl1hlaCRkyG+Or00PT/7VXZUbl74kfgf2pQ
RYAuTJvcLx9ROvZs2OCRgeC3I8bAo8j2PzhtocgeipLWdv3sr4JajzTUQ0oDt/H/HKjWGJtQUP1c
ajxMurWo99UehKWdvUODLjBNqecrbghpJiYXpsqvAaGdjAJwknbvLthjpLd3yf+GDyxxAMMy3GS7
G/uEvBpCdGbQZU1vRx7k5sZe3foAqNoB0cLZM7f/fuj7fKhiZtMwxjl8vCXyoIezNXLVUE8OCw1p
FCkWon6dOlgMRm6f/I3uh5DrBVYZqZW0gr92OrQKj6j8/r2xg81Y+WXEwq36Jm6b8XJTpZopGv/Y
I0O3n3fTajLu3GqTVfJMGdR7Bn/O7tPJYxbBsB9uVjZYsCP845hVDFEvsDu6Qg8o4AYt7iafcNcD
iRGH9sN/Yrp/CvwdD68B32uiVtzH2PmcpMQYRwArgjSJ0w3dzfni3+gnWa21F9sewdtJ4gFY5xCN
0hHsd8t73G48Np+g4wiIc17xubz7nFMfGpiCGrEDrhMGQwSacHc+aplz78brK2tDuWIGBJ7is7dl
zHXkkV1KpOinz/WbzIZCMei8M0mIaunj3yz/sxAjabFSjza91vW7TfOLduKhyqRDsngcFhZYfnZd
ZDFunJkI7BckPw1VbxrzXEvrDtMgRNoBBonIG8pZF8XQOvhDUdgVNuXi61AGw+DHVtO8Ii9IMfkd
JwR1Li/C90qnVaqnnGLS4lOOrz9aLMZ3W0SliGCDD619Md16IfW+ThvvlheeTwNs6cmWw4Qq11j1
LXgbeN2jmNX6d2lk8jkAdJ8+YUllQdXD/4tFBBuN8R7+CNGaql2yIlOUAAOVKLU/uXDo4ryG1w7w
RApCptrObhIdmmPw+kSvQXzRxFaFUyXzUUnRdpfcO12vq4NevAMVT/T64MS6jNcmArTWwoeUxBI8
rtPFgAhFkKy6lFXytqiH3g9dBj9ZdxElEt4d02zImDYuU0+J64L1B83RFypr1dtoVheaxKi3Rb+6
i8WHq2zRqZ/R7PBe3VilzzJTaQn1A1Y18mlMTD+VJi/gqGAaMcB3JDc50l4bYUvnVlmn+eYYVjDX
WKJ6l3kLbAIE5jxjpIFO5+AK/0tzMVhL2+2SGUZBWeAO8aEC8iW2TSWvGOTG9F6I1U03DMgWEq3J
G/yK1KO/SXrPygKYn0vhtUXLVOwbMECmZ4uYkUNQOmDIB/1ooqU9minQc7RldgFRYsx8e3izfojE
Gw4YxkfdTye5WARpBP5PMtCspNh18Qpaka9Vqtp4rfvObcXdZAhGR81axJg9l9oBJx7Hwj2LK76U
ZIIDcsAgZbMGLOzs3sReKm6KAGmwNrncCqYhMNbTOqWroNphHG5riWFE1elSqOTN8aDCYOLktMf/
3vpjzXO4geEOWL4R0OtDYM+VEJd6g6EM7mfhr8RXDRfMnVtGrvfcYGdy0ZyZzyrqgyTD9Ud1AIRg
PSDT7lqerSNdj2mpfSgZ8QXINWEoX2sTR/D5YLQx7DgtEGppkGzl5Dcuq1UGHtvpLWlda/BhbG5N
XQpmvL8Lg/fRj8xWp3WUBNWQnTLyNRp5IXnEhq6OozjhIYuCCDTyPz2wTw2/oU+A8G/eggqHuNnH
2XcT8tOJsUxuJZ1GGUA36YLDvNXyiXQYBFt4c10VcppWzrv0G4ynstiKbUWiHOc8Tv/dZVLzR+gs
6/yCzWaFZO4uPBJ9ifNor3n208+j89tOVDK3Rf5mUm924Pr7kjvUftlNDr/b10rP5eEOkqhOo33d
ryvJ5er9i5C2S//cn/fmMyPURg5MRVqDTExuBE8i2+g0nRsvD8mbmgLreryGoQKz6kHVQ+t0pcKd
ozkI71vNn0p3IzvR2lCpElVL8ROCW1JI82SFhvcXb+IdgzvRGy3NdhOjMs3iCVM93EkpvG6PsWkE
J9Ft6kG5o7QX56ElhlJOPm3Kace5cUKkEktkydUyeDOdSJKK9cbelimmJ1NAfSRvlwLmF9kH6Dsz
DddQtDozGXuQkfMeA+sHCJ+p5oWfvSdo5I3bXskcSEas2YYq92bg3200CkGxgsW41Kffd6z01Vxb
1U/pgPlTpUQdpXdCf/dj6DzOt49KtBLLnkw19+45NkeW/WzZBE6yPFHzqGs/gyO3ZhWnsBwOWOrQ
kHkQ7UtHNsFhlVMAG/r9hjnES7ec594/y5Ugyee7C2NCFwBbgj74cL2C8HZZmG4j8vCCvtLhwNb+
DQ71/ZbyoW3mBeJyaGnqQQHD+9Bv2OCOKll2RKv0S8V5HdAeLcjPcLAMEy3mCFkRuvNBicvMAKSi
DHzLxARGvFPDebG+MQCp3hDVO+KT8aYNnGpfEh7cBH40i3x6vMnt4GGNJk32zxfX/J4rwHUKVMoJ
0WhFV8xTr/SkNeuGSnH1e3yZvlUlmKPnVLPgQ2dAI6PmD1oVpJYFbj7SaFkor3C+PPK3TQ9aObPo
aLkI6E70sdH1kPja9Z7O7+ajXp30I2VlXSW04SOUwiNXl6HqTJaTOLPDpbBbcegMGH+zUb0w2tfB
ataLMFP9yPwY6bBar7BiANY6b6PRoImvD6tLjjUkUPIj9vM7S/mLXBt8HRz7VIFpy3iRvDAtbqXO
aQkBOIGmxCTXKX/nn4B2iON/LAvA5cuaC7UK3lF0Bgazkjh0dBUcuj+BeBGOFBG2Slwq1qQG3/+a
9lwqDsEM22Wud4dHlgE8gvYtjPtvWlg9wddDI0gcyR6ADyGrw/OWF88S6z4v2Z4eu6FFHYjJUSqH
6eUBj1slM9Nqr+8A5UhKg/eP1Ul3DUyBkkkdDttEMB8Y0YNJejrNtBm7pW3AdDzs+kKh/aPysdXc
Xzk7gAXA92ut/finoIulQE3Rd9nAMXBEOVwx5/VTTYAp7DBj2vU9vkYh3oBWgQH3zL1AGSk58M0K
sbAJjVka6vSH3s5i69i8ntE5nUISxt8AMnAfgayAziqZoQjVX6YP2hXYxb/7pY2pQ4nX2M5gSC8S
U6hidsMH322O2Zaq/A5M/zdW8ScWOX1K0msNk9BBbZByrQjPYStwiwOnofcaBGY0vW+p1n/FbyX7
TW1Th3UkiInDhOSqXJpUGOpVCBzfXyS4au4baso2dnCiBtQo5LvyJTyx1QQjXL1xIUStLzN1A6kZ
BYxeIW2SJRCh85QV4mJ9yL2LnPhUSPWNlye2VFM4I6fJfbygOOoBqhSxq6Tqd5f523MFF3jexEbv
Yh0PExk1Fzw3zZZaVJVUDxBi/UzvgqJpG1XFeUHKl1J298weB+faPzSxqYayAv9xC98vR3VkbFPf
HPpBtR8ku8krYSOXszM5NKAOnxsWKxA5mr9CAKY0b6nwGh3m9EOUg+nrvXKeYxJ9Z3MLOFuFR0V4
cWHzsxQeIy/CCxiPPmdVJS8AlaleZW1Dm5ke6S/Mf/5Xv/uwOq7wU4EDPyxEGrWMtQ4bqR6I8Nwd
292c03zzdAtgEzVYe0yTiNY1UdR3Fue/jSan6+LhCdF5lqRxD5msFBloULNqXpzTWU8rGYZWh2nS
I54C0YS2l7PR+zJT1flyWBAiUwXzpx8FjRGb9MzynmHkKlHAkHTQmwrfW8Xg7bGRdAwbeUNS/xxR
aCydOaiVuqaCLueu0dW68J5PBDxJEB9U7VMfphrgcaaKLs8t6o6Gvh9ptBw8F3xksA832ZFy9aoz
X8u8H9lYtFseil6T2ZoPkDHWZC8q4o2oboWYAmy1mi/uXtR+AuJNF/y3cRj8JWwRX7ho/LLVWjHt
NiddH3PCKTCg8wOk19Z/AqKhX9rqRCkIz7C0H0HJp6B9pv6cQ+tAjsu4ygce27JrmdmVx+LKbESs
DgKnZm0VKP+h/J3QqGODt4xhgREd+7+ZBrePu/A2oazku/XI7Sw0TS4jhyfRW221xWxmFfA279rm
jLAcxAS536lcYhBFiHHqo65drTsrnM3odYum2gDqwGpjNdtLCu4whIsT8mLukF+hRCvohpC8edrq
AiYqC9an/Eo0LRo2JYvSmgn3Aa4mqin8DT3lBWjbLSUR24fkjHFDWQTI/hqZ5lwFjDqnCbmQpr9k
roCegdDPwi9V48W7wB9ChD8hdk6/wNpS33MQubcOBbRpHS37s4lnQSPg4SNm7FbRreccRypYDG0X
eAw1WFcdjG5Uele35qIusq2Hz1hjdsGRD/R6t5oSaMEZ/bpwjaLipFabDZY7hYBSoukoFApu1EAE
evckJ8H2yG4Nxo+PS0bRuqXWXW8cwH3GOOyCMnW8vZdHoggCMHyO7SY6nmNm7+tFWCk53OC50h1z
GIAsCw60ZJ3NPpvHXTogqq6/XDCIS43FE81i2vIFyRqNRPRKiE5piKbnd8r8Y/YBRzBhJOoWtgqx
uPxQQ8ADcppfSpIv7fjv1gRi7UNkhFtYaQJWWN6aCvanta468XJFxbX6llcPjpo9dAdvAtCI7iX1
cw5pmzw1Z8klWnTFHsQwW8zBCFB8YzIiXg8rvd11pkp7i2TxKpLuf1KUBQq4IaIOiB1kX+KhVaYt
xkunoeOMQELn+iXRMpeG4cxeLbk1jxs4A5WbVABSKGbZMjICd+L8vaukEqEfMl0xgCV6ptbVlJoY
TBs4h4Y8Vxu7zjGWri4zXILg01eNPOKhIrrIkNXcaXtldsdGjmH59vg6WVOnK5fj9Utw1R9T3NGJ
XqdVHIM4MIbW7acEVQ45p3QxaWT9Ip8oNUkI3EWzD6w53f0an5s3Dol4Kvv47MoRcPy6BBOv751e
g9V8W43PWDklWEqihUZp+fsdDkszkUNLR2g6peJVSgVEwVpnPn5IJKo3DEpk4893zBqt260omycE
QUp6PCikoknrS2CJvGjJ5FzMRo7HrImMYu6cojB4ZWsyERJaZuRAJaCbdfQDZwQQtrPUvlboQUnE
sXGe+pz70aWeLpmsjl7HnQney1uUF1nN18zj6/D4tD5Feu12DGncAGkSeRMl0c4ohVtaVjTzlp+I
LDdFlM05AreGKOuuAPkp+/vEWaOjOK8T8zTB73PP5tYsYzOAwC182EnpCoEGmlfK2khQ+9X1ORwX
0Im+rXS2H2q63Jw+9BpFHugkLo/KEAdPkF9nddaTpGVNmvgYrpBlqDhcCZjPNRJhfj/YX/p7gW0c
YT/9UuNUSRPiJ4S4HLb0BiH4pZFqwmsHk1hQ+anBIoGYqy+jV1qahhc3B6p/Ixex98Y1VuGurFlI
8vQ0yEKUKRKNAEuVWdWGd3TtPJnYtIZTw1kHOti90Zwa77fAmDlKFvkwHneHJxN1pjzdOxelysL0
UZqVYX21FBqg29z6ZmLF31ERWdoq8odGZkcukaOVv2kBouC/mOF8UE1/g3IHScvV/zHnrPKXipkg
Gh8HzfK+rooHWvnijB5CyOl7dWYA1dbkGZeTfMmTDb/WWjBKswvHwsg6tjfU5PrUsQAqjRS3mcAx
fVY221rdec2WKU7EedOCpsmLCWnPLMbRc6umdaZNrwBZ10aL3azU1wCd73iVZW7iDHUcSnHmEBHW
Z2zgejlXbU+aPXuhK/rQIiZU1jEu/G5/zGEcf5jSmulK0mfIgMGLsWHXKVDT6ctT8WDxMvtr/q9d
g6lz4ofKUB/UuvIZf5Z5V21ehly0AtOYGyW0lZ9vWv0HqdKjvHFVqEicSMEJCgE7TYC6ds2rP2iB
u4Z4fK0cfMzrJZV4mi3QdsZBdalbg2UxMu9dsRskcJn/WLRbaQuYvNWrgU6PpjUSX0kYBTJi4A8w
08etq0XovC82T2PBrMZQJtNxA/DD/ZSdcqIzmnNMWfwqzYjKGqqCxRJX0c4+nd5lySOONPk2aP88
PDXKSSdnjNoin4uhBSs3i3B0E2utBNJZdGWcsHaMz7pgpo4i+9lyiDg8Q+YM+2WrKCm7bOyrQgVP
5UOlz5RWmYSCh4gOkSAETmbyeJhOMm8vGBkWny+hW8nnesdd6/d6u8ND+jKXU3Am/SFhE6OKs5EY
lO6ISdE1PFQZVkIplyeEBUDWT2DLPt9wgdUoFq71Tu/kcvhK+PkurXuf1uFJIo/hrCkxDCmdr6/U
urQVWSinegidDFmo5NeqAkO6a/lF4M/8fuxxVT91fwM/tkyVkRhIBmee9/MZHkRaFm0T2SM7P79i
6T4s7tSqg95COuLoUj61BS4kYnYRYnWQMErj9QJS1jjDnoTewIVzSLZyMsOF1tqOMdKnj4s9Nhg7
muizVa4dPEPYvPr8SMNstjqz8dfgAmKOYglNaasE5PcntghIOlF6bfhfl+wWO21knH4h8GgvLOJX
WFGMQE56o/wIrXUUMjXTTK3IcEXg2UAfcjkiYyP+kUVRQfz94kse8BV5G0GxXHPvV0aEwq8doyQ6
bJa+ejFWqxBKdgz3MJF5T2b4zFyGDhzfGkBsWgLLER7EJpD5wpzFDX9aCtapCXeBSAUFeQaXtDCw
8XE/1ZBSJ39kDl1EOTr4i4Z6KStBnDaZkJeHkR4TH0iu9F7GVG/jwHJgbwRz1flK+rB/LZY1rpYI
oumUOPMiRGzYLrvuyH2HO1eWqZVFLnpOuWR2c7PFjY2WyBqJI5XwC7AMTOHA0ZBsYX6dLdlWco9O
opUvk8zTWSHlP6Fz/98rw265tk1lhdOmRYptudlMvYHTaVxeVjQxr7w1LoQsiYth5F/m29hLHePt
qyEh29evZHjXoiOZVKtmgulNgdg+TTQ25MFQ7XLKl1x592re5AZC2NSQVNe6qTD/KG6ghH01YJeZ
8XW3FemUJjE0lcrpH8XC7VhbYv1uMtFwfCqYgBMIYgPFJktqz4yHBv3u0Qf0PRbyRwgbow/K2lb6
5EeBBE1fYaVG1NdYEqWJgIUWDxCCR3IunQbfzSrl+qcwERKbaxOQLCVzub0alp5BiyM9YGNdjnD+
Ll3Tt6NwkW8SC4LLS4pcDa59/sq/7zyPr0abEbUYZypLTGfAOOOERWdCo9LQM1oStBsvXDHyTFTd
afyxLOTIuye8try+Bo0BJB7GGoy6vTeCy6Tbw+faqVcaK1Zqijr2XHI6iR9gusWvLyWJ/9ZyoUo4
6tB74OUU/QXG5CxFFVlsfDoHMOtXN2icTrs/yQtCFw3ZK+a9uM/S+RBoHStWLZKuVtkqI5nNYqCn
PFu5mrWdQnFWiCW8tWcn3Bu28IDUuMoJRmVAT2VA+ri5cHz6avNp87uzj6vlTCZjGd/8Yl4bSL9Q
MJq0bBN9mn1ONlU+d/dKQC4urkOPix7ag07phycD/zhPZDa625ZMVfb0nz88fNhL514tFF2bkNmr
fOwwoBzDVG4Tu+O/RLOCr0+kLGcfsTaTYGMPuBCeCDMc5mdL0cr/KPc6ttDooWb36N3FcAGo8Oit
hOgZ91QUvE0pUBBgE9KIJxxE+qZPgrSdOv31ELno/DSB9JZoJ8//Z0sm25fUpX3yfNf0znWBLK2B
g4BjTCwTDuQ5jUTf7d9MCg5BLtyWvfjoJjsOt4wwR8o//bJEvmw7o/eHHvaiqVzbHcO3zggbTqqJ
eAQo1dkY+LCOnBYqwUR/3ClK8JhVkTfeBvclXu0RH+8apevWBm7aCDWUkRwKdn5zUdAiO/jv8s55
iNi9ZPL0XbvVB5kAbBQYCv7CamzjYdZME1Le+oTPIPv5pV4F0a+ayRhCXCS2SPM85wxs0gW2++yV
Rv5I6KJ/xtfYM9TCQI9AIvbmUzX/RQfHpCRMIkVZVZ2pZyfEB9h22goknt/CQ65Z0o+16hPe6kSl
rpEjUmyO6+tISyOjfXHXULPaPsp408v0DmwQ8py7bGKThZvU70UkqXyvGoMXy7XHQX3Qe8K2fikF
xunrxV1d5a6fCJ6T5vLA0y5CnJpUUuk3iyGmbnQi5QQ90EwSiTVxMmSZPcpsstggz+Bmmw11XxFT
hiR71gWo+sFhr4VOMeQsOA92MquzXXJSBca3c2xkOLZGGRD8HmpQbUg0JTaDreI9VY4cheUm/YDd
N5QQhBuGyZ8FJqdS2rts0W3beHD9fC7Qaj6+Sr2m/cyGGkvVc7lWtXb+9QK12qifczGWyCVkOjPc
D0/Yk8saASson9+juW1leOY2JX1E/K3z6hpxLfI3bvFL4Uako4YWK2xkjMjAS96WRD5SSzGOtCNL
KbRsXP0Yt8JhgUFZrmRb4Tz1JQalPkau+Aqz1Yj+SbX2DvfIKEdKzMloYD1qGNEhJKs4aLT3xDwD
PSSL6axCNcm7dae7eDphTXHzo0/v1xi6rLm/hlMAmhdwgH4u9e7hNu8bH5a8QhIUQlK/5LujQcBZ
BJrfIYDCzUfqCFzADN9+pJFpiZQfDjLucNruqwzGRf2lHAJhFmyIKZ9tSWbHbniR+/ISVvoIzIZb
ioG73Ds8Wnye3ke2Pf5zRgYj4N4GuNn4CM8YRpZLNPsUTydDmJvZ3yCAeJteQ6f/0KFNpN1KPCWd
w+XZVCisPMRmVeNZ2szcJNPFuovIB28RJeAapFovChjdWQSHuaFKH6XeV3hm4WSMG4P1sCIcsjkE
G1XubQ3PXXMboJHusWwMGUBQ/EAbEirNJiu8wXklQADxMuZOhBzVbz6w3fF3szU0lkVhmrJgyQIh
rRwEzbdhMKfEOStUutXocc/ljAi4H8iUzrFgrdVMT1F20160JBgbkVTIwETFaYLWmI3/We10NDJK
hZZGQwVQJ89UHfKwUojvjKONg9UPmtlnt4bQYB0KXCbwJsiFKY/8IY/8Brm0XrTrNgVJxc+S1O6U
fY4k54sN8NxW0aGfQx3km3tc6fuE4bX6XmNiPnc29U4gv/a5VJSPdR5JtRutJofhL3F4/Utx1P7M
jcftnqvVt9m67SmLKYGsXN/hWAd4OgBFmrpYDWbHfMohN1IzLwMt9psFRjz++XuAedLuXq9q/S/L
pm9D6biOebKOzizMnoxl6wx8pBwrj5gyjff/IvRuwHgXuaetReU0h4WnRdiNFcDbZim/jppa1Rc2
Fm7YeDSJe3ybf0xUNoQ5Er/40qv4dASBS4YIr8JxtTqKzMH5X2y/Zbil+NyWxh0AiLSwCiahUgLw
jR0bO9/ZuQhfoBtL8AlWsvm2pCWvwYYa11Vn4Vq+JOFwNsy+bpzRUHEmK8wyeowEyWLMt6q8hvB3
CIeG5QxlBvqEg9K0q/BB6Apt20XxmyIF3Yc8ZCVV4j9uBVKMxtSxbYFBksaGX0Gr5qJHw0c7ulSf
FPiwCuGNv1Ftnjod5pOYCGmLXmdNplOMMtkV1AOIlopJrY+sg8N1Wz3MSAaxErhbM3+7t+DH193g
lyFgeIbQsJ64QLpplSfbxxEbkZsL6cgWAjkSrSH5hgYsJ2QGT1CJ+ZRPWruBrTPQ13JzKuP19ii3
bK81ihgWMkuM3+o3OdHnMeLJbubHFquyZe654QkA5sk8eAKizxXLExf0PcFqBdFWQWpuLzTbZDk3
LQXCJPRspVyiRlx+QRhKUsjxZFh2h9kQ1uvQ0e65fFa/Rm1ZRuKGWpVIxYEElRcA2PmplMaXIVV+
CxPv3x44hcPdWKZaU4X3hOlL8gUIvO4eVzvNZjoy0D1CApEZsdxqg6350N9ojjxxL5qEkbRAQ4Zd
zd0U+NfU7DIoCfo0xC1kyeULz9cfoiiJGlJomKFsXpHobE/pJ/HPHl8V7rebd1a/05IlDroESxs/
AZTbIpyInOGayzGYhrH3p6ye/mteSdx0WuqX8QvRaVQ0qFqcSphV1/VjW4LbtPQtCnQyJUujVgc5
fV6gLN4fFbwcLzLNbcl/tELautwoMGsngn44ydenfYllURtmFaKuj90hNBpFgkIVwBQdErrXg44e
fITmCN20oPuorvWPijVvSw3d+6RgBy4xiKUVFj2hCdGihFK0VgYWN/Dm+mZFz0VCgQEo0Vl56+jc
D1LzOY1JLSx5AmdluJ7oyEcPEAlNWlYbK4xtmadJ1ijdhYGZFJ+AxPXsnukUGL+bhfhZ2vvncnjn
1F0j/yPpZO350QRo/gxZe4C/m9+AE6p335BZn8xDqWmxKw9z/XFUhA875GiTkp83le8UH+puc7sk
yDR/dXksr0aBTivz//4HMN+0/NtBwuLZqY/58QnaihYcn43UVnw4oZ+QB3xXvvr3XQMkQEMF1mwQ
M1xwSgNQRs4J39/70K3CVC9AOrjDS844gllVFSwZwlb9btM4y2wh+BvBy2uc5nngELA4shxuXma5
N90FX7JF0UWloETSxPQsKoxppGeLG8Gb/XDAeBv7dqYGBZhXxpGYYXgLn1dcQ0jqxYCH0MBBDxWk
JuYDQAoqq8VKmnOIbDWm9nh21ekh6+EMAke0wnQQXFS3wX5ISyVEpmuutJJOlpQ2uY4kayH/ZEe7
0BAEFy2qt6aIpIfLA53LG6T4Fn/Ghi9i6wzmbiD8eamQxI/sWxT0PSuGaOoohooJko75btGaJvJq
S4ahiYqwnn8fvhBnXKb7+ENa0Jn5TTzob8WOf8uMhu7prEJ6GRd7vQu5KCHZf/R3IR1jBHqYrrRo
iVodnwDnySpODczgEx94r/T6N5a47LfIaJD8nf9BBPPtojue3yK/q7tzYxjtVz+30EaA8qg90MQf
Yb3SxRYVyo/hC6uJSF8bYXj0si7hyRHUjzEji/qLbpsOQEz0M/CcEBf3GlcS1rw3VdiGmluvCAIA
jjXS3zJAditOP9JSdszuFhulRqgzensgtnP9jyrw0OnSDx6cfRW0bR3RVF0AbSiv+Mdq1rgJlbr2
U5KyaMSRkNWQ7AfPnOfzOLpFDsbDUXYVSSbs9qSJipGmBPFRkbD/ctEYYSeQJLcKnmSn/gMPALcN
rh5oHhDUnyh89DZgWH5t80lWjBooxQ28guKIIlOezsWZvP0v5UOT6gFGmBpHx7Nrn1HyCa35GEDv
NKU8SFJrtE51W9RW8VbQXlBn4NnPEU7OHO5fbxieWm3zlWKbmlNoLDLUa+YQB4LFP9jICzI96PBU
z4Bdnl3enM20GIEJ2CwGeA0hPwJ6xrTMp0EhyOXhjt5t2BNbKWCgLbaqwxsia0RmRCdDfp9HI7lP
94v4AwEnNHPgqJ13Vzfq39XwBLYQmwMOhgS5dJi5TpdJki6aldmvnrCXWaRDGP5Igzn3034oPOsa
Vck/6DU8pJNUlATD1Dt0o9u5y/CXHa0O7GGspe81CKK+vVxq3YmiRhtEOAm38U0MMSJAZyGUeniO
WSVv3LJXd1ssCgpCo7mV7N4/GJP37gosWE2ekr7mwaZvsUVaI0rgjHXIcLXTnpOq4xhJghRpnwkj
8LwsfxbNplLv2GH7ZAO2Q4vzvlXK95rRQ/cOTOR7i+Y80zQtjOZzX36WRPcMur+NxJHCuYIU1olW
NSyJrxTU7pz4gm4sxu/mKwKbEvuaOFd3Q9bPuagoREIkGRNoFKIayPEyFd+DcC6DI3/BLVAVmOGy
fDJwTm7Jcm0favyj7GDxdYjyfPX8T9/2ZnQ9rR596vNmHJD/sDKbYFHWBmZKUWtCaEEzBxUP1RHo
KfFCPweQGplbTNleA9vV8BSZw/b/0Gfhai7G+4xGkLPSRpolqEn/afTWTJRB49dQZBMSlq7Ugjoq
c1+vsVeqtkYxv6J3CIRyZxVFCBIpxsv1qiQlEUVcazLoKH6o8npcmt6lZe7RscMSz8fEA46CnthV
mldD59Y/FqnH+3bboj7qPR24wRCAtfJTMTPgPu4W8napNYOE2sMYIV+FjM8JDkJI7Q4n3cM2m+PG
WKS081kglXEXO4SPLzw8PcZe+Snz9I2ynveK+Z2cHElcdMLxtGdFlRnobgJolQurqYS4kaKF7fT3
FjeL+tKETrYzN8Lq+CmUBEZHxTzLF3Ir2z6dQO8yQlnhCL045jfiI9q+wISIl4HO4ldbyomRgOVc
SIVozP8mQTd3YkPujwaWMHaCMGlvnf1akjT/MPQe3ipjgg77F2fYR6+Ta0koR6Z5vWNj7mxfuMix
u+Yuch61NaPZZ53sJisv++uWpNXDSZY2bHDP3GiwUp4vs7VbDhxTDRiK8Bj9MVZ42iSUETcr/U2o
qb/gaKZeo20f4B8hSyoul4zu1rKpRQ+NM0eM9OQ7zrwvn8zK4kThLmp8H5XQBfcsCYxa9RdBmkpG
hkjOQu138BUKCwyShpH6qfm1Xm9e5ZsLabyEbfSBC6cjHHV7jrLYu225U+qfJ7G1KvrpoC5PpS6R
4ToM4rCtsC1PMb78LcLtHH54SQWOT+u+PXQXTiWQUsliB8U6N0DbQj4oKiY0m0xkx6ybRPyhd7on
Euc0W8TCWTVk87Tkl05rKeQjAl3dk3wg7BTuz8eENp6CTBv5vVXbSUOSzPUyIXCoHL299jBCP2Uz
ewnXl7vvnG82DjDD6w26MNWJP6fQQRpyKJL8mvCx/rG2MKsQmF0QG/b9w4FDtP4GH2nX2MGfzOlk
64J6LU82FDQMailF1ssNFGXCaiOJNLlF60BHmsBSDBG4IIzkrz8Wzl0a86wTRlbltWVDnkx/gdTZ
e0KI/VuJ8pBeo7lVgpziLsNFTJeulnI5Z0CeL9FW1CTUFbb6x4a0mWUwrARDxhB8ksCohUIp+b3p
3mNaY4A8IJasQ2G66TwictRnYEM3Wz6IFx7JPuWHj3d6q1xj+OltfeAeypGr3E7aJyGGf9V+V6Mp
J/01jyfRo7VG2g14MdfMrE5wETSARTMVKjaxSZSBdDdPbgzGTtBMUZWLVSwWq1D12BaVLfs8wB/g
tXldZAnSIb9R/0iK/bwdELe2GKvquj7nEPx4iE+qQJ7KSD1Ou/RUKWKplZkT6uuH+Yk6lNAKbKA5
z98FYi4Rm1M18lCWG5mfGc/Q2pDbCPuFXeXa93t1N/1/3d8KsbQSQEyHa7Sc2GPguT38hHpbKE10
+i4ptRcMSeebrsys+HAF3RliyGzJsj5Dju+lsqMudZTcw077DiV1ak20gTShb6KxkBmX4jQvflUE
AJVyD55b/7qcak3wbTIh4IW1Gt/P3x+TLXKjV4rphf/sKz6BexBxAMfKJFU1mgHV7AhVUREN0oND
qAzxhF1R0DTJSxQeO0YXiKyggqK98qWyYpfY/2df9J3o62tU7gnUPiVWDe1sC0r4M8XyePK+VeqS
iCZlnxOca79TaITTBlaoYqxr/3iktxzJbavVw2p/4mH5Wb8y36O9+MmR0z4T+2e5yrl3pffJFuQW
dH1A4ubpGHfrkiC5Qxbf+VRxuHGZ8HAA0KkqRbjZEoVs7NXJni8EjADBnN1Y3qJVCG32iAwggoar
mrrc8BhfoZOo8GQ4wVEUzghpM9r6cEL2fnTcmLKQpQmbpir5s6vZBPVL2o3dLTisi9KzJBoM7tTE
1xSU3iwlHBVu4ZykxaZYEq7wCAM/Xjwld5PX3yLKa0yImawpquPFhnqLcXsMFKe9vZ1/n9ZmjRt8
ssW6N3QfYmbMhV4pzMllXDcr0CYEBSoOvpSobzZaxseR9DF9nb3YhCKijhMLoBPonWxXGMmz1m6v
5GdtOKr+eKIDbwF0lNUQbwHTB28vnN5RxHssNR8t442PtlbsdZENzDBwM4i4Y1+6uAdYT5XfYqEN
Y/rSgzNCkCYmvGEUjV8BQapX9rJkBg1SsG5WyXlNL2GqmmrAmku/h3m0Fo0NfFQ50J8bQg40lM4K
2Jq8hFvKsq8gJdnGKPS8ovz03/a2C1iqGVQZVTo1A5DBhQP1Y3o7/GLc6mqowcLSdmE0noo8SVQ7
CzmcZcUMrhoFYw4/uXjM/dZr5EclAzJVTfaXnYQgsmEJHHdUh3HZXoAwC7ZHnDRSrxzPTexuxWmR
lNbi4hmfOn/zhgom6ta3F2QRqjsYGrl70E9DXItPCAa4DyxVhyi+OrYTB79Mf9Q+2wiKAN/zJ0Qc
lOdsBQwdsGbLmBmX9IaNe3tGX/C4Sx1unG05PyiWe1Kb8jCbkDEQN81um2kQcEmonURYNU5P6xLg
BXwtJ5V6iJREIFIxty7E7TGK2fFeVoddsvZq86n/IB+FrWxZzgHao8neMCnVtOegTYpPyafPdkgQ
XNgPsM97ogkDThHm6QbXt/CtS7cdRezNIzecMq2qTCFu1R8kYZlG0iQI+kKY11q0P4/Ho9HvCgiH
o+vo1clL33jR7XmiDgRMhydyopbQe/9p3SBhHFSb6kukHgRYameMw0i5PawFOXG8JL0xgi/CFimp
46w3cA0Edp+xPC/0VQrx7scRtw0LAx93FKOJPCyATXQNC7z6YfFxbyzevGqVZC2Q7S9AEm5E5XeI
IJfAY8PQCBt2VV2AZRQeKudGUcFnBKjUNMk8YakKo/F+WvQ5A0rP/410wjmzKugtEQUPhPNnwSWy
VRjY23Botqft9D95UaoIIAX0RIgMddy4O/4b2NXBRqi+7NzyCyqrTA6MVYrjXi8Ukpl75q4DUdyr
W9UtrfjVcQoMUKJLNrubGgS7X6ccOMpEJpGYHTDurn7UpgPUtYP2xxkEZEMe2tst4vr3yE4+vEdk
A5vk41B4tKjhoxqDWxdDB3y8JaBUjRDywbTpLo1vcv6E4n4vtYRhrN6tjX21SlW22WW04n/Ip8PB
fylt4JYvcL/sfFaN2855F6c5Y7enbCpBn8scNvYrYXaNawthLWtZOHJPqmqChfCgzqpGusSSq3+G
qZEP5G1JFsMeVojWfOvUTg0xKXLM/lXaiO9aY+F1jPSnZ7WrqisAxNASk9JH9qmF6ENzofkmbeT9
zFOgB+ntPft9L96my0taSt01k+d+vNJI5r519n5rBEMFIbHXHBu/vmE8u8zdv3HOsU/060MCtd06
R7xg2DkbDsAgDKP7CzUPtS4qx0yphY6L13krJhh8+Ucjsr71bHpNPhyrGdBXoP4QgTZ+knazTgOV
+2ulyD8Ku9jCBQjDRAaLgozBLoDB2AfjdWo2PvO6T54Ou8FtYymOeBBPJ4BQ5+wiLbgECuxS3q/+
OSFu3zYvEzA3rAJcsWPJF0h4mn9zGk5JDAx1obpvyPkN7vg6MBfYHtl65XFaHpOEh3aKpLYiwGIl
oKitCKsYeKbmF54ca9rspB69Koms+EPDP5diaZnaFJau544lWu6MTann8kWtRsWr59TnIhx9RYNq
eH07TItb7dY9EvKaoHQBU7JF10ZKf4D0n3lGTKbA9/IR+IrGbKYBsJ7ounZ31j1PHQKNA/YcRG0t
BV/8hCgeJiMKRJKQlCy9wac4hMvazbxdvOWZxi/MiOTCOoRWMQKqFaQasFPHCmFYL1Oi9CXsZzik
NXB004MmVbzqhwCkatd+z4jhUq0bKsdmpk0PA1YOyUJNXqnrbn8K2a+DAWZ20CHzWlq5c+bOrg9h
YzG1HOvy1w2Hh5Q1w3f1VmGzsfjEcS7CtUO7dq1wZjjtbVUKl39khjdnN5f+2bYGU5i422YKBH0j
m/HkVlfxDyi7QE9JwvwH0YLKze6b78jH1w2QOs4rIEk8ZpXtyAE2mg9vbCyfTifEe3Rveb0wOt8J
vhp2M9o2inPLGCeMIJ9Mmrf1zI2cX1g4pJ42d9qhd8wJaxpp5tJhNfbjCcNYY39r8N8eSX+gnmFG
KBvs7+vTtvHjmmYsNbLxWF5GvikZywaIGnUCOW5CzvVxfMLRJavWdYm1zFTE3liMdTm3swoVgQjA
Uk8xq5f4Yy68624ABozM8yrbAD7ysnDTbHe/HgpSK137Y7bozZcMUYUnrUCuG+iwYqvsGEDLCW/C
w0B1W5KHSbsAsu2ggKy02AV1HRVoMUy1KiLvtKeejdk9DrGN0oyjp4M/qikPQTFB9yiPEhi5TcbL
6Ri+11lEujsgp8hvA/Kv5Qvk2+YuieFFSyAKrZvpJv58xeVknQZUVG9KNGUktD/HMv71TsHVcF+C
eJm1c+jPvbPeENhCsrOyhdGTv7eQTQ+2QtxKZzeFOPJ7S9eK3rqyPiXTQKHLvBgNYICwHSlPsLNj
X0L3/l2vvgcNv8UTZsJCzH3beUlchB19d7NdJ0ZeKVGgV9SPA94pfMlHTztuqq/aDKF6WhOzAu2s
lH/phn4FGYBj9veD4DgBid5CCGctYGbreQATM6Usx8mKVFhXQARhBZPOdZCx4+me5wgi/WtcmbYt
A6AVTJX11exygkqZ18xXqun0UhLWyY46v1EGiRlwCPTjmmXex11/TXVmzN3ZtC1TBEo88x/x17Nc
5Pk93cFT5vwh7lN1tVTh4/QkZeT5WaSqIZ/4+mzse2lhR4Esi+06/GtmcJYplGqPuBCDOs7yox85
RDLihjF4LJIiSaLbi8g2lj7chvu8UdoqCwTWWyV9mgax8YK0p95I73qIbD81yXNRSiqvv4CRdqzd
3jaeQmeJMJ37oQgjaTCHp/XibaYR0CaAoBOPnMl5wHpD5xIVgU2Qdwz+ItcIPd+b8f+5nOhk4skL
8kePg+adTPFZ/thlboe3R581OmoCyOsb+zw6wbNcBO4cq0Nl1uLeLUITnAE5rQCsexCo6ZZIjJ5E
x0N7MOWcCmTTmU7XVLh96D25Cidr43v/wogHXaSDs3Iq3nLcqEGuOl28JeVhO+HT/WARr+4AEl23
DIHPyuDhf54VxCj2aXy0VNcOe2UIdemmuykAKUYu1e737z5r1SlTgWYNQOnkOo1Uid53Dg5ghzD1
b6LA2qNrnq1+pH9WKyPLB+6JWrrWu+kopOcdxKX//KpWitbiWog0ZD4DwlWVL0SiSm6YspAxTSnD
+lb3f0onOsU+ECERmc514f4e1A5afiCVEqRVTYhbhV9swc/hId9uEhSkswIs3CRStDYOigYxtned
eK97JypOvR/d9xOdlML+QeEXu482Z5dACo+xaWIfvJ8SP7ITeejNGDgfPc5Fh+Y+cqoSWIjJvo/Y
Kl0yIzxFNL7OPRpiXwvmO1qxI1vtkFE55XZc24YevpUNuybZV7o7yNykNOarkv0pK9R8FG+pI1yg
0oAzliWm61OV6CEPaD0PnM+TYsefjwGRB+sOS3POhHSZ1DfmKuUR3sI791vvxKFPFaOom77kutmJ
a8hJL13Ba637ngk2Y9UXivNnYUIBvUJAj6ljuErHV8CzmVxD+RZoAN+jAD8mqYKr5FpTnsM0bnVr
K21iz1uIyWVBIxJ8/rTSzQE5/TdSla5RYJVtkdpJOw4AQfX9f51UBkapE3trtmKRkxfWk4BZOmEY
unjM+WlfYX4utxUtr5g90nlf8NEs755njPpIFyM5oSCw0zdqotunfgKa8myAq94KE3syEugm8vZE
rP88dWX13jOq7Zitcaw20IAI61XIv9WHc3OMl2i6pFrl5DyPo/u1K9xaS5zlOEDWqdbAXV//+kAD
IwUoQzyI49WU6jGMpy82G8hR8BOEVQhE5ZM/E/iX1eUxL6UBpVAXfscgsEreaJMKt0I73y0GyKWl
STkxCOcM+szyn71a07Ot4+Y/7AYcQ1+DTBpqznFzaMpPdV4b+cmd0yJC3UQnSBxqEaFrSsudLX7V
tagcDkehpZlgz4ZxxR7dM0GvYsstqtHEepKHrI2TsR1pmcZ8PxRsQCAQW7URcf1HJE/vz4z6JE/X
canzcak7qihbZT8V2prZ66uvWiC7d1cjoXwuLac6aceYbUGsXOrlPl8Lm3ZuUp2ra7rSbtwiCi1E
so1kjIQNaxSokcFePZ/5vOGVIp+cjggIMAmbH0JDH1yfdx2AtDGZ16ZvXokqUGFL6gPT5L7OHb4z
doPGJIgzxwEe3Gh8ETcn6FjPxw4DgU7l/GQOmTPtyDLZRIs18RpTbspzrcSyz9V5oTV162M0cxcZ
D/3DOvJtgx2gEKQ8C9q+DVnKhuYb36kJTQwbCU2+V2NuCWHX7/ds98CQ3MBNOGvBT9b+zkFr+hKh
BcYh13Jj3aD3AsIomEJRdq0Cbz/C7jTJs9sEdFnmOEQQYT6s9HIYWgW6gKkqrAug96G935gWzTkK
eefCS7e6zJQlybzPo3qt/HOXdDafgg+eXHg/AE4OzMNfEZ3EF6ejrtiNjU4nas12J+6NBA8DnuN9
zgFgqmuTAI8AsY7GH5yJRKtJhh9V8QHpQzUXhJiFiBOcBNBOoE1lIS/veX7ERp4LczB6z4f6M78T
+d7mYOLQ+9hQm9nRL0TB2cHeoSGc7pjvB+UENrk0n4G4dGca6PMDNJqxCzbsPmbX3aQsFbqx87H8
Y/tdcLQ7O7FOh9R1K0RSCTCoExOqlTsSIyD29YaSTeUW3/GKUCtrDfKcxBAV46WILFnN1VMk65Ws
wkC/SH5eRvM+dEPbBzuY/SeKMCAlkkNcMvukIaAJHNvqmXtbKnEQKFEyQkrKNtvtygBPlY6yEyBo
9uCmY7MoJR3uJjORT1y2BfhPXqTgDx46zniwjspO0Ox+yZ25SOjxO/ZH0RKatwMmVynlD6QIPeg2
ajg3ZE/bf68pYXDggyHzTezt2DnEcIUxBkO4ajtiUdnomQxNaVkvMR6SPrF+cM/TWUh2aGykKMWu
nWyATzrdlktYmBUvldvW9oGAjWHF5FLAQBs2RpGronGG0TJVZbrE75t0FNm5OUOF1d1hd4TDkXpN
ZrmBLGqZZ0qy7mlKfOV+flDEcQrWEVq6jGjvW9bL0TsG9lg2enSTE+UmZLIXdzzqf4OcF9p3DK53
4U43FZXqgpZUJGyfumdQtHzzlgyuCIFYwt44LXyFk6YCnGx0/jQtcJfJpBe2QxipFwh1ZHWr5H1c
vDVqx9ZJ4edQkRDQl52am8qdqy+apJEVDpmqGmcys5vcGfz2rB6IjqQfHdyc1QiDdCKtLTOhKjPO
PlLbHNkF7VUkiP8zY5+oUnibJ3YJH9RKB8BYWyjxKoXmFkjp9uHkEbedDLben8vFT6q6v/v7FSMX
+SIdEvfQxSgeITaxkBjfMjWAXik/zDy9zihnRQ3Pwu022U6VmQy1vY5wB+ebhtFwMNcyXawZ9qBY
SNihMMMT17r+lcbUGgLD6ZwyaRCe123eoy4XpaflKCSaUYRfONh+WQgQzwcqdKw2nRG31ptfr4hJ
du95zQFNlHmOETCdtEVyiODswszjxJzibBHfDs/uLqToodgiQ8hb45SYHUy/XLbZ0vT/ShD/VNPk
y1e5zkQ10mNQeF6oQVmE7QniAP3wAVYZWd+pNSFipu0diDsWMMMEjmm5cwWX9FHNiz/Pzj2rTIvP
GY7SMVZYo5pQO4LwI0N/5D/MhCQS+ImmZJLRXqziHxf62VeLhPZz6uumylsOkh99yIPZc3YvqBjo
JfNw9TqR0noKUUKfHTrdwdoVCFWaPfewmCfwpcwCGpXV/g/iVmf2mm5EGScsrYnbuxqigIfLKodX
a8jRn6eLRaowz8WsbhjKBA7waARizMFiePTE/dRm1VuXSjobWeu3SrD6FtA/xgnzygSEmRWVHQUP
6TGChOeeUnVrYyeBtRCEaV1tzroqDmNIuQvc1jJ1xQLbDlVFQkw5vTES9AC9HDqsLeMKbPqn38rG
EVOg/8q3yACMzZgxu+hAUqeHEw7iXJ62FJmjqi00X+vmG5L6NFwebHoAExI3bjqAT631BYIPRJca
mF7KBINGy49ZwSllLsNyJnHkH6qWk4q7/1iK2HbG2pOPgueW7iYljjTIZJHrIXZXjld2WyyxdjmK
duvww7YVW/SWTKWBp3ECCYyMDg0nDjUnS67ehxdinwnmNgWrSyz5sAXk4hXgfrPhi8xdQJQKH9ld
8HOI+TMA4iFFdnYbnCf/VZsz3D7f5sAVI/lWeKojBo6bkw10b1g+yFolzQ+S5SxYDV2rSQKHKlzF
IGqRu+ssIhE8ZZcbwenSaCKI7x5o4GRHcz77gJ8GNjGebL5wrX7uBL8iYUE9PmyTTeJTwmh+L2gC
rsfbT9OcEUi6uVMgJJkvCmuEMJeL+/czUvvV/BaqYMjYxgdYtSuJk/zxdrpkZ3VzntDlCvc2lH4b
Z3ttdsJIRd0rkDWHVnnvjOFW0ZwvRUQZZ9oklAG9+LG9IuWyS20/bfqEXKLLBp9sDb3if9HRUeNS
U5gzYrLFM+ZWGuFA8+zIjcOl8uyWGBVcJwvup3BsYXHdRi8a9iNq0zZKpBTG0DPTMrG0Mhrvy398
sZ/acV9Aqa0z7b0CrkGjIL/gjRxjDRWQQHB/MByjHdNEAugXkXi3KC9ul+MNfPhcz4d8mmJ6gTdw
oD+nfllutfmuYdwH55/+YSSMzoI0ErfDqrMqqIo258oPKuvnlOs1J8oopC1NF80TYv0pV0QZSViV
Yhhx/PLta1e/iWRxQDDhfw50j+cjGlbM22utJIYvKPUvWufd7O6wFrQHILzzb91QDMQWGA7oPZz8
lcVjiUmXftlEXIhlpbHbCBTUHtNzBAVyTxRzr6v2IRaZGG0puEWWN91ac6cpp9qEw+sODJmFw8e/
TskElUoXADqBGtdVTfCS7ubeW6FpTYwOVtC6aK7JrIEfv4BHU/UFPdfze0dJaiIpEc4ZqZc/A5up
16rM6LlznncoOCAuHX3m1k7ljf9YbQYStBaT6kRrIcLJIqVGy6Kf3Rzpyvfgv3ObiC8EHoLVmnU3
/nVKpkOuW5OovXK1tVgQTaq3b2LPl8U3WzTrFhRRxWyzHRLoTktPr0U/RhpwVl+RFPjk7XJFx2as
fJ7YBgw9z7NRGvSIPLSsIOcNcXfUTdU+HEE47TeOzBtvmPlF5lTBroIDiyga8m93NPoRZ+p+o8T0
zIm+oBmrlvh/WYiicWoUMQW6Sl9swjmWjpAaPm3unaDO8rXE6gpzndoxBt5h03nS0ob0MiaBA0O8
bQhY2yoL4OgIN2UlipttQVOcqDQ/GLgDqQYY+2rlDwd3J1Ek+szwZYkFSLiOYCNX5fPoqsCyNFlk
tlp09Qwr2784+XPwZBrMBPIf19i0JTXSKoFFSC2n6D50Y+Q1QON+lZoIsF7nWObvgzVJr+JPXC6I
ROHTgqf2tTKxSaREAGZmzd+o/5lL2Hx4UlC16El2Ibr1u/hhTsZq9j5mShJb/w1o1MNgMrI+kOS2
SJhr/yZGvcKx1/rccClzO9TWW8/+Z2h52fBsADGaU4BcsiarE+hMMRdLSrQkH1F5dUXBey2aMR9z
GPcKNDLXNTgOdpft5ZKYTJAxhgiBAhqAFwWvZRcK7kyCKap2g1O8UfWU7bnzk4nDRx89c5UYTQSi
6y7diyVq93Y320D35QcyhYKw4FlsCai6VH1jwpbd9OyvYlaDO7GBhOKCiHbsRIzH4UtrdvtRcBLP
aDs/ABuIZzuAXV/XWuBiY/pTb6NWUTAuF4aBLGDLne5cj+hih8IQ4HlSjgYdN7O3lEUY3jDG0fk1
lJ441ZcYFuy9osOBc/+DGEepv+sYg0JmljOI1tjh8sRt6uuZ63iD4UJzT+8tEDvdQrTZTb3HGf9o
qFoUCTEP0cvgmt9hulnKvs5ujxjw+o+BIQm/uU8wT/wP9V+P+CSxYhhKpVGYjzdU+Il6sRNvleUu
NAOjdohJzuJC25wWxuR9QZfGpzA0j+WXmWfp0Thhx1sCjfxZI3vCXWgk4jbSIwIMn0rn7r0SGRRY
SlXUQI8JJHDzjqjZ7owUyXm5jk/V+M81Hu2Effy4ZE3zF2+UEbm+1OqWVYLskpW5E3h43Tj+eR4x
NbFdVJDiLaZoTdrflRaEJlv4nu9OP+cgvw7I8HYrko1gA7FaBa3+7vOGUTsVLg2mKSL0VNhN7f3L
6QqZaoVjqO6X8bMfgL9hvRYnH+rKcKyO1zk6UR7eLa5U2vIyM/uP7lX40jwJjgS25yjw4fbtyCvV
CCOPrWu9Ne2Cb5ySLuOVqviR1JQAzFMWeY+Rig3ml8uIiPBtPN8+uXu8IuB/DMTO8ePss8xXaYhh
n2I7xfcgyd3xzQgZfa4u04XZxUOWsWlsJV1t+R6WfqQuHt3Kt5kASXUDklVex5OGqHNe3NgcO1vr
448jKRaB4jeCL5unE3xMdauvEERPIh+inR34Ivqbi7TumG9eptgG0N9syRqk+0s5nglU18+XOnnE
BneGg0/ONOUizTCqzA2u4chnfxaXxHAPDtYgvdDZenUpx+8/naXi0gRyHoe8rVAPXXkKDfKhPusQ
4cgHjK1RP37CMa3668ADtFRVYXOUPnQNK0lN26SztRQb3ScTcLpDsy6xUZH+FrOl65W0K7eR2vS3
4/WxZZENLhaWkQiiSo7TU1lHacdAhDpRUfwOpy1OuvATP1+JrmSg2np4JRODEKJdWMB/nyFJ2RtS
d6FC6g4aAAm9m2s1dcwsDlgRYa7JOyiFXfz54ju61fqoavCvQcePaTVqekzfz7yo1OKXPDPrIvzT
JQMoc1Lq4aXSeEL0m3bhA3rWbJD4lsFFhYlYqqZe2HHnrub8pMUOc9FKnOAYEl+nqUHve8TReV9d
Q1ysxbPQarPcbheU6qRjyBvCsp2xWSIfiVZmAS2e/EsGzZKkBBi5xHo8yIWMaqPqvYHmJ/JIfA/I
TLuQEwGsYAxP3ywfYMpwMA3p+mtDQiXZHF27VZPTz2cJNAeH1PbO/ib2tot2ctQJeW3IIezW+9mt
oguAvDt3fLeD1/E0BQqG9mdxxP/NcxcrYQMoyR7u19Dfa/dYzdt+aIqe3CGmTBM+GdK+7BEyTZ/K
GKDo4vGwZk2ZRIziOjjUZMPAdUrcs4bNFmvBPLUSRVQ3ffCxkWaHw/VWBIvyyXqi3AQG3HSzGgFv
Mm7kwwO/+VEBVzFa6OcgbbxkFWjEyaFY99+D/K5wOM7LL4QaVn9DSy7apQLVK8SgBaeaUgUQ0Wh8
rZrcxRdajHV4Soe+o3nOoEGnDOhClitoWYgIMQi8t6GsJENDZ99BjEIziUwIxDIZ58SxK7W9W+z3
zexKUNjGYVYMnhLGMRM0cGjFKrrfN7SBRQSL1Gy2MNOelavDtWaNgA9fGVdGm9KoKu/u9jPoJIx/
4CWzRAm5SwyQdRleoAKMjf/qSXhQkYGf0QVjyMk9i4/VOmbc0XKGUHLSY7j3fEWwphc6c6MHN0rY
vlpaBoQa0//QOFJX6HDdp1OZ2e2Gwl20jVXWDfNB9rIcfmcYriftYQn/ZnB8+JOGwnpdgqJulsrB
X16+CTvIXWrG/N/bTIVlP6lcWDxRpWCQbA6PHR9yduJUbyyERFIBVy+zrdhV/w5fgA6gIusfcRLj
JMaKBPs5lELHtQ6AeO78FVQnFXfxehTPclthC8EiNKa9VRbhvea/EsDxRAoyEg0f/akQfvdVs8Gv
+2Yb9fQkke9d5RtxbjWViP+100E+H61e6ns98GVRX4w7RVDkVDOchpI8hREhxEITOWVxsTm5/RLe
jbfbO9yjHGA//wSPUvPRjoFplsaEngDdCWbhfwaGdEg4hbzOgGFmBz+o7MUFR1VkWxvf2f2N9tvT
SN/5rKeDKovk59tx8TonyUq4sJAoPC+YVyFP9UHDi8wWr4jiQnT/v77O0WSk1t4FJvhyVkAjz799
wOwWYpEI+J07+GUrKCptO7Wlw6jDBX/+oP5z7AxLbuqA0+zHvaNjlstpxw58sJ1u654u9Mo1TGdv
DLPy3xyhFqX/wZN/oSG87o3O08YR73IU0DOrKPJCTTKYwT5c/FphO2touQOE4hxVjNfh8wQoSQas
dHC/BN9okQJTVOHnJSK8zl//D6fcOqCn6es0jvCzHgMeCklqlVWAusFzyrgmhmBXKKmYRQzOs0aP
ds7PpKGDC1kz2nKC8iuhwGqywmRS0JqNy0KJT008szL2UvonGL6nShf0pShVpwQt9tg6YCDgG6wN
FgXILjuDWq63M6+dVy0D7jXlmswWQqiBnfFIbVtoZFfR1MBdHu5zO6xSmEBpbgfeXLUE0xXk5YhX
HDlj9SFb2kEa4vyx+mqepcglvj74N2rpbPKxTAyRyZ7ZH4JrClGhFhGAOHtR5AdjIq7o0MRUH3zl
C/11sOtciSpCJY3OZC1lHDAK9ziae4JZFecL3iSWcmLAGF4Q3HyfRIRK6YnRflpKBCPdzqlM+dbW
Ik3PFbB8lgMpSnP9GydyOpI3oQhhJTyjQWBlT7T1T91Pp5FO8gV0OzzBJ8KqgdygtqOFG9j8TTy6
dSAuYM9//QjYAclTiR6cvtkytxi+CZppMQAru9OfY4KFDydvA8/aIagdXsSf2Zf1YUOfPoxpo+bt
ukwNR0E9Ip3ufoGM/3g0Mq34XJx4rUppfl+n7BEfZ+hFKsUu6RH9WVlljlM57Wx4VrCEUOxmI8cr
7NLlUZ2SnDR/yJlW2F2L09KOGdglj8MhJ83CmLPB737i/vc4EF5VrbjpHfa5l6JB2jkfBrIOZmgr
S6RCJnsY+tUVSXa9dkuIuYN2/PHuAhNAAuX+DwhbEuq13dHF14ZxXD8qUJxgNdquVoNcsNgQ5QUQ
YWUYReDD5Fj7TxM2aRHdZRKCNa5PAEwd8i5drAg7jwV+O6KNL/CJ3j6sl9Rn0s3QSsu1+rkNGcbi
oNSa4zn+2QnKx5kyLC61eojWkjXYUSwjp6kXxoKo9SPzaToVnhs8RlstcDErLObznK5zb5yj8I41
g9Nc1+I/5CrGhLOpz1n2J47/i3ohpMugB8/52FtIF+x2DRmZ2cVn8slfVRLiqiTwvCBmaXMr2n1F
OxnTeR2Qxi85yDhszx1lRO6KZxQy2AZYmXmxQ83py+0xy9tWCblBdxE2EPqX388FaTfsrZ8RCgn6
W698bYdqTfGeQOIMAtaKF1rfsVolxtfDAu2K2o8v2XKtnrJq3d7MBCs6VnH/82PzNTVYKQLtC2aS
sPMA46WBLsAyIL4EMSfASDtX1xx8un+yg/bndvTov6+mwzB6XTklZjopYU5D81hVpPA5DgnRM+kD
Om+BEp2WhzQnaQ20Z884jjon2u2MtneUfneLB6BgbVU+BNEtG4VsmJefJFrf8oRds80a/n0ANB4Q
yCz7vzqXpXSwSZPqINfQeHRVZ3CTDCVFsYKvqrB0dXv3rUVBZOHQiF79F82vvheehNKlL1bipw+4
zv0BWsS+0DkB6AAxJ/8iTiZN7pd9kKfT4PsK9WkDK3ECwpYJtmjruZ93g4c0E5huhAhQqFfaYHIP
WpGVvMq2r/EoTSKUd6m/4iNmuGr3bo03kZxbDpMLefuqxMJMYsmDTn/zDmI8F9oeHrSF8alOZJ/B
JVJeW1hXXErmX/RCtutjuQDESUlIPRaL44WfdNsZiTDJf8wQB8QEjQC1lfQbpjZDoYk6epsHarNn
S+G3g1ECiBwWWNyjt+rBGycV45TaIKE2nC/gv0a730QDidr+ltyWC8DAtpVD8aW9uyL4/ImP5HMD
gIigDc4U7d7QHNLbWXpNrKOXGq7/hpiUv4jRmXwWbReWpE//DHjUY5Njr+cEAgfe5cQGy9cIR3IM
1jkY9lqK2Aht48gs29HClx/+3k44zt+bfe+FRe7sXR9Ac8wt1lxZBA8AZ8nAOUGQiJb5gNvBMTFD
WHtaN4t9kMVfmmTt1heRcCubhmjTJgmO7lhWxbMQBjfs7tZZmdQMNYanE/5l51YcYh/E3k7Kx0pW
oHmAJ93T7uytFFL3LqorUxUtqNbUkcfZaeRnqoOZ8cUHCixnginmg26PBESc4vu1KXmFulwZjbH0
q7QmBJ2kwXP2SuErVDbNL7hzEjXealOzBrhJUoxoR3B/PxyE227HLSV3U9f1gKyvTXkj8lj2sP+6
rxAWFwADsNxZ4CDV6ZX+bQiBbKvKcsK6N93ddWGjLo4D5NrKU1eOhQ7ESPqGw3kPF619LgHg13B9
E/FJpDQkFueeUsuEHRXgqFBMQIqZSpAW7I0ggdus43YUgiiByJnUT4Yd4+7L+EfMcgLuVsbZ/fGK
M4dMjAU6C+58kYvyJdbAGNWT4hKrwvJGvAXJcflQi2NJw8fcC/Ol8tEC1l9mYn+/eweBUhn70Dmv
++HzAVCuVXmRC/3AxIF1MOOqKbf1eqSi34YTmpOyhnNoZAMc9S/WpqKUYwOct4NXhHGuGQx9nRCd
s16V/dsQ2sEbf49xWKwgtdbEFAtzVz9vet52oWFf/RFtPmv8ZgcKdIMAG9jmRKqkTCcLEn0Hrbds
dl1A/5d/STVShDYV0Torz2GakWj/qXqOPceY4qfyWREduLwWZkUFD2oxFXFCls5l+g4jVnpweSoR
gl2N712FCju+gFEDCX+JmSzkJq7YhCXM2zRcFoTx2u77Rte6WIwn5RSm8shX9lWF6dwqi8KNKK+J
+Onz1itVNAqaAmrDkPOG8i+CCfBcTJApqcm2966QnsXN+iV7X0ZBjzZg+nP/GPnpSHcjmF5Wwu2m
CoPzyNhu4RF+VwGmA0u+AAC4GVFaWmiVetIpN83WDvZwxR/6spVKvgcUHcEv3Bl1sO75L1+dmpw4
YlrAToj7iHr9YvVKa9mrcIcApeKTzZKbqfqKJgQ5a1YfMZ+SgbaDgMOS8ojW9siXDTOjvkm8nYLe
NDky8nVEnzxSCvNJqGRmYKq/IQWWZ+RxtoaeUJp1RcaGtvnmO9klWPAs8cj5eDh7ynZwgvdFjeDn
OBlURgPuIBuokcw/kE4Qcy9C5kuTzP99t5lMjrVDHQwn5tcTQ6UAsdTxIFYUGzcSJnqo504jVGEA
pCedxqjXhCuwUZnHVqY16qR9KGWPkLNwg5fNLqN59l67vJEtrKsBwzYLZeJueCHPA7HOIXlwAd8T
+eNCChbRoDlwdFTPpvLOZZ5fkJXDZ+vkFxiFY6GIvcri99uYlZNR9G3JNwkupjVcEzVn1X1vU66I
HUX7GIu0hCmr57x2scq3U48xdYQ4a4wJulVrxJ6IfjV2f+rTcdUxVAMqnAP39lXadahK629mVl/h
IE+6vATQZGcl6dF1eQrI+RvxZZuYW4duCAiGd6UWBSH6SNLVCQ6cz8XW2rZUatHhvJQ1yDPbUtYO
IpCOVh/vVh7eTGINf2J2GEVZwGKA1ELD3dYkYTHa3unN6exaghBXmg1YOVIYIt4+83kEPmVsrxE/
ClQzsYE+MWVHugZ2Fq3okta3ihjunY9NTyvlH7boIFMnUTz9+2vXxhFRDT1uThsmf5L5uC1plmGw
4jdZrB+BKtKwibYak88FY6gNhwDd9gpSLh/egzddpFvxMefAr9wwidYVY8T4q8cGfEKn23YjMFWj
QeW5A/N1gGqK41a0xDuhgjMXACfw/NHAWXHwi5BIOTLFRtVNaGqP6F3HPFUhDLSX/s4sqMSjVyRv
S6BXU71KfHh3YycDe/Eh6RTU7ZwshSZ+gaPSeEvy8dGZbRSxSK2ekWk+XC5dnpbxP+2KyNwSFj1Y
xx/Ht6jNoYku83ReSFIPeOlK9JfeGjFqSUjllEVZew4pjpoKqLQcIJd1vAA0CAx6CAahasiisy3t
uI+ZQFj0RiS/zzuD0yRWPGGULBHdoUJGwJ8fB8FQgymRUH8MGBGPUs63KOruGHvozV/ui5rCMDuv
qGD0sNJfodjUVJzIJVspAafiTCaV3T8sAmAKHhlA/dZj/1RnwdMB5Y8uoC9aLhShpJAjKwSlAFck
aFHxqTi+Ur2slY6+3NLNMctbhqamVDBTuAK2McBExEBcNoRi2pftppuPr9IVfXeeRq0tIa1KdCLZ
PGgnb7NhqueTp/876SSOwgSHOTi5xdcYfrWrjGhX1q6NN35+6Rdp2NRz+EHiVZoDnMrlysNhQjg0
okB81i4plRNcbyJ+0pj7KTzYpvSA9k6bG97rxu80zF6C4CU5AaKkw1mtJbCJ5s8HAF33jSY/RNrt
BvziVxdwGlcddE9Zene87IEc0Gb/sYbreHJHLFLVyKG70K5wcDV8mGu1FzXrheHCjU9kgfYXwG0U
BNinMExl7vXXPAsGSXfEt+9YcZDsUjgC283F1xnnGwiQkd05k8Z6YBjiRa9OTzQi8HhOLGPE0/nq
E5in9Qf8fFBPyaJzUi/EUGyNwsXe01+sWqk15VANGwRzDfRi4U0FV6O5kvqWb1QY/Jw21UgzMXF3
xMZDI/gBuAsncjp8+Oe70QVtiTk/rljhPUv0ElUEZOMvU3SfWUCs+gotbz5QcoAHYh72oo3DXWlP
41Tve7ZS1pYPShd+p4by83TNNmH/mal+Nq6U1vFaLeD4Zo3ScvXvdwWsumcams8tfocxgusAMx7y
qva+SjLt2qAV8BwshNgYXvbPHSWS2+bceWrAcn2iv2vmtwMz5nPI+bFgiY9cK1NIvM2oIMkmkMm8
YAqzjpsjz8eoIuQwQZvohUY55Td7Rdxkw6wDR/ONi/1TPmpUtJVCwVKPU+gWpGdgamBXcuCuw65I
lx+0arubOte8q6b2dNKhLpZm2/Sj1aKnvozMsqKQjCFWPIJfmSiLp722ruQp0iDMSk2oLfpEaomq
JuS0MlZlsk93xn6HNnhRGhhRR4uvp0lKbyENe5iVXPeWa1pSdPzM9u66ZxtN/XCjJA+jcWBrtPPe
HlXM1/FPARwVf0aXvNx6pRTgDIiFbR9CtmXfTYx+X5ZXcsCx9Z0js1I1jAlj3NcCGxylKF+kV1Dq
0HpVwCVOrWkt8G0ulQLX0mJAjvbGGItAT5mHfVSb8R59L6Zl3uNWDNvYkm17wUvo2vVcb3Su65Wm
6BX+DekcUYL515wkEUumjwv+uL0suG9wJV+WKJ0kZBaikSm60ohwPvz1Q3kScB8TvDI95kyJR2UN
53JrvWwvvGQc39vCAkVAngT5P0JNAP+k8pKwASotYxXrq0IfcEukFsyeNGVmpXPbD87ix+qwW2jR
3qZ2WqxeKekZceU+2noVabrIxmE1J55ysozWGEmqnAa/wLt59WU++mQFqR1pXT4UsZZqxPRHQVaF
pnDgM4B2nhy5wxgDCYr1ZYFY2NFSCGERZeyTuoKPx/akCCdEwxGUINIfUYAelVO/YF7xupPqc0U1
pl3JX//yR1PZ4tvgE58614Nw/Vi+47nnyrt5vQgdR2gFMdpppEyzoIwNfdeS9J58sWG/qYwA/6Fx
wFrc7TANPeXCvksSr0gVQVR9XFrbeAOPSO2h3PQz25vKT83rP+75L1pMgxSsIWs8cF0q310ch/4i
OFwRhoWGlI+lnYe/y6Swy66J0er/ncxbFO5uBwpFsk+si4P4tFCRYRgEt2HXpOD6j8p7tZ79Nahe
QUfZFIsK1GwJGzLEFV8MpfiddDReeQKl7euRMxPmI97526lIO/YXazopRg6aA0fS/D1lddtKNn9o
Q3tg0/lS5uH3TU1JJSp8TyP6UE9VUVufDEcZPyYtH+V+MuwibzoTzqPSqJ53TwV3lLJ5t5titmTS
T8nXhRw0ahW3mjzhSNezH5IeTHKyzn9NKiyJMwrxLEzaCfk5faTFbL2mY1Lj7KJnLJXgQ43yrehK
DyCTVd+VhzNvFTUDuPMFpgj3vRXMmILwDGbNB0+XzO1eT9QyDSeLhHlUGTqIJEWHoYPehPhHfRwv
taCPD0ob8loMyUE3Glxsg/DxlRqcJtARkovTkOPjaGFbqq6H9hPeIwMVhoNATE4VjUr/8/XSe7xl
GzpzisL9Aek6x3hppjmuyIEw4o/uBGsIatLT846sHXsvSLMnYgojGvtzl/Fjfd5F11LnMYhjhfvb
0fILR5xVD/is6AUrG+piykeBoe4tzpGjcp4bPZ/C0d5huyYvqlz/FJSPtTcs3Z8GyfgeoHBoGh79
Y3rh0G/BfLkSYx9FowpmTVKxcDJh8Xytpo8AYbBYTjlDeeenD513UOqhIwl2AbuzhoAhOE9wV9K8
5EpuuMbChANCJlD/NpPWHL2/G3gjF6BWH908/PfB5laO+AhfitbC2/U3uj2TBvqdMa0nHt+IFqhz
/vV8RuwOnu27IfGEVP0OpttfSmLxpys42Ucq6IJ7/hvVxIo8rBIxP6Gy3EftVpxY8lJ3a8plnB6M
GhuTlvGgpBuKnUc7XOohIIAMWf4Sz/UU0hhEj53XuKiwGl4fBpO7Drwh2cVpJanHsauUMDgVgVkK
qUi9p7JhhQfpKPtyP2iraikU/qyKs52G2qz0rIrzj7mZ5vBP9buey/39eBKDa7DvyHOMRchyK6qT
U9Kt2zXCFreKbuOg9vhFHxa4PFC95wMsMXEwf+1/55CB96aED4a+n7Mj8nUVeGEezit24DTbjucl
65B1zKZ2+RswQwpoZunpyL7ydQIalOVSI5ilQzHR+EJPUqOJjBGkeHp4wSLtcLKXTIx0HJjBXJYR
cOccS2cJc/+is4LRK59H474OmeoaXLarBzEuDuVXAsPXMco5Pobi3mmf6XDYJoFUKwoMBor8CrLp
DrGxHO45ioUc82ac2cDaOgjnebT7bfKZ8ITV+ReyLxRSgtfhSmrtpbbZDU9EtvTa3QNWTwq+L1KA
/Y6PQA9Qn+7dB4qBGR6jOUr3I5cu/y4HYkkT969h/cB5NBOWquctYa9DKj+QoVndORDjHexOHalB
KvA5iBWXB2M+lq+RQoQvvAUKRSiAudwZw3e5QbdIE1i2Kz1ydX1VzH1/DT6KlUob7Ntyd5gNndXT
njRlguYkkhn2QdQZz0oP9H1rMczpv05Qnjl8PePMReRV7OHrdclnoiCyCVQ/+rLyql8CppPWaCSl
RCD8lswbq4KqNGp3dbSvwcoiP+lh1SM7UN4fepomPxL7R0w6Q9Mcbwj+TGCBCdSfR8bfux5ODFiS
dUDqp7RRESdT++LxOmS9Bnswz7tej9PdkfLDsYBZ/PslaTsiMJ/6geJ60nrHav46bacpE2pL3e/U
160aCEGiU3eSEX4eqMi1vQvxwCF+IqujAxP33hKLxEiBHLHMyclQdpwuic8uSwdf/8fY94EhUPku
Y5uI/frh7nDKMvOcjzrGihD00g0JOKdzB7SvQS/kWW2ChorO8WWqAblStbEpJ1WoecAvjb7VU4sI
+lv/282FQL9KS1k+1OqfLOVe8M6x7S+Fo7FJskjM3QC1ydqTrz8Y9tK7vojzhkbf/4RpawZTW+o8
x0zi9yUquZQOiuT0UbkxQ3C9FzmFaho6dHuqN8AyVJU5QZgH8CVHAQFWHuDMPlgypyrBtd89grwP
9hCK+WC70m6iOO9hzneyRzbkHyeh7nTWlPaljaREPTZocLYUINxGoGfH1NsEz2ccrkIs5fQoPKt4
ljAUlKZVAAm5hIOlG0pkeRPY58hOLaLOFyM8hvYoKGtq+kf/N8dTg/ZJZ6WmDbOBX81ZMOGnSAK5
TBOeo5Y3eoVkd1BNGszt4pBMv3C4qRz/jmNLztJMLtQsnSnQZ3CHELNqhenWz792KDD1JEksavG0
sEyQWkLcDISyWfna/DMoh1v6UUJLEFxSj3WLu61pdB+f3d3WOX1qP4i1WaWDjqW6G6pG5gqGeMV5
WixURS7IQwOcH7WGYm/lg7pS8aK0U+E5cXXMBFl/EQeqvUvizJseNhFgspfKJz/4q38dRyMJGxKq
qpJptW5+jBteeiWWt2os9Zmx23/Pz1Vg+5eGV71y6cDXb/Us2PwT++0xGi8qsYtX/yP33+5h8umL
ljLlKB+M0XBYU3m7+duDCltj84w3Sdg3IIeYP0IxYQSyCpb1ungUBPPy3O0aYuA4VlnGRL9JFfId
SKkSUrSK2ENs7jxEb7/tCYelnKNbFx6lD18L/n0iEaxPoID4m+DKPc2Pm2oy1mQARUqKft1zD3wr
Bs2WMGcCxHoaIboy6suuoH++bVtjSpUayrN3Y6h863YhdV9XeBvSMP4vCeOdy4A6rpFdzvtOCsMA
lSQo6YPKHWQCAH52wcgWyNQCweZgPzqO2xBAzCOozHEA/K1UWQNcPWQehBim6TxkMe+T9bx8OZv1
SdLSOzK4ogii7UZCfGOcpfbtBjkAg1Q4jKPdXvl0dbDLukI3qmDoJEoKtICfsVD64LRGS+s/of8h
qKbaKHqVXGiUXfnrhJa6P0/UHFfQyK9u5mHt32K8A4/Q81qOyNKm849uR9VkM5+q2vuvWbS2qxK9
e5XNx2fQgqzDHYox5R7bdHp2mv/CACBMcGjBZ6yNk+dZXijVJvWpep0IJMfbCHT7XdYUiKsrFsDD
Q6e33/+fY3CI/YwWsV/ZeoA0dyBEjh855bl5EGS7Xk49eeIFrlDZL2RWzkU+n1nE92wAeKA+dI9J
Lkdwi+RfbYJAtJO+uFHvdkNOm5BlYWARnGs7SxZk6ibTfceNvzjtfdCWRasfQJMXOsW1L9jWTlXD
SQOum8FO51cqui1KiaAnzzulORaYpjIA7+jPLsr46jhff/okgT06+BGt5WGfQ1Htg4K1XYqBwtdl
ovyx9Iawy5vGfvCQhXgX2/0d7bWbVU7iCZKBhOEy7FMJjw2ojZLL3wzpiqZxzHQGMZSTjvFXsn/J
ermO0zZD5C4yybIHGMB7/dGEbcc/pPd9ul1/YpFAt8ixHiFskOCHnFwNCe1HutxcHKAYPgJ7kA0D
dHDUGEgEttr9SiqXA9uP1Fv/GnqJ9/fojM+DZzaG6mUTsl1Rgy8/RYW29P0SFs7hvitW+kCgg5mi
VUuGB+i4WPdQ4eN3QGN2iINP4p66r7OWnshspOa7ZdYwmS/46pRw6L3r2wDgbNKWAHW632L05UeE
dTjKjGuotUHDO/YAcr8+1/XK4HLZuCCGQQo+yp4kV2lZBss1kJVw5LIMkg428g2EnSa/rRX3MQ9x
EgU3pQSZD2eMA6zgzLNRyb+lAPl3U/4Yz3bO+3blHV1I/CktFw1aRV4hHwCyiQYwpKg5klC5S86P
k5QCl3CGxWtWaEoIkEpuJ7GbjYfdwUouLeKXpY3ot/MKqRaF3WMobStTwXorl6t8rjxH5CZfF2z5
kg4MxUBnbWCaXghgz+V+BdJoxgB7FImtpIj6WTRQ9lUdegJGR27Vm95CH3PbU9zxWTpYn9Bv/wWd
SwM/DbfmzTv7DeddGt3p5LgYTfuxRRH3yLv6Y19nmGHmCRO687eOeZWy3Zpd6Mn7h6WJnwDIvlXn
p0f7veFErSZRebT+8dCt4l53j0QHEFTb1B3LZ97ueesA1hrgs1FDZWPWm8YSP541yKkDmDkO5wRj
7geHsxw1HJBsro23qVKx9TTxqmDzKaLc+UvpkUwVo4XRXC03r7o5mZhkcEmDzzWarHou84mdvMme
hwrm3SMgrhHneYn0GIWEyTIPzcpRW2jPxeye3pdMwn5oB73MuJLUOnbhUyOYDmM3viJXi2trGJSi
AdnNbePIJF7wdwQVt1KHb3yI5L056tx6a1rXEd9x0EpLDH7AdJ0ghKHx5qO+4UcXdCV5iQHhZrVc
oJemLYnGeIhafVFvouQgfRIQuinf7h3YA6Vw5dfxNep8bYNgmbofPpIpSmdXK8aDaSDGDzRm+T04
TF0n7//chKcGdlQB/yp1ZJxiqQpK81w9xPxb8r2tVLWZ8X39Ip1fpL+mSoc1ooft4lbglpTwk5zE
mYwNpTh84JnhrvnOFnzWaPXk+XlToUP5tZ9Rfe3BN8nP1omCclY97Sye6ilRhBTyB8Vk9DgUh1Gs
+6VYq9EwGt4pQ5TNGOExmrI0/Jf8yC3xv8z+VPjMdQvdP76OYQb58XarrMQq0gOcdbaWnwX8PF6+
5e2E7ThvjkLexiuFaOO24CWc8uJQjEbCpEVhEN7UdB6912+o8jxrY27hKqQchsTQ6tobJQ/sJ9Nz
sm5g+w48izeq5v/mkWZsC21If0sSzrhYu1Sy9ovlVJIB39ItxY6fkRsIeQFiStB/Qj0ZZ3RCqPup
ouKupMFL6QwWsRnJgZp5z7C4eB9bhZ4Mw/38AQnEyPCNIzOFV7Q1546HFLIoRycfhDP8fpZKS6sw
0+oJaNNg3B4P2hOHSvNffznS2FqICdOpLyUm9Xl/xfJ3M1W6n66yq50x594hUUoMZtGuEUvYjQC0
/ZbqPaFEL6U2vsJAXlxw8mL1EtoaFJyq5fiGyZpWEBl+VSYgONMVj2D9tLm/kdj3i1X0WYTbYEL+
zb0mAuO9IpDBo3din0JmjiKp/6tmrm8qY4UynGZ0ytUadCLIrRgWFLinLgcpcpN0AMom39SG/v+E
OVrqBvg3AfbO15xDSHDwC6BDmruyJEX9AaLJ9ZsD6bxgQEA0hhDYiZC43rNOyrcWeBJbcTUfzIeS
ybEAHdMgau5ozwOURMv85lJXtguGDUISiG7qdoj9UXXQ57pigLVH42JBlJzHzDuqrF0JCYeGnYbX
DZ7CjNYiB487WUCZVnKRdIchL10nauTVQ1PFeo1oHREG5ldymINv3u1dhYS6YnnUX13Qp9cM5r9o
39TyBX2VOgIaBQsf8cRYEIXS+H9go9GcBTXdnljs2vnCkch2V0sLjjqwiul73M2amiW+nAi91P3w
0tapcjgIZ+Y4UXbV0RFQJbSjAjcD1YRRc50w5BOiYS0JiDO7OHv8LKDe+1Idi3w++AMUnCTvkUsM
vqVJ3GzeL5OrLrufw/Y4hrIpO5+j6z6O2stTQfVvQ4d6fvnXKRkhxzU1NFVfgBaKoaRbIO5ZrG1/
Tx1uNKYhgnRe9nPZ71OjRAAscEHsOkpNWS2HCVz9ZabIyL76EzO8BT/P4Zx4jqTGrfMXKUszEfPm
ZEfiY4cwijeWlR8WwkGkWjEYQ8QBpfXeYS/lRrWo9sycZyLt5jurB7wCRQw0UaxbUJqUbLJBzF9R
4T7LYVrPnDTk3YEUfdhm00S4ulQpm7GhunoPxo7zYqty7W1ImacUmrKnf4bM3f/xPn5MK5tdiPPv
cnEx6a90O9g9bvnGBeuk8aiBiagMn0odQBuD3NJ4I7JFbpuLSPc5otGViSaUusvw9phCjhr2EMp6
QjLm5X7EW+VClc7icfKe/B2siBwPMIH7RDZ6AxoyZnyaMMhT8+j9ezajaWAoQDv8CgN6UF20wZRh
nBwZqsBFNtTAVhkrFP7WdwZ9jd5luE+oKA2RYQ/maog7ixGl5XmiSDzGpJDoUYtU0nui5+XglZzM
r2pTLiyImjnV9y10PnFonHBBlhd/2n4ppcPiPGL1rU5ROcz0CZ4SBIMzZgz1xP8zPAOVl5C3BPiL
drhWVEZQBnpguHE7kQIFTFvUfcnAHMg8vzoFPLvum6+8BUSKL8SQneeRyL7LXHGP0phNBsOsYaqR
hfY5w+GdzFOztKw89duyAMsBmEE2qINHCRkYcAY1xBWZVHzRxjDofdSbBYCq3AFzlQy+HhcLMu37
9uiJIPhyncceACvOmZyuI/Xhh8Bu6fHDpkCsjeloDDsZPTlp5LzgrOmuAzNMTFCvvbNOQqzB+9+2
xjggGFzGTigG1JLfiSJqf5al1gLB1X0cXe5XlsWMOhP+ZX2CGYPYuc3eiClxF8vYrDgKeJ7MGMXH
apfxSMRLpWXMyiZ5DIy9/vitRobbs5CyY0UVNfysUg+b4HPCk0nwq6yNQy/+9lOtsWjlhpuShfz9
YWvcfawgAL2yaEXLZxPFo94CQcd8NRJpFemkaw/QXrYUT3P7Vg5RHvN35BrQIEZTk3Xwjc5Iei8f
Rbvsgjbcjl4sLVC/ANLt8A/Xc2xrp8p6yhYx3qMQDI1L9XLrqqxryz8e+TIxSUaKxjls2hswwEBo
PEWnnxlVqVVXqKxzvtnir+dSXHylBbqPGQw5MftMeN0/Wpgqh8zWxxiXLbT9tYwfL1SKyyiXVLke
c6Yr/GQE7NyVKOoMDmzdQMVcbmvWnDYjzemRBVY4o3CmSJC02C8sPAUEnSFpRRBn53gQTrRah+LM
jkQvINuqu9VF3otlpqkI+UDD7n2vRtCCpDLN3qI2gNzBILj/0b7KFJKprWOTOv71V/yXZmU0pgDx
7QRbMW2ojdpFVAgkRMB3JvZZ/HrpVv/vQIx7KX7n5AUHOw1cfaYA+EZXaq9njRzITW80HN8dLmrH
MZTaPY6yvQEiKB6e4ESMkPgO5VetAtkVgViHMlhCheI8W8+oA34KeCcWSJZ9S4cQVG50+/dlw1PX
bUCSoCc7RBtizmbFelYIT2/YJ7JcaZf8ZdIh2Otgnx5A3vL1X5gfDQghk/9eWdjfIiMjEorTS0e/
Jfs0Zq3Sf/gLtaSVh7bD81JzXu5EBHYj92MdpWmE4sFIOPsE5qM/MLY1NGelZQMc+YjANy5pYJ8o
knFYKnsn04CJtnIN6uRsnczq4zPz0YPpGH48/4HSJMycBJ3dNmLXaVs/kGhHSyqqoZkJEDv0qhRw
70MrhVuK2sXmZ7Y1eQkHbHk+LduBV4gFQ7CVVY9vrJAWVf1lAv2MKIW4VgsmtWE606UENbo7GR1e
1t2okRKWxrCuzzQte+2ju0xUGpCsu3/ADVpJkLiUeEBYoYSyI+9xUqxsPWAuUa+WRjfqGjxViTVQ
S7uMzYvOlFVtprRR0iodiFux1R24pwpMG8FzoAKW+9vJOsjLiZWT/Bbu8saqnq3oBZG2Of8cglcn
gahkkKIkkfjWAu+gs2CILt3iv7H7by9ZWB4Rf71hvEbXNp1JrWo30Ykf/ld8uz9ohgZ2FjFdDirS
g90UEvkiZZLF/JBkUIXKmG+A2vwVLgxnA8XiH2mG/LtGrrNvggEeeNpqzB1rmhajDyDye9f1Gb7m
SsNb5FwF3MGR0XiWvIw00MY0JPCtJylwXhZrmiSU0VYSVrx10BalokYiHO/BHralhVwy4m5zeBpU
FAq1bQslQ2eeDqCDhUH4RjFnAk2XjHRugFApspq9HDJ4WgpFW44rpaHfmuZCGcAJKJ0P7n+MXJ/5
8tb7pEgwSPuPLqlWg/b+PXuWJjBNakZT/zKcsP3C2xqM/kIeghP+1cLgjFRfbOVx7lZsdJYHtgcn
F062AYxXn+8MxqBXDXDcInPdO+DECfqA/K+8/KxAkmHSXPC5mv0bHTtU2OAg/unZ9fJIsFqs3A32
GEDTGzNIVeeT2P2IX6E+CrBD3GFQOQKglLCVqa2aha6jP4VJ2IWF0nC+mq9ETkmaw2azOZiHosRr
wWtCsYgB3tL7/tJWUdxS58HfMlt2wqqNKh/HvUeeEcgSwGPL+66N7iwQkRp+uT4QQSUHQhzBw92D
BlG4WMIOoK7w1+LCwYdnmMp83mr/PyKbNHoXMlgxKwuvmbItvBt1uKGUsbXwokc7r5DAs60rrKmI
hsS4wF4OPdZBBOug7owWGBm7cFB4JPFy1jXBSOSUZMQS0i0/oNroSmkGC20/UtaeW75gxIgv05Ka
4f5CIhpxXeELXV6g6cAm0dsmXY1v4j7lrvj9P74JRVF1mKi01C63qQnFyG7Ye0qPdIe6RiPlCW+W
GLoshdIAo4MCDQpC1dTbJjAm5X6y/h7Oq2Gjg2Lt6UWacEuS8wmQp2dnj2QAWU7hHXdpfVsDU/kV
C5oK/eHqTVADlNzDU4MdTIIOjZy9k0OLpzOx2Rl/YS8uPjX6IBak3Q/sHrAdvm1KvfT/EhcbURMT
YcZoAMl9K3aNtpwKRe3RnPSRh5Ps/brNyB6H2Mzmcn6DECWYfdqjsYOOyTbIr0wB3Z1eVwnpBs5Y
C38GggQ4Zn0uUg3bouRJGGVxCb/ExlqRyRivA3ytZDX1477w8eThqPhBAZ+/8R1GHq+eA0oHPg4+
prYUTTe4UTZd5PMwKyLdbRAvjzQ23x/+LwsX1cgdHCV1ex538JL1swJUb0/wCeoFqZ8Xm02osI6E
utlRMjND0864EKdgwac7MQPdDvOg+DHhG2M2+gdKz00wjpLx6oJwUFZ4mFNRxeGawLHH4Kj/EO5z
rzA15bCcdp8497O0DU/Lw5Kgq2oWUdx/sdeW6lrpFXygMAvTXFD0VXure2EPfuMWNFCTIxWJETIN
FpTArvDL1p7qudSwRGzhsvmwM6M6mz6XintBx553vuJWF2D4K+6SL+H1MaEjAIo0m4W8AnO/dJt4
dmNwt2VvTc5eIoPKPA2SpNVxaeHN7G1+WDAb9KLoVRWuNJNYANER77+d20IzrNvmz4qqoCatrvC0
m7RouNJvFy9WpJaauhQP6Vee3k8IRpJG8+RONwUHnnBXlZyz0B1Q8o9/ZMHvbxsjgDoim5VM0x1J
I7iPQlmpvTXAP5nsKBLV4HOoClNhC8/TxpU9K4R/gWpBhi5kZUss7/lgcySjp+PEGBQnOpLPfUQK
fQuDg50sxwVMvIq74nAnQNUlYxA2FR4xIFHvnl3yO6aM/DBRNjf47VvKuMv3XzNeyfN8XNkM+SMp
lt95ZfBvG9io33OzrS0xkXUXO6RnKZBAGff8DZo/pD1rqPTZvah9Sjhry9c5O+XJmq6/x23qFQon
/if+g99gK/P9A1l8ruTFruxLq5iIpZ4gmypK2YV39wDyW/oBcz9U1p3ipLjtr9ZTt/0RfZKRI76C
ZsTZX2tSe+Zaborzu8XhJJNl33S45d71K52++1pMJA/Uk8rcGJub/n7sxh4WONXqQicwb71YL1gE
CLT6MnyvX+XxlqAePvSpF+7l2oMrrExUbgE6bjnXcL0kHjUGbzZr8oHQQ5ERYBSRk++4M7qqrmtr
INzf15j7173lG0DJGMZ8d+tMMk4RrtVn1XDfIE8x1gD9ox7onUqgGR47PK3b6GqO+9bhw4rBiPdV
xe22lfu5t2k+WYM7Lt+zDh3QqnLTuiSPz/xpxjeWtbDz9hvNibgeGx2SJgOMs2n/ofKNzBll7cEN
kjUzES7QvVWQJ5gAMPXjTMBXkMZxqY3kwD1ZY232V9S7VBXs5S31FBNy/RuRy0kgmKC5a3sKK2UM
NIos0EAWZa3zpXXqiwa9G2LwrzHW3OIBsSSSQja0tJrJnaU5oV3Q2uVwVJckpCoU3jYK10jNnwYD
YkS6GzRVIpRWHhMrkHEpJnIG/Bu4S3Bb4RW3kA9TsKbk2SSQybVO3KmzIbZ2KmkBmmFJcXt4aADD
eBnKMaR8ZJ4XocKu4hvaNmf/Afg7aTFahF3jqXNKsjzMRttaSYCVEI1lmVs3abiRY2I/IKcmUIJc
spxW364zWIBoecFOZC6+GTZQ6OjtDhjhMJG9nUqxfmKDk+Kl6ETrlF77oL1N/AMDAKL52zSDB8Mi
PCp6K0z0CDJb3zXGRCnfOiBq4tDN5tJkbTwJULj7fsOa9L/JjQpRPRCfluIQ+jEGEXskg7K3/U2+
yhcgzv+l0GtmxfYpLLQZ4IqYhp3Zmg5NDkReoktP4+p4uF3dKlDmnZtU7k7/SAtCMsDRPX5BtYat
OgzEpfq/c6wOOu7rp9n1wMO7trb4P2F4sjXWoILgCcNWe1YKjvFDs7ZKmwhu6acotYv9ExRRfgZD
DOe/GQRzeBBzMM1urpCTJ5LHacrQcMuHm61QfuqMCi288ReL2JRDcUS2c5brFsHgckWExX+WGdNu
2AmDEoHV7LLaUBMM6KK34ZSnOm0dsr9A5L4z66c7YdWaN3LuDPOD4j/ik1nurDuLbnGeQdjIVRjn
J508iMN1QwI1eq74npyDaApgTfUFtNOnlAkWkPruyr3PobelPZ4fiqugKk/IpfizKR8THT/zAAu2
Dn2SsJr3m2jvfcKC9uRe2qksw4RHKa1GyQK9HeXv+ktwVNK5LSL4f9h2bM/HgeFAjvwWn2YMGgEv
npz9sp5YnXGo4L7NizWd0NIzkH3FP846JLlNWu0ot2O+Yijo1o2dfQji0lAprGJt3P+M+yg0pVhe
8z7Qtw+o387qwS0umzEM7PVfBRBnPENFBQbqpqzM+S/blfRzaYjelDwc+rwrOTgiEkkxoFtUBc4x
6lbDegjE0SsuTuCZGIrAYbmaqsYQOHNcTE/GfJk5o1CXLYgfwN9s+7gIrJ/pvNshtwD/oz5r5AMG
t1+v+m5R26SacMg+tXEjk2qQbn0lQj6AKomSMzfCTfJChaUPp+mKeFrNSEPY8AgsZtpKC91P3LJe
8E8uAu9tyqDXb6Ir5iDWoL2QSqHCtrGizGN1JUTLMXddhUolsLvmVsSmiSObk3BA4iEHicrC5juo
TD0SskassgYWRO+9rYeVh+ObDYaOnb2Gaz7/46LKe6oYb387fiAqTE3v9IzGwcPIvePfoFKbkD7m
pC8vuEQhUjt+UZJ6byCPDceMfd/sYt6Ep5dOzIuPAf12rO/U43ybb56/wPkmLX+TBxJFXoletHV3
ENQgiAQm8TXnF8oCNJTDhHyIesMEVKuSs0or0Y9bRGsfAGOTSg+6WIDY96dYcpbqBac6Zz6kgebD
7ySJu/kk4swws5nWSOWthTCLYbz++bbP6SGJeMKCO6EEXWNt5TZ3ixcbJz42P/HfjMcZdDVnGonn
Qtyj3/9B7jWjNr0G8aIWuys4N/kBNqv/ULypz+5a+SyakuFFWEoJI099OBK/nE/DVhste+nWP4mk
u1cfvhL4K97eQeqrAJ840gcZ4rzCRFPtmreoe3tSV29jrOrtcgAuAt+zU0Bcx4PRnPxgoLJqfeUP
lU3IJS/F6l8yvFWUAQGL5uWGE/5DTZlKOGEis7mF0REFy9P3kTbMv6uSMTcOJjooE7wGZKN6NZJj
ZO/Gvauhn8fnnyqsWZd9RlHuX6MwkGd+UYF/IQ8022B9kPJtP/3DyIJcMD2wwaQJe3xXBL6XZUZb
9wfPM4NVnG5yTwV1ULnkRAVOugTxE2wjVwkdF5Mltwh4Cg61PYqxMcbI0sh1yMBskzUQzjQ/GISw
CfGpLrkb+HY6vB7uHNvTE52JncUnblYs60PPgnARMS5Q7ZORhtWDDXhm/Go4fJ205xNkd8KbOByx
4e53wHXQIzpEj+X1JMuinQ1ejPStRJByTWe5yHQykBRkcov01WUUDs191oTxCSWXiaATR4Pfbzow
21kwk8JVXZONAaqxFQR+f4qmYdN6MsPNFpizy+gQe16KvXGGtXmw36wkUifeCDx7iOo2SBcFLziZ
AcLkkZ3pDIM8Nvz8f08D6CxKzSwxyE/xX8N1xiQVs+/g9LayrN3lJI4P83OTRQikzcMl0Vjaf49P
bnh9Ii/1APWs1v3ZwujMqiBDqre85ihFpC1ubXGfpqmSCOC//gb07NOPLjqI+a4F8BXzADHWnfar
Plibb00tV4MulY/hmUCp2PlkeDicVYQA1X+a0dTDyMTPZHwF4VO98nvdwhk0WVhmdGdEeOrsoS+N
YmpD0nJRZAaxtdCVaLGz45f79bWFUvDFhiIdyQQfy3e2wc8e9cIWSiW5xE/OQ3TfwF/MAP2qujoq
zQZcmQYNSvxNfmxmeloxBydZdx4gN2Q81eAt+GF7bgNtCEqMUL5Ud+fYyhlvZlIcghv2P28SOGKf
c4LQemJz2aL5demxvKWhnaOx+1BuSJiQ0wNbgKfP2qhVFHcJHbcB2U1laRDEHUH74EFpRhFbKnIy
G09FDdQNvzXYxJilbmR0d+F/4GwKiCDI1XuVYnY0GXuPzPgpV8Q+omsqs/kI4wD+7xDGehGqzshf
xRyg/oXwI8JkIoZpx6T0pYhNt3md/Q9aEV3x05/dScbDgpMPJVKtEIxsJ90a8WJp+IAQcJCGpHDi
9AhRzOYaASGCfprUgxtsr0mgnPaoOLDhUNyP418LmRUdfFv8l1xUWN3LO1upUKUx7OuqQMJXwleZ
IoMha9G21h0zN19LkgSYQo0efE1OpIT4oDSMCVCq+Drsi+y6cPUiXVSjmmm8PS2bxdLVC5odcxVm
gPAUEkiXDWxDPMDShH9U3FuxfVRfgkMJNy9FQJfmgfSO9iGFfbPWOw59NpIAahxRVlpIIuE7J1j+
Bci8JwNOuw5mo1YVDwlVXVuQZ+NoAV4I3nzs8fu59yMCSBdAWjRtWjqLCAq9oWRUHthvaeTF2p9f
aSN+Pb+1zb01egZNAdNwyPdM44hQRpMz+0SuM0MM4VxbWrKzzTeCQnQBBja+EJ4AhEyy737JvnYS
tVGitUmtM25dUNQ9sqh/dSsnrAxMPv4VEozm2A1af50tGGOBDt5KO3KBtqG5stzrhPfAJB6b0oZq
o6x7lL9FNgvjcNI+bePZB69uHOtrmRpkngTUMybQTIaJ8UA1Cu8VcxcRu26yQtql4nJvKNeDB4q+
smtItJWJwzODcSj9PwVzsiQTeVAqzkyLsXE1NhMIMKuN6tnDDkw9w3Zv5ByTxodeJk3iVCz0GMlb
le/J0qMKqFikwbpWVgt1Uyce93houvqIeiz0WEnKPsA0t1BXf+zzI7KTUeqgr5gUGjkidhAN5XAo
yw05OCPpeQJiOw67iZ3QX0/awTHsktF0T4399jHfc+KkQhYMO6sWLNM8nDwzp2PKmzHNW2kXn3J/
8ehMDEJM5PAGddM6gZMbNd255xzyhR6unBGLa7IPA5/BvfBsxACnEx9TR6Xlu+G7tUeATyDK9y8y
uMNueD9qkXx0jqEssbg0a80UMDWU1P64vcNLX8qlH5qHV2cDjWbdl3Vf6IJAUNOCe3C3NYO3zCRn
nW50AwlxO1MsRB6nPDAjBTTodbjIMr6TFZMhZQcyc6PmXKumJMzUipjlhW8rHRM5tTpsP4nSP7Qj
oHiqr1+aX6GIVTanKXMoi9uxmegxh00itjwtaztnHCj5Q5GHK3//w3W2/jBidsJwXNr1dhlK1ihf
5xcdDIViCyUjVCOdHZ+rIwRYsTC8m6xLY99wlsGEyhnWiHJaBw+o6P/XqlPUefwK01014U+u3YKX
QUQFW2s/la+6LJw9WEk6d8ZflX2iI2K8vcFrpKgj9D2N7W80xnUGJbw7v8xeeowOf14ZGUciKvWB
eJi0ghvFk6KIuKTQIpIrssZmIAAJpPpf9tDnIv7DnZbSkM4kjM+6rR9A5Q3StIxdvpu3EY4GjLW/
DatX8umkDPlicrqNnawBg1+emJ0lkjt6ttB2DrS1rNF5DXHJGWv2kOQspy72X6FT3GLRpWzZFRdS
9CDfWve5Zap4EufZW4OhU5/7WgaF6YvrLYIHXLPk+j0L+QHDy20ak07pZB8MnbCj4fQpxzCVZi32
fceZ4frMqp9L5BnHl8R1drwG+/V3VYn9DPnFMurF0/VO//+DFRfs+dObkp1kxcLpwOs0RkI/j48A
S88BJew6yHjLZC1u7Cv65qJ+0H0i21QTrBAats6Pn3Mbgbr0khREb9lO66Qonaskdmfv0vgfyKb1
nGLu9YavvANl2+biXTmUBLYwlah7pqQlz7tONg+KYdDRcRv7QwdEtCBNbxo8C2AEHhitoU1jeuKz
yil3MKmaj3jlR++1X/cjFDTjj2lzgAbXRx9ZF9p/tiWepZUmLDeLq8yb23B2ZOHJ7ltTwIZzrjh/
WPDHedMTtj6ua0UMehtZOahUJDuXoTugUIlnLN4HastAO7EuFCkeh5XG/DNaKCGuGjC+ZTfYQcU6
hTAPHTmUat1CAM5Oq+4SqA8YMkfIYO3MtF9fvN1f0cvZsurysZxcC2Q0lTs8Fb0TF0WC5PWMhC47
X3N0w1KhCc/Nkw2UQvzqR9vgiOI00cHWpzL/RI9tQDPu+WOSJ5W8fKRS1PCiHnxhQYLxhmMlGd86
zGIO7oe2fE/V5/MTiBEjMCnjnwg/4okYx5Rbc9G4w28u8u7ijOJfZUAy+sUFoIVJkEZk/Kq/922a
SbH4fIHoA6U/1hX6fY0nuBcc1w1zYfdFo7pQQXgo875S8vB2QQP4ggp7ayoFMT8Vq33idI1lTs/f
hJu3ndBLZv5SiNJwXU41KW5Kv0HodCBobncGfHMbP3rjsORkMIw7K9jbfdGOMAiGcqBS71CuLvHZ
hWcUwPILa7YOJnLQ3RePLZWyAcd+B6NbOGqhZtQ2l9Dt9bU0y53CmnCljxOpYgo+KqUFc+wTBCWT
Ubp/Ue5eHxUQ0O8S82vU1FnJhGGvUazBjdyL2cKFiGflNMK65rAO0klE25fr9DiXMecbfTolVhDN
yHW40jgRE6i3M2kVi+rIT/UTv6harMs83yt9pXAkIn8kqf4XqlVFokKuM6d1LL9uuFl6VSz4esSP
WhdC/i0CA3EusWnZBwSme9f25CvnaMVeVI47GFlnZnNq71jzvFzyNV6chBJ16mqpkRONbVGNaWRG
VYq7f7G3rS7myJmdYKxD0Q9LDkBD2hTXw476ACEaXJREl5uVxXj90OZIswI+upLM3mVTCmqRr2Bg
4bzVh5nuOvuZHh0RHpk6m3h1FYtUwYkMVjGPIoq4dVxJ8/VCZWg53BjVOhFAwMnaN3fVJjkarNqf
XPlYFj5myhRrWS9KeRjXi/e1OmH3o22sCESkhaoOjosQJIzdfB4F+4ygbHlDr6fsplao1jOCMiiH
soB8Zqy3qGX3pnoSCGFi7mFcdYXqo5biW+eHWdK10jkyKv/xj2N7P2O8giBVvLI0jmzRt0kEfA22
z4039QLKB25/JNvHAsHubb9ERLBAVL9MwXhquK/PeVwe1OBo5vFiIZ4uW+9a6UemrvfwlrEsfXJw
Xr/XkqbM2uyXE51uPXHqdV5UgkSuIyrhMg8UF2ScWAwWUndaN4vFb5Sj+41eJ3+lE5JRY6oW7oIa
rYUBXgAyR4YGEaIKUFZ8gU9N8hmuxGXOd5Zkt4ECAqBkmTysqFmXt+Wf/WNZXuRpxqHXZyTU99rz
7HJf01jkeEdufTjOp3zup+eloF7+r58yh6VrVfLCJChl/WxSHPg2ihu2VajNSwkO1JmdSA40LcWa
CS1IWh5Q4ob7+jmRqfq9b1bGVnUmjdremFH8MjJJmmjjPd6uFTV28vMj8J1bRcMTsOpckVSqtj38
hKHoT914ao5DuKAywFyc1Txk+vhfE3uViZo2cnS+76UGJskr8Q9TrdprkA77Ydidf1JTHw82R7Tt
zWaNPBAdbG7CuTASonUMXS3Uhats9E7XehmIaf7DHPX3sNPuHIDgXxs+iRmZETO2LFvWNC5N7ac3
+237AFfUN5TRwFP5iplpOaz6P/+Kh+qvJ9g+wqIGWMET/fcZGa8o57lmhnJqVxxose4UfeAKaOzE
R6zxcQUMDZXxLrUt0mKALMw4xaacNzckRComPYd5JEcCKtktqaCIDoWNjYbWo0eDItcEk0Bg/u4M
JZd7Ro4hF+Bpx8+vpZFIol6J7GjZM1cWXXhf8mt7jcI+7uqb1X78x9bZfDUHTdjKnSgKTH5iwKKI
mDslDXH7WKAQ6gcbcAZH0rFEQgj5LVa5syIT53uo66S2Fpi7UxtxiioySwaGc+301uDG1yERkb/8
sXIcIs6S/Km0zjMHsBbKzKvoM8Nrxq1yC1wQTJ/oI4R5QVuDLiYptFQ/7xiY06iuJzIHW4nZPM/u
6txUB5ZMa/maW0lvwC18VzcJfpntk+2bq3N0BiY+BVMkU2ixiiSbYAPGEDcfuY9Bjkcj+GHNdzQM
vBDF+Cw7KKeC8FtQict3XiBrhYcYOyo/tA1LGtC9e7AbWa3c1zFTR3I3DD7WSKxOPmYfZ+LTyVJu
dENZYYv6P1m+tYliUt1d0Qp66Oiqao8CUDbD6ZszKgLtQ14T/Vxyes+Q7xSEoL6yiyTESmxuY6iq
5mPAwmYccgDAhiwrZPOAvdfXlFBNbw4HWYujdf+eUwxisRU6tptTqgub96QfFqDKGP0yDjsBaE2g
Z66lPKP28WkHj5+FxHAEwAsmEdrAvUBe6HoE/aFiSjrTaqJS2fsLftQYyKaS/xHQlMCgAEwZKNBa
gJjZtgdQyhSHA5sfohTD4IMs5P2wDZogE/7wi4v0UY7nNx0SW+5o05WG3UIme97CJRTMa+OCX/Ja
B/Tt56fhGx/4yQhBfzUEBRbjNMPWq4WgL+MO2XNiporfJMaU8S74b9RdiKME8S4tX3UJvrN6+zup
Wact2DP9vwkQDm5EJZBjPk+K84tbGXEDMLbwXYzb62uzL+A982lUmo8stwZFFkeVotv4SKs13W69
9d3wCuEmwR3J/c9+Fj3eAQXlmcD3MOTz0KG8No6r9otaKYV2rmOulQKaQzfJYhcBJgihC9jWGlwE
naFdcCAcms53ZIY6reAAw1WhPlGSdYt9ftUwEcPj34dfqnddgSpE7hIuz5C1IjyjmVNNWx89DdZ4
MA0SNSirdte4W0JdnrQMZiNe+i3cocaTQbNnJt2Yqn9Mxzc+Myaw9jZ+dyYpIioBHDjzHJcVRrae
BIH5W/kcL+l5WYInzfHFvo0yH8IL3n25Ss49TQxBNLf0+SdGDhRJZexUrK8Fap9WQv6KuQCCU/ZG
kUWS5kNGp47vrZG19R8s1kl7AhGJqxftQf/pY+L900HZPOe8m/3tPWq0+be/0rg8fkxHDjjgnU/h
0JD5yefd2wcjGrpLziEi+E10yExlrtIq4HgNXbqWeH4DtRQBNYIHHhf+ZvkwFfVBmyu255B6ol+q
ej5EBwR9yMwyvK/cquERQkgL8jfMRe2C8HTYU9Evr93yh33rPZtbmha3TllYIHEC2a+MF5zdgDAQ
dLUyZNNxme6efqQT5fJQm/McFsPogHm0QpP5H0+RAZuWquNWS4aceqwd1OMG2faaUPX77DWQ7DXl
HuTn2PD7Z+VP4FDIVI5b1WGawMEa3lrUXM7srytgVMpTCj9gRApM+cyXAWKvIWjeiI34CwlPaiRb
WgVe9J8Rs3Vmg+Z4lLJvWx9ianblLEEAPLRCi1V89D6ZLDXJZNTSHF1l8wrV15z+K1+5u2Nz4oQn
JmPeSFSCvfvn2XJ5CgpCXN9xciFwsXPIyYseNM3OYqVGAn84CnD/Haafw+MoX1nH6R0rSr9bpLzD
/o2k07xfjtUYtjrrh5OSzRt/0FtwQg7mHjDrllfL1lUZD5bRGwuM9mdYVz+P7UP/clkHTigiCj5o
PPrSHZyo8fN2O5z1vA2oXixPYZDAAOhlqNG8MwgAmLqlDFqm3tjFUKvyv5REsVLDW0u1qKca4pOB
9rOklFw+/pSp+2MmwKuqDDrYgkwKenSLMOJUYimnrC4BC6ZhDyG15/vEdXWbdE7shQonypmEigcf
FRGdzoi7ZbIMe+O4UiAHoYUbWf+F6c0Ya81GbpG5lQ+eC31HPPn3acCpwZIptMiN/MUOiW3w8Gus
5sNH7/yre14xihFFkwMDh/BLKuupf/LsiGvBMbjMvjwE9dELdDtKc+1yfPjooA77RamgDvP2MelN
/uJxFYW4MEwuck2rASo/RD782AeRZNN5ioKMzcLzcY/Chd+GwrJ54dC/krMbbyss9kSNSgZER5jj
QhZss7K84ldKiviv79VT5DjH8C0h0+UaTtjM5yvo4IZO8MJdh82Lz+J6jmf1MMEY21hE9TODoI6T
ZmnWVr236/gY/pvwwIvCPL1av/1FGt3fdBnzmJj93/oEqcvV5MfZiTpyUJSjTYn3qa7LwPVKf7z4
NcicSdlvpeTHMqnWWSTkZ1R/YjbWXU0WiMaEYFbj48U0EoT9fkp4aiQzkCxJsx+ma9MyEoHAFMXM
OSWWUH0yr4SeCzW5oMgFFdu0/MIfmW8vX4eNWDcLRpJ9awK23ATk9p7P6yq+rAdviMO3zIBS5bm/
hX2LkApmV0qxFxaCFFJsatRZJhtw7JS8NAitFxWnTbMcKd9nBx3LMsRM97F+fCEz6Ez44bXE59yG
OA7+TGBH2HSRTDp9BzFs624SVYB7T6i3JRsfLUQtb022lUAXf18+vC9GZo7pg6S15C/sfq1b2qCV
sQagcJkDUjaH3rMGaCxODSbtr/uEIYdO46Tb6uRVbFX3pv9oneICqQI1cWRQhAA3TPU5rHUJJQWL
b4eKRD3mJlGLs6JnOXmRDR6Y5uI1MPI0QG94KbIhfJDjtX4wK81C1qG5h4HIeR7HdH8lGoWGePKl
Cc1mau1YahC/T8jhLpAkrlzFLYeq47NDdye1LECZ+bgQZivWf8LIV6wtdjJgk3og1tGQMCtrtS4J
wOQEKwoMO4n8AqV4snOdNOcBKbAgnvV+zJlvuTK5oT28NBCA1mcFlHoTg64IOPHdfKHf5M5jvM9m
lX11BnoPxmDyPZbH3BT9VqX6nZrRmDnf8rbhEJVXsxXpj18PvgMhawRYFXfaZ0h6y54E9Tgpmt4v
8H9KOrjaGuWyxJjMUvPtbvDKwUgwhH7nhHxhFUnc+jhHf4veHBnokVuXW4CpGd3HvDlr42+gBdi3
CNyA9j3jaArgqQtwZBG8yoFFWLJkY9A9s97DiXE4z+V42PAQFftdbNUn8awvhpAnidha/CpWYGhz
XcNmjFxT+VmGLGX3bDhujLiEbLxZVTb96ImFzPd/d1/z5D2kigxXcAI2DCjzNgtJ0Z9LiAJN1lzA
dJg+XCyb2nhreuHbEjLPsSB/2HPZqMzsLJbHviURZj3k9mOHFvH725w0BjsKxRdMc8Oqz8vqe+DF
p/pMpEoZJKk3zy3NLfDE1TEJ+/DuZVUFS7QbjMyKNRCa4NIuB12QExH4RdO+Dm+/X2mytBWOe1dV
9B8O0ZPrLggl5LTXKDaVMbeRiVV7W7rQBjVSFHdDB0iDjCYltZopFsALjftZiCChDqsiBclayoIF
cFkdb+y8d10wgO3OpJsmZdImiL921C40Mg4SFUOymbcn+1apYZToB31RulGAoIN9yuhyKcfe+Fj+
JbihoP681v59jvlskXg6imlQpNPPAB8ohpdOfvaFvLPWXFdh7zMIV5FP31hAqH/tQ8+NEj5yVR29
ap+/VbaFB3rwdlcUWo8fDYqu6AOl9oFQTjOhwEVMpI3T5Br2HTqURIl6h9ZVS/z8NQfKWfDSUFcy
rBrQavNCyI1TI+nTcNfL/vfWAmSN0IagyxhXnMBTsxNhJg6r7bh/tshfJHxatv4aRoO4Wa1ayhu2
JVgepJyWfXJV4oApSV9tnNqOL0iwPSKRKuYbRMrVQ9Ia/5Ze5UcZ7ZEiZYIhX5jg+2F7TiOpxEMz
Q1RGwd+ASr0dB0Lb8TyHZKfCr0iJ8oKbXrA/2Wpbl6T3rAHMEnSSIaMMXtfdCdD7p92ekJVrghJP
WupEf16ABdPZgJDrhrZi5Urz8fyKWJODcj1SjcAdlYj3pIaVChpyMVbzXDxeFZMWoBSTSa1pKPp8
kBTiGPCfgkBuiP5/ME9Piwi4hajBwB3fGcdNgK3HNmlGfckzAneVOv524fI/z4Nz0tJlYCHOb4qn
9OBBYm+W59Ouxe8i1GCuadhROrEWzR/zIPkcpMREm75SfYUumFfCc8DvbptGcbfmOOIHY8aiNzmD
3BAMXlD46MjcXWqwT+2IPWFJ56bBaSLllT6OMt7hixFRC5ceunBQJAg5qSeT2aaDAmdKRK6vYc21
z//qKJpDa9vhhqXYG0ZFjxENfQPhYIVHcoeoWYQY8ZqNOPjSov+7vcPVfGQBzE5bSZvCbprStBx6
PwOjsDlPKhgpWklWEa4ThXXdioYsSj9rSaYSSz6NsEORAq1vblG3NNv2QAqLk4SFi6wUCtacjUXh
BYDe0RPbDP8TzpQVoYZNMjAExNafE8Xq6ZV4/EjTzVC0ZZWAEmFXFIPimBmX0Xo3VUWIJVPEKc0F
4DoiR3QqQh5IwtMUZtKjVHS/i3Mru+YtQ89aXDBfo1WxhMs56QuVXyQUtOkHRMJVG7j5m+4aYdb4
Nj+9ph0taUF1erd2s0vDZYgDmrKOhPbuXC/GNRv64Aqc6CTkTtymOymfia4rOp8/IJm8sUlD9KKU
rJRE/zFpoODOBcHE01I185hBhEm2gSQi++Sg2rpLnjehQ4Cd39eeQsKvZXuUyly1gw18TZ6YLVOA
zPAgnSNgTFbLJZagVyO0F8qMASiEdzuJL4KepQP7/mH6NbFkMKvzaITNwnbQIOrB31C9eIF//cj8
o8VcfT0ell9LDCyvxR1lMfg8wTUrKiyvLGtAqxP4Zz3SqphBwW1J/kPNUmuMxiCbVZ4g1dt2pegL
U3AQQuf4GYMiygfmg4SrWACuVSBVhSScF8GmrNezLvdnDk2yDXNPSjzPWf4IH0TsCRs9OVaHuUd0
F4ZCoS6+v8MwiAHFXKnM1SZp9wj32A3FUYhw09tjMn8fWUdG2tXULE7weCkdHVWkY5RaP5DUFnOz
VMXtnT8VVlcscFPITa+v5iwz7O6gbzMKF3p2KXN6HEsN3QH3Y8Iw1hh6dfr11Xa+3ZaJBPXzbVlm
mDIQHdlzpqaZ4y6s2onrjo8C9v6YuBH41Behc8GNENiFtk7mQSofCRYp3XIhWW+kQZvyBZwXeFBS
XJw28SAAvtjZc+Z0YSNwkzn41AFsWT6Tmn7rmC83yHCf9sy9AKGtCXHbIoFJOkEH32G6B2gFBQKk
6LM6uaZRP6IE1oMZg7SWqmpxtj5lBTv2xab94pwZ2F8Uct8P6nOn7mQparb4k1kj1FBw5ztNU6uF
FMlpAhSN6ZCVfI7JlADo5YIeDrr8pEmMs0aIjQMTFxfBxqeFCB/EVoSREYvyC4Q1/EU9orPmIQbB
Q4nq7Utu5/deDUjcQ1moHngwZeSkYLETjJJp7r4gYFu8t9rYOPh1StoTTm6h4MnvyfWhlsb6hN4s
0JDHLmW89fT7sDp/h9JX0TgTkEf6J+pkB7TP5ilKAJKCd881NPXG1NmEFTXv2JjLwUksv1s63dQe
P3MVVY6bL4R3fDSMst95HuGrw3BXQyXj0uwMnHbKR74f/xA2GLhxrt3XnJEo/8jNOBwVTXh05RCW
AxJ9fbLNYE1rsQTvZ3B1ibXTiIpfI1WDV6N1B7qqktl8kD/QzozHJj8yyCh/Dc51DVQh1WGYamnV
eiCMw/vFqXNylnlM7eeoQgK4z1x8K6c9PPz0BRCWyLgobG7KbrhfWgro/FlHhk6vm4XoYdThPRwB
Q5jwJlN7D5QiWqNvoLDe5kXJl4HVVfL6jFrzXkoZxq8upwsaqStKX3KcW0oKaigkdwv+dthfyP9a
ccI6KpfMDmcpCcDYU1/Nziy7j99D5TyeC7rBVN2VBO9clgEZk6fMTAqLD3vyk9Yst55R1EP0oOfm
5Sy02D+blsmwfcAyeFjGYTgoF+/rxaXbnmfQU1RRiV+Vr1w6uO7tmDC9VjwPqp05RBGdhwqqHjju
7a5K2ZxntzKlRcpiLiJyZb9vH+hkVQ/J2Gt7OS0ap88Dan9+OLEobN78/dJ/9U3pZcluC36HB0Q7
2B+Tql+om9UlqHnMYHHLCi6AM5+PzdRqcOihNX+kTpW1ETGScvVgBdrePr7HkI5wZCzRbTvQUnb+
Kr2QSCfSuJ1M7LMNPvlFrpGihDDmALzLTN+dOTZeOZjIR0uJBFwEUO26qnpm+pTv6mh44JpCmDNr
Za+7607WQf8zUUIOk9Cel7ZHsxFvXG+ZW9vnRGT+Bn1ESSjHWnuz2HMnNIUVFbs8F5yqsw5YzVEM
96Ve3vcvxLVT/fozwEjoSGMw9jcah4GenIcVnY0HaoLRav87BNYvZQbApEsxfiAX5siZN2wntEOG
MX5OexoeWlnbjjsqeaonDfKxKmXNERntojUN8pj3SMnBzNAlpc5B0HWShDJbIlmjlnnSz9CYjkKp
ZqWLrYvh5kGSGAURbrse6FPkiPMlpT6EeeB+19dYDs//EH3cYDTPD0jQUULWPtv42+09/oI27Lgq
IwHtS7hPb8KtN1Jt6eI1scKQR25T5Pess2wxwIfUQ73e6+w2Oe4fP+xorokYGcyF8GOVNneMKMg6
TmBn5WlrGiPz1pcfWx21ip+UnGajDjj2cpustk9JlaEvWYtDNfW+0JZJrm7L3Fuz4AtMOJ9uS5OD
zcsMzCL4c0k/xBz86cMikuHxfCjM6AM9MUSO8ee/0daLRBAKv0lcQSyVA0S0utFLx3w/QAIJjOgL
zZP0HtQG4w4tmWMKj8Dsr4qooDxVOq1AWauvRrhHilwHDDKKyHjMI7+tc9X5UocwKNNDF+l12G3e
EpHyXcmFfKannIEjLArBA08ZVKDixlwcgTbdphNhEp/gtW5eqbvpZZejAwFjj6WedAxRqSgVWAIO
cOWvYO9HHMnY7oCBjVAd+J96o5/Ouu8aCo032o62TmQdckDw7Bfgr/s0STygaPQ4jIBhb3KZMyP3
CLusSvUT/wkhdA4+RPeeo8ciCHOmGmOW0a156aHTVaqiRi9YHh3JGgvwLdxl08xp2HKkaHqYR+Fp
umMRLSBux27U55tioA93XS6mllS/vnEhhdaGy74JdV7Ug4zo8+hxWp1gdogfOZom9D0tUe2T7Z6N
jN6sjQ0sdkq246N0rdrZ7O03BTRzEaeaJ+ZGReuoXcPpfOLZElbCiexNhUGB61suabksLdg4t0kp
Z1rIc1UH0lt8khsdlXh/iguVf7XYiwRJfjzwlsfuBHX3fw4arTDpBUoT2KVv3GpieMQI0EP+iKLG
MfgXZVK2UVwaoEYHW0NXLZUUGs7qW7qn6cuTL1CLn69g64PwmNhlemQcmV5Lr1YS1PND3NkWO40Q
M/jFwfS0EkByZgIGFBVonEfcEWs+ADVCtOLYliPkcWAj2Zr8DnLAamYEyfQQibsgU/vOkc3y8RiH
+4a8oDA9gZiWqmtDBPudVjQ32c4SATc1YyWVXpkcL0E3DTtkoPQAqZf2eYfTzVcC86IwipmFj8zG
DMJfIngJ+fAns9Upi8iDb6tnpGfP38g+66jEcvYGIhAmtsAAiv8WJ/AaUSqZjV/XoGSagnMHx1tO
uWoWioEHFnzW6KVsXax70rnP/VNENmE9rqV3gM99Bz2o70p1WWVF0591n0Oyq6Ig4nK56IkSc2ht
uNMQlU0sQoXMMNTEArUQhAamhkyNKeEfOptOzJTKWhhGSELHoee/f7gqK5rUWcALFOSXC06I5ra4
LwOwzvRAUrykQeP717B5aVGj/x6NyfUpAowppbpSmnoq9zai6LoeIcyKZMYpmUa9nHRVzhIccy4Y
WvoWAGjAV/N2wX/Rv5WL4S2a05HQG3CHU0fTGuPQQYmXqNQiZVi9U1ywPR6WcnOLtcrkNvAmL/ss
RcWfBrkj2gZjW3Meilm0jw0+o12PeAGNo1Ejq5Yy84o9wwFOzcyGC6gyOdLVSgzV447JKQJZAvVN
/C8xgxIYPRxeq5XDTUALgVE9BDq1irMclrVCoATiCqZYdfpm6fk4NuGvSVvzJLLmUDUlVNjIyzfb
LnHCQr+/Nv0+4WN4RAJoI3mTC1AOXKCG1ou+1wPWe8XeYXtLvVoxwpZIMZ259Ur2tDukuOl+8f7T
EFcn2pMUHf3lvyUlkKOmLIhLvaaQ2ZKHU3NglMmk52+GUbpB/4U/bYNCITUfHQglpQHqZHFVM7rY
H2AQ9tATyaauEOcRQGiZikKYf2NMP9KXeY9Vt4Gc4Zt3KC2ZUCZN64uj/fdRurEmUq8m4/xMK+4f
nyD8mBbyyEqfxoi1Dw53o+pZWXq69OV6j7eFbr7m/E7r3pRMd9TIybHm72H4q3KWbSCYgpx17ORe
pcwjCWyBuRBejNjK/x6UQ6Fa3T1fHazoGf7W4/JMR/sAMdJ6FAY51WHz8zBun9gXV69qfvJcRGjP
Vhu1io2xOp0cgi3mD7cEof5DjguQPOaSWGVC9dzzRAWbVjxnffZj+QUKtGnxwBX4e2PCrLTpFUMI
NazqXmCJt1XLoguOa+A1Qo1c/6PuzFXdtPVPim82qLUKbGjiqF3Mj1VFp1vjA4071HBkC9S/D7y6
VYEsBss=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block
QCuLdQnOPKmgrfnyL3TzNGb9MmGOftaeWnZajruW4TIWVJZGVEgLgjSF5wLryqJ5txV4kNt11Y4N
T9luiN6S0pJsXIWy/g2VK296Rfoj1ayr3yKXft4v1ZjlsJluD23Gb2S6V1ShQ8J/LaEsTVGhkky0
o2Xdjb4LQ+LH474rcEnHBQbmNAXCZ/+eNXbXEnVyUh2eCsmZjIiH7exgCiDVxzQSJ4nGdaDwuU+U
8hki04VxXXRlCsXSccZ3WHNP2J/z8ptWc7SD5wRJW1aWUWLitFpaX7aMDQPMHdjEdfmn+thQY9m3
bR2icjUJC3wV3SXRcv9eEY/11pVYqW967Hi+qv5q0LJLK99xwnveD7GLbHdmVKQGGl+CCC98F4y6
DEOL78vCIYE8TEcb66OUhCu/yscfhlG918dv2QyPkXsKYR5nMy60ZH58WCdTwEeb+fvAkTr247WW
8d2pPWBAQjMKt40XGNjL5ndFW9XYq3vnzeG9Z06CDKWaqouhUFwhPGsUHB4sEf+YuXfSCxJSVNlr
5jHYTyYlSGUtfkWaEdJLbSylPVQHho3u1+VLsR0lDadL51LfTHJSIOqvaH1+ZiVu87dxCQPT70eD
jz33jbR98jlq3v7ZcMe1oP4bP36/GsrBoxcpy5cjFnxigzIAGkLKQZh3QChKHTErcBJqApage+CF
m/3xWyKPMPDZUJTpQXu22ZZqKIXBiDGRId6QotUzjgMA+5BFttLK2/RIfZlGm+/x9x6tlOOBMW1x
aMggi1wEBsAyvno2q9WP7FfwCePnaIKqcHUaQA/QW9yhkszaO9hm2J5GnQhWt8palmM0ggEoEAGh
nQDpiBcDltI0cUm2nyc5I9xRtCBeoIUq1DExCrU4q7WFw6U0w31DGnwnJZRmgaoUC4En3CDRFW3p
1oE7BYvJobFZXHdbJ7cVGQTfWzuO5yBulZSAVBY6jbEvWTO+YpJaW6qfF/cPd+L+Gi+0AxZ68QlE
U6/slXs8k5HGAb9yMqs6Uuex34l4paxkkxRz57/41gKgj3VAL1eliLWZkhkk1TkFgE6GO+ss8aRS
uWwZ+SL01B2YMt3g8Fq+12zNG5Gx3f/m3Wc62x8o9yiS6kZ2rI6FwyNlyvVDMT7C7al2Sv6igUST
66Ot8NAL8bgb6LyAzb7PbjWXo1FwzxpmAgO8ctowDaIX/DVV5hC2SrcL0NdlflWjn28nRt7nGwZy
NbBCm94U/FkX/IeRvrZwXqyhnm+sR3VI1DHqmd5fMV20MUjP3LK/zghF9M+3ZcbUdFlHfzjS+t7u
WBWKzW3A9ifx7O5HqGG0FKlm11jvNl4WXyjoQhx3cbKxvocWQ3BUmh6V0XzCygyFEwaJFk+S8qoj
DlNGHv2ctR6VaOceXZaboTjbc8n9Zm91WHamuUfx3aQK4G8mThjABQjpnDMlv5N6b37vyRU0ucOQ
gH8BmlescyRaZsz+AOvr09daFTBxysHufsUlPlhrB2DuqviOEjBNYDR3BDh9ARbPnXhg/y4QpvjP
U3e5LT/3EOZhdekKi2slwCvLl8NKbU8V0lqa26iyxFF9GDFhIAPrYUpWYGBk1a/sHL55IJDRi4md
ZH3xaNDm0ADzTbFyIT7QSyH+PfR/9kzk8T3fNaiLxB6M+PPTA1h74bMz6YF4+Fn5t2qhMIFgZo4k
xx/YE0/AdcrnlVh2yVEZEcp8lZ+9+cZ0Ylx4zniZiRnFixF2j9grh3AUbarGr/uEkIEeEte4bJ1Y
75bngb9j+cbSzPLy0xveS25L0yrcqzig3viI2M2jtT6EPmglY1dPM9zWKeBZNlj4xA5lveaghpNF
Bd961Yw5C3LNJ/YgAj0ZM+U53bqeh7/WzehKdVFwnpvRXaKeL+7KXeAxvl5I9wwdTtfc9rGOOiVx
SXSmawbhEp9TF2ov8k98VpnS5Mek1Fk3taacNR1cLVgDi6+e7TsT1DZT2IskZ6W03W5tW9Hx2MpE
vM6bNt3wUpduKvMSHyBhbrK8ov6MCNsmKuDTEwGutno4JmWg0EbWhZn7a6EwfidvVpB+wHafceMK
LKkhUmWSj/Gc1foDOaQFEJoCyMEXXHd1jiPtqN8JGUwcMo+CFmEz+xDS3YLf70DiYOY9X3lxfILo
WZYGFpHYMOmaMaSBImsm8QcV4oYBmezWK2FizGt5f8CVsx7jup1yyeGOBVcuyBp2mFeu7X8NRvKs
ZQNuG+GhukSiimjwen+q9GuEs1zSj7R1zKjBtqwv3AZPhXl9a3PrllXxJ5MFATeI4ixlSIbrPS/z
ZPzrZIbxX5LsQ5NT8EXqZPyOkSXLPDmt3C2IstNt/LM2jNojO5sIHrdquV3GVWePscysl4Arey66
nCipX+5tLUTTWgnChcT+D0pwFX0yHVk1Mb7r4J5gT++elSQ1ypjfp9W4B/6ApL2XiXHzOHV/6Mfr
taJa32zN3qUZRgq8G7U/QZ4nwjnarwwU6CwQ3bGcKpXajJCEwk34rM+D19UcLq+r7weIRU+4Kh4V
xO5361lL1sZLu1CpkmYnNgf5c+CdODCDP6PZUad3LFPJalpY6NExU2KOGICqBYMDOCDu6wwnW5bv
7594EaT/YJfGQE1g9mlG0oargTipP/Oz3SY7qBSVRAowWUtD0JbHhJ0gNsSeY1nJ4qXGtZEPtexC
b4cjO3DTFftzlgH/8WdmFzVbcTHf5BBipCIpOIXmG1oqI30MXUgQegL1ihceyABZSY2x9U/m5lbh
I6hi0O+9l1uVPL44n86LQaFlW8RQO5C/pViIvQOU5+Uq9r4XMxtnZ3hgBXircUmbJkNEM4u1SFLZ
faIhxy3shZejztHT71qWTYq+z12E3nAX4M/Y+2kmDotnsh7xjbTRN22f9ecARVGlenoK1SPaAHe3
pzzKliOwNoHz0CskWe+EflAoiEdR0jLvIX3thfvLFsGU3YXqyHi2qZglEhLbk3TytPC7ZPwU7lML
CuMD9RCA0Wn3Gf18Hg/YuyB3OgTyyd8ZrPLVvZN6BblDq3PsZoJv8FzEMoi3xiUQ+Loa2vW0ba3O
p90HjF/mNsYRgWgfcAhInfbZms7TOfGtVNGS+IAEcQRFQ2hn12p+VtQME5EX+QlIYgIT8Pe+wRg6
XZHzisskV2OHERI4QcgamenvILTAjSiwnQRLxXFr8OaHLV8lypUbCipVeGmw6twXj9u2geT3/WKM
NoSqQMZO6V/aX1Ahf5bhd108NEHo3hc+VqhCAxbbT1nsr2Rb+HRNLW80wNF3XSMgbrU7TANyyvDv
6UX9Qm5/kVDxKa0+ZKTMcrqaxSkRCejdsZhtnwj20TaOzZRcticyCjdPuvVSFpJsclEd76BHZBbF
s1Xzpw+o9vjCTQ9yFC1QZ4LtG7zGt9OejZHhZ9XqHDMtG71o9k7BHvUMgGXc5E1kLNIZuO1Vdc2e
Hzyd6SbQZA9tkW/u2vnLB4FEGgopg5LBs5EJE5MeK6oJuUH9ApntbimhVa7m9VFLnS7MIYaaB+MJ
brjIO/nHUyE/HwNagJzn0ym1eNb7Xa2obcgNl57QQXVfLSfpbpuQg8PyLus8UyrLnuX0DpRpmLeA
3abzxfFLWM6LvpAuY7U3KXgWjrCepxJ3H0aWxXbUgRyrOEpoNKD8b6+zaHbYQzPYvD4uiNJTWnFv
6f9AXmLROu+1AZLnK2YndtfFHpJTYCb3OtM4a/D/642j3WcYT03z4A5tgxwcuT0gIfJb7GSyvHco
6HUd+DROvv/MkACw0fGfUKt5lhWfQFOLqii+uXQFoO6o3GSdUyx6FCPjTF64e60wShUpu7+Hhdne
zhkPYebGxVDlI73oQDlHr7Ba6AiZUmyfQ2TUdTgHRHU6gHmlQEXLSq1jg6CwHAEhCiW4J00H0sih
XII3rDkKyWbrdEHmbHzFoFezVzb+YyyaBS2sVu3ktu3wXka/cLyOdTeCM/pWc7b7qKjEvL1HYNuv
Hn9a0xjL2egeh42ZUKEAFltbVPFlEhE0yoX62GZdGJUequzEkxweKxJ2kKmmJlhihKLAiLApoAxH
Zl+jeOFnGgPUq73mOORf5PApNo2f8Pyd8DD7flDdcyHR4KFn9McF3VabjJGvzF7tHTV9PYXAv7mF
oTdGOJx4SEgCv2Mm9ZQN5oplTkO/6MNnTdYTTwiELwFmu8LpS6SwG7V1noVBVfpDZbgv18DThMnt
2wePKCXUBk7LHid7/9Iz2g3MBunpD/GSWNv80pbwbuysTwaW3RtV8tyj79klBHwwScrxFscXCEf3
HfDfEBr5PZ+2BY9eU28u227VKn+lIvBoJebvTE3P/hXKiqERKYAJj6qBAUFjItd9cXVZVdSaNdsg
Q7eKEgBEUF7m2mv41fTZO90CAttvV2TA9WGjZtDfAiv8oeAnEDzaZLCKlfigMRIkbPkwbTHvwYY9
Cme7LCCNPmfi3uqPDq+8f3hl6FN+UAoPZDOCbvYd5qhUi+TcXAl/JtQjkb4dVXCBot0x0wi45P+G
D+3LjcDSwH1eaW3IIzP018ILKzZfQgs9/R7LpwjyhUKH0/DpXh/qj1bOSPFhhCqx3OqvlJYtYk8m
4fYGJuaMLbuUvzKTS5V+Vnf8TNaRa9ywI/EYuNNo3iMbtsyM76ZX6g+wcpeiFbISN9xGB0QbhVDL
OpJzxXbh/eeom3M2Z17jl2WS1mz/kfaPM0t1DPaX1AApTK8Z2i54e9noNA8Q3LW915/M8yPuRpbZ
GNO9ah9yIkcRj8LgUpF+9TAhJRGAfjV24dRN+C1LKheLeMYSOUQtZTBEoTV0si5Z1R8o6Iy/wScA
yiKhVOb5B8RDH4oDlxJ31v1n6UkOXYsScpOZuRE+uL6c8glZlzULnL60pZjzBXveCTbQDmR/EDVg
KmzVLFrBX9S4sA4gDBpF3ldu0vId0n2Vu+PXBXYYwZMyW19mpjgjwAwt2g9WSdsspLB6F72ZK76E
T67zxqHz1AE4bcHoYn5YaxjspTNjp5ZAHjv977WZ243wkAw1mKf1sKQTqE1WlI86BCYI0tOMo9XS
kc3gZJC5z2C9aUuDfG7B7WSkh0b0Ql23aBZWCSWvIp3gXF5AxsnyM7OXuw0SLtpKIPnfUOIDuxRI
EQ4Ji6CgxplGtYk/7s91lX9ywMoszY+CcDjeEs2InhwYzNQ9uLUQfZ5GCX2GuHFisL51ndzka/2l
6Bo+aEAIkPBBgNkQ2j+wnN29w2cwCID/dO2NCe2/6w3LF6H+7hQ9fdA0zrezBJnrCDVNH2CwdWY0
5M9F7ZnNpKu1a4kyOdMGV5C0NZ1XjAK8S5RhfAaeb/sJhIE/ILPOKlIn57QJ4WJcVLTzgqEcxtqb
WrZqBak44Z0wzyNGXys8p7kC/++92Vo96GvlZCLfKfquDHMqY9sOSL98wvt/+T5jbRuExX76AlcE
TEZmvX829FhTWBAw3VYmcHbc/Zwz2Ih52ZRg1Y6kK7HTE7QDSdZLLiXImH0CMAfAWjc63QnabWia
9q0sBSIATZGMl5lVfCgl/eyKcLexNcMzj1cNc1qK4NDDKMVw7Oe+wUTwvCgoZ17L09iqfnt/ay3K
A3Vi9yVR/A23im8DNEFrR73dcL4orCA1yC5MN2Ma+RL7Xzbg9/9JWBpKzSWdTzgPIwawVSLWPhBa
STf2xzAJu3MxEirc/F7O89dd5RYSnyRTlFtTnMFmKLryzh3CSuiEgmiIq8jna/WXweEzC+2hwPd/
ZfsPNzZk4AE9ydywDCkCrmVJzM9tB7MBtyrHmXykrW12HOM+Sw/XM9+bInUaEQgxDl/jXMlpDMnC
dih0QjPRrPezl57FuJ43PzmYBv9ZF72xO8QsoJBZ27ACm6AxBNt+5CkIUCvQAhsQPcUb0BD2tU4O
KVg7dpO9BblLyKpvtyL/JGZYMqq33VB/v4z8trSTKm7Rj0L5btDqOkmb8xLNslsFRC0imdvAG7qH
am30mxwj0Cdx2zjSaWicPNfRYiEPAKxwVS2LDa0OdeinRH7FkNUiSPicCjiQ60FysDxuw+Q15xw4
VnmmWYCeYGgmrAa/O2frlkE04C6MIrA+6gDs0LKgrA4GBViTHHSXs8V1YIw0oaKI02bR7CvvnfsF
O56K5VVwxQYo8vhLNBb4nWX2YH2B67QoEHN13lyShL5xYQm2MUZB4aEnac546LJqeeAi5b4TB06p
ZigiTbmVo1B0ka0OJc+vUa1K0Tg3ZuL310ENIa+sOYprrlUTP5HJniCf5LBJryy18V9fBL6tKarg
aLFbC6VstIruz6SregKwO9BqkXlHjyhPIMwXLqAAU+fPEe+TROsCwjtf2aqmlQagAxTASzuo8aq/
3BelBLhUsH86999EMqUns/n8US+l7HRyGY5u3hsujI9BZyo3OWNQziJZLAci/PMoIwNnTnokoCuE
jmU6xchw5hHLsKYjdBfd8pWSorFaHTFWqVGRhNPiRRE20YPQhw1Cc1fuZaKVUuY9dyNmMB+WpkFp
aCSuPIOkkAbOlkqgx3aLD+SnJwv9lCWtgNxun4FITuYMG42riPMG2eoPdNbC9mno989zaQbXmbm4
VWI/3yzvQ7XGVyfJ2TL0/sw8eRb2Yrx5Lz9IrIFCWJnlISSin8NwtTvVKl0434EflWuas41xcTT7
zGV+d1lqXyoOVVA/4R3NyqzTr0kbkrIv4LHYXCbIwaLV4p86TOy6TvOPuuof06vQLySNf5t7TWRs
tBOgcRWSjJLRoJZVZyOc6j2Z4Zsrwkdc9ouviLiPv61FEPLgaZ/ab9ITsotfXb6XzVSYqhbZ/05J
bPg5LBthd2PhFl9gz8YKczL3LUmTLEyuuXjK2ORfE1/8wwkjj2pBnE62d0vNI8qunp+vwjq+6v1c
gBvog+2G7ShyF2DHdodJDllfe3g8KOh2nnDTIX8g1T+PJUsLuW1hjUR16wI+Jvk1FuUFnuhR/8fC
8VsVTtCI1wRG0gh0ru2P2EBISvliJB5QKwFxRGEhO2BZKISt6WzYv4bArSl6+J6bLrF/oz8L1Wxw
pQDbFIGqiRvjP4Z7jtgBZMjTaKoheqP4C8Q4wDq43QHoCwScctT0B7fNPpD1SxYiIiH9WwSbe3Um
4teEj1G1IOdyBO/6YWG+/Zdjs06zuDboMB0NhtzfgePPKDIVRvMHYo6m6LQXCjCKa3qG83alhAes
Y2GJMPCCn5ztcKBBZLRcXxXrpNjaOxKNimuR14gNaGVoNuCIkeMJm0PRu0ST7lHN3IjUr30IMhBI
3vzIGNpinAzFIPQU/GscTVBfuoArwMlzlbsNnUWT2Lwx8VNNLAAAFty4+kKnNMmqOM0kJIPlD2SO
F7CU4XPprTL8Z035uuW+9gfbZsrQcVh+Ae1GJQg6zhYYaL1DrsLxz/E0y2VyU8O6eTCagl8flf1r
t6Evat4JhNomT2HofNsyfKpuAx+qgMlPaz0zu4201BBdr+R/lhUl3NGLRsVSaxpc16tlGe1iflHw
roJtyga319XCquurkR7UYr2QwOKg+8Xp8brB4n4aAQajK6Je8c9VQUXBfpPaxCE9YuUI/ZmBUkDg
5t09neD8QCBwJUhj0nr6wmgg4KCz425pM/oHFj47aaY3eEYNSnK5cs7ipI3964ta8NkVvIjjNNuW
bMkpGijKAAWNxii5P+gyltNSGrpPVQaEg/G5l2aPCGWMX4KouSiBwfJcDeaUZjgTYeTxWW3KYq0N
gtkVPODFMmnk34474GP6HnIBowad4V21ogiRck0a30IoW9stLjmwI3UCXTFDQCQWxSaPb+c11hqU
uiRSasuPbDLjYDM4d086jBMzvTgbgZRk9m9FKzJ2BmAHP5H00GB7EH3D1n6kU0WZ8PKrKltGZ0kG
6w85I/4Xf7vdoY+GoIa/Vi4f7oIZVdUDTaQFw3nuZC/HxBvDvTuvMJQRQxJvt+pelmdNF7E2hjwd
jHrsQKeEEKMqdOzIhbY0o8KKqQXg6cs0gujnjVjREMDsLyAZvoRoVZmdYX3PhMu+56caxFStPkGI
Pxx/WPfLQWj8ae/OLxU0UxLGDIxa90tQN0jLaYFvfopLiwI5LOBA7RO1EFy8afCZgje/wR6Afjsa
cm2wbjwOrCY0nB2uRCwSkmLvGOG0bm3CL2Lbgjsv9ijbSELrCYJZEG7dFsHsHiQ8OWgyjdjHLKDI
xGeaBqTS65wFbu1ciUiyxC3DGjavxin2R7hoHtDkyEH3t9JxhnmVEEFnG5PiRH1/tkhOoj07Fu9x
zpMnx4heiYx/LkunVY2tGikK6TZcNeqTYVkaMLiFSJuHHZ5B2NQ1cz9J5J9rgIw0g2lzo24FdSl4
7QI1IHvGDdvZpvDbRZJlp2ZxHNs7JZQAg7TqdgyMchDSDRnR9+vWEgPoUFf7SgbrpnCbSV2uSD99
AskxJsAiDnpAsQ0zaiupgrhyKWaiDVFaiV30aRnf+vl0r9Te1e6oC4Z8X6+kOvx2KOQJrJl3w8eL
FoMd3Pt9Rnfl5JeGV7JmDHnsYpWV2rTGPx81B1BvHXIEccsGRFYMxUPQ3slrTXA65dm4RpluQXKw
1/r0NzxHZmdPa/gg08JaFbx/XWCk2gkvb4U1UWFAZle3qoIF0FI4Mpwz0jRjY2Vy++mH+4/3Zp7V
yZ0OvbDMIyXEeuJm1lRwLFlY3RMJH5ghUeRO4f25l45EbU8LIw1aaZKqjBfsuSnEZII5iUu4B4ik
KwO7QvMRTThTx0v7BveDo6F8HUrgd/QCOGgcvgK/z8Vzcyx9/0zLjMERKY2pT3zELXyPznKAObVW
Lokdz8JusI9v9SyZNW3pUsD4rC4weDb1tb7VNurxhLoL6NEUPGC3VcD5HFpW8NMKjgCfGnhS4S1j
Ntyv+BZRLn8mXiqXCnhaNQTvll5ijqb8AITHWPjaJz25GB9mO6tKIQ8nKlwWhPRcET1J2Y4C9O8Z
qObETucJgLG+KWFHXKd+XGLJDDdgeJgBiLjkXOK074gnPle3CSNUzBGQGCO9u3/RauopBng2lVvP
8/tmiytFhO4bjS/hslob+iLHObbUJg0U7xPoIP1YyNDep92So+pOpS1uXEsqRZ032qeQct9+fiPe
+DwKpDV8tMtUUT1hIOKiv/JXCT3Ebjj6n+r9raDEE2nJlpbH8p0cLgWsXCvIgxizyb3q+sa7NbUE
jddJzle7EDsx/upKD5zjVK0fJi3SbCObHpUGMCOktwOSgWEDFhEEaPOY/HUhbXZio7M2gO14q2q6
cUgQ/xcLrNdbZRKwwGsb77UsvRxqdUttmNeM3MPByAxCI65NsYWJmG/QMmkf4JcFRTYVaGMYgMGL
vh5eoTwVAzsB6iNBQzCG0bQ0NeRcuZk0W2e/SpG6taq5dBtjBdeYQqhGOIoI0uMyUsiNpcupnu1t
VayfwWC61ZZXGY88ng4F2Ng95Nxr12D7nZTewi6hYeDVTKr1iEhkfv70XRXgn37pKTptcRNJKYKq
cR8BUrPiMesdD8tqYQpxMd0SZpZVzTsFh+YnMWU9KdECCP3Hd/XJy9Pt4VqQThQehh+Fs+pV2Drv
ozZCiWIDc/pdwg+zda/+EyEtyzcEIskumaareIyfbz9LjZ0x+oA2aVJWEJQYqBobm74bqA4u/R++
B623RDq0vCioj9I7syS5brazVgOBH3GfkSVc7WZ7j8JP4ulMqtvAj+k0B2OdwJkBauDvp6trwyUJ
pCFfGYmi0R9F/NOtuu+hY8RTqjM16rtO9xQfI0S2kN55v6rSkA2FLox/c1KnaXue6JSmQzyKzHLC
H+0aZP1ErVZ5A8Fab7+n1XE0HfE2rhU+qM1EvFROrm3SZ+hiQphqEV56Vpb/l03HvqJB6mXIuBUK
qu8/dF6i11GKQrzBjqR8V/V1FwMaDXkzDlrh0gIS/ADRi0ilhC9D4U6VJ9+4D8e/39vJqw2A9ez8
mhg4AH1NU8i8QKv2okcaBzYPVPmPKOi1WaUqD8UZt7SBSsxPpDfW4HRr3/0Xq5r1Pg3mfzMLjJ2H
N2iFPVYI42jDuEQPtNUPTm+CwTlinKRoppLfSLKjYsQXG7PZSKAuHuJ6ISasSIqcKeWDgKb+b5ly
jkqW8Kfvuxj2AroUK32JMh48aLXdMOyTqXvoChDk4eegI9Gg1FZJ8a5BTQut62DU8kC5LtbFDmsv
Q2feZdBD251a9p2C2XNPFJL0eYu2SEsOGMEMh6Wg6wAdYlCN4ZK/YxaZgzcPlAya6H1VRWqF1NnJ
2av9DNN9LBD2BTpqXAXVxr9U72aSmxjUImJTezuDB5EzmHauOMwXX2QxFgQIaI20N7QCq7MctQbA
EIx4NybXrrOj6ly3sHeerRLRqGOnkeU4q6GDDETUY/OQX+JUNBUljrahvI//Dclp0o+dhuqPCGm2
JSXMWCE+wmAuwDB5QCbVffVT4w1rqh1ANjxLCFlwNlVXj86hF2xilwHLE23nn/f8+PgHuMSqiz4I
l25Q7SmZLF8fApLmu5keYRR14QsiSl0hWb4SMstkWaWJ4DcXHvJRb5T6PeSd/9uk43Xq9sLNH0PU
c4euO7Op1XZk3Y7XGwE2qdxWivQS5Y7ulnnSvTirHUuVsbFxRs70CwEy6RBSJAYNcQ+CKZu7V7ws
g4P5RsPSxK91weCLL2NEmGgTpci6RIK7HL5QattccBSGqh015pkCIiq5BUew28FWIO81F8fjSII9
hGZXocDj8pMYmCzgUPd8LLQUiSfxGMS+zecrLSxFR8/4eSZGhNZXZBcglCmfMRkMjySEqx3ODi59
Jb6kfc0RPUmvLBrKhTPSOtoojM4A8fiSM9VBdxtZYUZfR+Qj/M1Ep+z2SmhzQkHPzXtxsGUDTbxF
B2du0Uhb3G+GYcMVZ99lBw7nZ9jA5cCjtQu1jRHb3VjffvgQAMyg84X2PhwQp3yS8sTD7Ju/jxfR
JZKspgWtk95OeVWqXbLO2QlKyay/lqhf3+esCtv162wj3eh1S0hyAk8cVhDb8i+bKKS58o4Z96D6
TDO2nIeWXtvkhrDpWzb6QFvuMGZljafCgtbpu6ZIrJVOXSqsobj4Ii5lc7uSRUo86yvvSndEaU7h
eB3KaDVJSyTKmN0KubKjCgPuNRmXbXFOjKr+VezLSFcmBgbcAGhx+UeVfLe3kCAN1Bgu5NnqNyjT
rTIxRz15j/z80nCeMINSmtxWD4ETt55w4OiQ6cUNErdN588rDzbOKEttbP73wzjnbtwdLdCHZBYI
lIKlr+r1Y/o2x5eHHhgrlLHu2IM8zxwPWksmPpXDNPbnQtB+4golQ9Rf3SpoCE3zPJe3ukwtrhM2
DFFZCROkL62Jc21G7/QcjFTJAOkccZ7lPRAWMSSG0qGo/kY1eQJ2Gh5i/SZTx/NMH9n4xv3V0f+q
ppAIAunPtms3viyemVCxeDW4aoaPSm2thb4nMXmLO1Mb/ocYm/QngooR+DBf4+J2F9Oc70r4BWZE
nSSuZO5k9yVYhfXniGkHefpU8cWdNM43m4AN+sRvdmIrTvxrV8tYOuqcMhY3cB26CAvr00PYzjje
JWVQ+YuQEzwBfFdT91FvMzJXaV+rgs3KtEwav5cPlAxgyVQjKeB4ZN5ylbZJvxNLCJ9h9UhAtxnG
7c47nSwTgMaxhxvJQFqRMWgn/8eoEHUDFvu7UGZk32Lq49dJ0J32mcnyPnBzQVg5ISiYRz0R+c3G
yDfehRU01U8Yfe6glm4b3E3VSOq/kOWvvvwJMJgJzp/5D+DBwS4pYGo/PIKoL+Wb91DEbsY5CL5J
Im4Bxmg9kn3jzvFeoq+aofiK9Zx6GAjDmcsQsRhRjPKSEuDbOL29HKj1oqLXdmxN/TzRGcrF5FoB
cOte2gTeLUAWF9GCEXygSLBFzAGEz73Qs43LAJfsXAQRT685wjTCuaGVkocw5W/lzRfg4wvGJ1Nm
Y2NuA96p0yTEbRXfSjj1MndjKYwE8WOWnJy3P8iWEPH6xx56qIRFCfSCNhU/YXm9WDCJBg28Vns2
CAV95gwfsN6BmT0v8AYGXb++PhL3qd2JRypQ+16DGMiJ1ZH0VR0YKStm3uKvWmU8CG4lzkyQ1Oaj
5OwcvOAZLXxYHkR8ST70PH0cbYc0ZKql1BSuGMiQpOiuZoejL8qizYASjvKtxKzknj2+tm7GRqcy
c2JYV/+J0HYCLTwS2PraMGJUw7ZbeRBV3IDRwiYcnFUFSshCamlrYbvQ/Ps7u8/oMAmJnlXadHVl
HpIANm4PB4sb/mLJhoaOBx6TihfRqym/pIWbWw4U6M6s9ztvgcECHFsMKU3sq5qFWKZXC7c4D2Gv
Prnj5hk0KGoDFHc0zsoGeLivv8f7JKW7CI97OK3K8LOfP5+b2g/EpbhCQsHixqsIufN2NbBw7QHh
QvTfxcZ3XsMdXiKZJn7ZGOD4Fbp7IH+cktfDvSbuV+sZgTpTwYj97a6GiN2HBbyOYhAiSEBupsfK
ahCFd7WUdwMBnLWgQfs7JGYCSjyocLHkWGpBYDZfkAxujwfByhKhEI0/FokCjjBUQt1fj6cCRiyP
ql0zDUdsNuwkG3P2P+tB5eCPracgIa7LTo/2Gj+jIW815F8BhJjqHVqhWfM45BGtdJkSQHKvBsob
UYtDHcFrN256LRkv2NPaxSF64WcjwwK9WffZTE9yDOT2933DjH35zx8RrXeD1Qa9+F8RNoO4jdyv
YlDhreC8ninvafSPUw9TrgEUf6S5z1iePMtYlxGIehbOWB5n3DGXvZdJgJwVhb6n9J4/v0XNGOsm
JN0IEBs2pc4PphVSJ8SITStyIoZF3WmzpHVs/Wt/I+hho3hfgWHtFUQ/NNrCjRhymBUyp4tbpxRH
DSiv9a4EKuvSfNX1xbaiLEPJG7ZWKvtdXD9GQY7bTOsaogv/AHQ5M9TgGeWeWrZgLBB4TIuqGvgw
qGp7C07+2Bsmg8lTQMVMk2Kc04jPMvAIbKwneOMUU5PFnAHb1owwR9m1P5tOsMum4iAiTtGK6MKg
HrI4gyRCNIe4eURSFwNf0NVhOIdOjhiszEfD6NAH5h2426ouTXjRQx3s/8EWkT6GOrntco60H+IG
a1e0q+sUCrZMXx4Pkbxw0e91vBqjkvcAljJSWiyQXkJ0Yxlwf97NGt01jrF+YuZXKdtVpIflfEyd
G7vnVeBmZqInzL9kmWZTdBWwFiZ/BLGfn0UJEeKzSvk+V85JQQMDBzixqFhinNXkaB+buL5iGKnH
/poQBLJmXATwhkvywjLBti277m/dJqMV7SxFhRlqPgkwWNFPOiktPr8BEwR9LNZRqh1OWvkF710X
J4vJA0ZBtpH7f1Hne6JipSPVnl5yo1MfPgVGEno0jIxRlgPPJRSYH9QOs7S80GT28G70gIg/iG25
/fIigR3iWBD1rzwnAVd9UKSEG1Onvq+JDHd5c8mxhmvl+jXznWYcPW4yRz1g7inw1Qq9F1Z+ozNs
iIT36H4thvASbDRypvpR/2Srs6J0erEwrrV6gzYX40WcVe3OiwajpcZifr5po8lJFuNoqC+u2KUX
nsKE/tqPT3v6WoaZ17/F+p4gXjvKVJ4jrSRL/wMGfBlz3jEJg3gUEoR7MkaOuv2dlaK8tYjaaOPu
vTG9aYhr0q8sOl1a9FMfisWjTsUrVZPFjQTrMv/u9OwrMt2g7C8ha91leSVfYtgOnaMC9hrUB16L
wKfUxHMlh2aOjaVT5sSrD8eWHWhVHnG9oqPcIBkClAbgALM9e20gvKzBcJ21hVmmEty5MhAAJhf7
t2swM89QKzFaHTxt+o8n9NtphK9erWxg+nUL7mtoUQMOb1G7tpyrkFrQ0fL4mdDASiYYomf36PwL
CPF/IzoMXVztvdCwBbd1+LTz4G6YDhUl7PtybTOlRM5vm+GFianPOJ/uJ9zv1IO3PY0Zlv9FMlWV
fh+gCxsS+rHI2CVuGAwlnSQgZKwhGJxVkXqXbE4SgHpQXaSuwjJNYGs7FhbMvvc8XCHqpZaN+6qc
/CpbbeNkHruio3OamgsR1W+elCAEZ4nYSMIS67wQc49xZsBj2Y2j3zRtgeAPclT8x34gYNS6lkjp
F/jkDY4k51h7MGxYgxWRJYliBKGruHbTvntOwEPZ3HqyIMEugnoi2/1PTYjBFNHPYf0u5MbSIN8j
SiNb2xKTHfnM3BSjA3KOUZ9rg2gh3NF2QyoEnCKkI6qp1oyez55RtLUQRr9cZ0a4KRd26nhV4fnV
bQOTXcGE6cHbXJ/zM0kIY1ZoujYbymkVO2OM4hpA867ngruvvSbFAfaFxHlV8+C3zMKzmaC/9Fbh
psAKZWWb1mg9WQb+3Fw7rVqPqDUQRK+2nDZnSnrDG1Rx5P3KHrab3AySY7dL5mLY6M3uazYNZfa5
FdEYzOxtqERvBRI6eG1HGcyOepfcRQ8gSLm8AFi9K3Ncnx7M3K+rZ+3heQLi7giF4fzuXn3PVD0f
TGb0kAbqUb0Ewa/QVqzZq+h1WSRrNkmosVbrgljqjb1FBdXEU0thaMtngDfwhCeyB/F14ty6Dut8
GbnURKIDzGPxBWOwT/T3orn/6mgErzmWCvk+3dpI1OQb3OP8+HhWZswP+SRT6eIMhw6EFF2exiIZ
6sP/WXZNEJf/p9L4rMoy7kjESUuv2pRlulPv/NLY6IHYAUM8odhnfIWh3U4Ec/B+kr5Q9jrE7j04
KxYDZtYWUrO7j6TFGwUAsnbh47ERQrcCvw/1yXoBjWL7gQ4/QGmwQmR1k3U/7+uTL4zYXPJJ1A66
eJYH6nkCeCb1wNWlplPKsDEds4rLYSo9EaVePour/A6r3BDJSInahapC1/NQvZEsPj20Fabu/ap2
u2QABxAEuCF9H7FZL3hSJig+qsTerVkjdu3egrtNi1L3kQA2rpsJ/gd5KBcT7p3xASfR76gof3PZ
A+RYVCrAUH4A4YTB/33Zin836D7e3jQzsc0lvc7hEl4Jj/YCOD8BpXrwFsoTjW8S7WzDE1JEBURc
MSIj1axKdGJEtQ99telLbHMEdPKUqJZ4FPC0en865AIdiONeIEFPuOdmvDkAx+VTzj3m6Z81a8eK
Jnrzm0djcfsNNO/e0GwNhdg/ANDkUN0m4sSMLhA+FoaWdTypzuQ6lu4v9m3cptAHLNdB0z/AOU+S
TAS9MtooH6kpVwo4WXOW7WDViGiWAcR2TCzuTxXV8qHPpVxO38fVXAV9+eITmc9kUEq8y2F39Avq
45oei62AzhSnudej7CdGqq9JSGTbS7GLZP/r+eTL43GYle73eLNjlGMzVCJNQIIKwGp2oX09ZflT
vImHUE1u2ncCIVLHbcNEnsOnCllMKexyyuz/YGgiihErUfYbci3YyUbQW5KeWF5chJRnSJVerfFF
IQnoQGmQDcHWDZBYU3amqc2Miohtn2431JBCOpsgLM7Ufo7zjqcteK60QLR/EeeUwBDTTUaUOHvs
a/YYbD6lAXMFcwH/GK2Fu+unvI5Qlk8SktI9U7NYMw/LqP1TORKvc9E9AC7h/7EuKx7BTG/Y8T+w
HR6emobOVm2TMQ5SGrgPACqk7/4xLBnDXfU3KBiUJAv/w5/shZsTpzLnXPTwM6bCUwkdGFrcNWdO
e1YGWHB4gMyXNgvAYbJpGF4A5Tj08zrqgf4WRsPNJyvp0tWln2NWmZxbyluW7bsSmXdTAePTjejT
tRyUDihqW9M8NXd9qflljZKNG6JVlYMVaGfgERVN80+Z80rSotSJ4Qo17LaAuaAwWQ983wvly3Pa
chRu9C+4CUFLdKATEgprZZqZs01vmq568atvTl8wqigZ74EFfGgt80mMMCQfaKVFM4bNxVw9BoMX
3PYpm2uc+eoCwGukTqZkx+BBwTU1BwaILD2GBrMqmcsyy+Jzb5wTdCz7yy6zoqjIznZcfxsv1EbK
zKGiSHVhxhYywHSRAfCSgZbic3ZXHSCLKpEPLmJlfaJXLmG/9lFF0HVP1y+6R92l7B+RyHyg+iPy
u5yNGS0ECCAEHf1KdVHoktGSYw4oa2t6WxFcqtTf1lOcB5t1FiPEuu531/u4BV8bQzBMbjIU1x5y
+R1zZWHjT7uHpJvBW6YPjs9HzDeBNIu9M/NwAXUoVBFMHzV1F244r6EBsfC31CID+CmHyc/bk/oF
KzuAh6TKAqvejmoZYCz4ZXPt2h8e3fQVuySizRFLTygJ8HkycWIxHQp3U0H/UrVmwBc8orSmJBX4
gq4up/3NKL/VThnfqsYziqRS1h3XR56bv4LLiOgyFs93kMTqJQ3nVunt1b/0JkRRv92YMebMydhD
IaExvFUl0mGAJ2oXvB7frs8z1U/Kj3bHMssA5OLxHN4dk7nbfIwCnM0HPoK40yjaxfndgOKoTUnO
hzv/RaZyNZWcdr6DdXJNWs9RrMk7kMQ/CFEqlE01tqCs3Fy6Z8eXaFhlGUJ8CoSrbo4HIO7ZFlzH
7JEEsSFJlN2Q6RDuVHQcy7Wp+YI+6yeP0z1ssajXvX5okz3Ynm3/U8rAtJRVESzNxN+KUxmROynn
3/vyJkBEU6G8Vxpzi0Knu+ZiRnwtl+aM1oPziipPBJ8MduCExjDMn5DKdWOYVzGGBz0njAOycKV+
al1ro6ZnTjmieEsukC1yNq4kZmjlOvB6SgBkomwViKmTICYsu8oDohuJm5mUTugsJduueNotsvdH
FR3jQQYx6k9/aBX2Ha2DP0Aii/nsyMNnJ6x87GKVvVR8aXofJI8fvuQQeaAcR65gnvUWN7fJL0aM
VE8+mIh3d9fguuo8cWpQ/Bl17a7QU8Woh9fVvfN8+arYBP0W7jdtp0InRfE1ms3t2FeJZipnzTOo
EgaOmLCkx1POzH8Yb2ixlS3DoHSr/Y6d+TKNhOqhiXjOwvmPlbLlG0b7mATnLkefZZfz07zAOj6B
9D2iYb1k1kRNmgn4JFZOFfw0F5/hbfD7a/h5dbKDl72ld7rTeNVwBxELFsOCoD958dVDwwXUvvBq
VENZHdOUDzzt8hU6CPu0WvV5m8Ilqnsx6PzBlWPMzawOHxmh8EyzMUjo8XmiEffDTJqzJuxQv34T
55PLy8cxCapj0hzjd4s6/ldiqF5uMVImY+BDKOGtPe0VWioFqsRjbMi+n25HGZufFtQJ8GlI7Qae
3WbMC/V639laV8w44LJ9e5PqTiNe792En2h+SFEkLOfTaF3u8kZLqlNq9cQJlRhxmOqEe3tGJ10g
M1jelMxIdqNP8B0N5NrAEreI9pzWntSw2Gax4tqjSUBy126FcjmcDL9GoZELPTS15q2ehmz/a3U0
3bVAgE7/KZy1Cw/ln2FkTBS4h8muDnhxC804OcZXMjKt1XZrrjtprTdfhq/zcFNJ3pWe5GWimdTM
I4cqZmMrX9HlejqDfKGcV88NDFYcYBWshCu1TdlpNyxVNi9TtQDNEvNbKeKNJcClRgSzRUFQF3CC
LJRWKa0o8ZgNL8UVzvknIh62bT6LxXYvEr8/mGgG4Nb8sSh7PAzJFS6Hd00EcneeZzEe3f6VQlNz
rZANhQSHzxw4BhfkkvsFL4Nm9KSSZ1n7QVnt0kj7QnqcdA7qkgMh/I6QQ2X3h4QZ4JN1HwK+Zrdm
YN959DVhXJJ0FKZUe0n90SyE0Ob7TTGjfHj7g6fP5QIk85xHV0kUytilMgi8IT1NYLCl0I26laZD
ebXvh0d3X11WcqJ1IVZJOdQot7Kop7RTjYXswcBqXjriE6BZasyR3guOBppA/U8axsKZNZL8GwgB
yBtWeL+XP1x7Ma8tJLgIDq09WQBryejNYVrxkdCG/KlXHx7zT8Ia8KbVGZ2OwI7dWMgwujgmT0t3
QCk80947ZZyuBGi/SNYvzzRcQulFKNZZYXQV5QewV1IF/WHUOxVa34uhSXHq6h+kScgKXK7j3Qua
PkR93mtMyKIxJ1iqRrsUTVQDNvoysHLYBZ1lJQ/TxDicj6pqNur73+zhFmSXTQPpCnKQY+Kpu6pY
LOErM6Vbadp5RmvgU4xpIBpYxZ806AF06kc2ilXQ7biJ6QkcyVSY5tH1TGceZZ7+kkWMcvlI1E6W
KnYraCNplDjo7EG9hGSOpD1U+vGFo902P18VfMOLZd4pfKDepKAq38sibX6/xihW6pUrGc3xqg+M
xLeylfjRCQ7+W87A8+soO0LlJzqzPRra7UobFcC238eakAZBpumeXO01cJFfBlceunDFmrWKIBR8
1N5zPbqYFhtR0XLWeJNvibbjuNh8lAAWxNcuVL+ohpnWyAWE1Snho8iuXzoqkaKNXjGaj9uSct+Z
4GHS0Nb0tppbrYEaYFZOP219qRwlz6EEZf1Fkx0hOPBLWi68u1PuFKVl5kjScabkwhISKVBG/i2U
x9wxi/bYekkxLYOFtkZwzHIbNBDYGDXRG4O+qk9RCeWi33PWxSt4Bn4RJ4SJfXK5uvjXscyTms9V
kAA7hslyhJsLUiCH38RQD9eO14GMNdM/fAgfJVHRtHfxf5A7Nqz4fM55+axHwIItHRlhl6QTWEEC
Z9UBhKuTmcqszKqCnMOubgdwfSggqHfWXzD1EWRVPmLoBV3tKFzYLlLVZGh8bCABit6DEoAp8g9A
4oMI5DzL3T6DwuJ7BmO6EyIsLnb80tnrE0v0FEac6FybTZ/y82gA6VlmQ8+FLs4qZ0NtpDnW+nKr
RYWeO16RfzfJmd/6nbJY+lbOC79Um4WOuFLrJvKtQCxQclRGhQB5lZS5vb2Xt3p80ebkX3tcevix
kk41hRhxY3a++1DBicEKH1gEpQ27g8wBjtlJ0Kxqqx9ezJHW2qD/SeuXnyvirBqTOuY1wMzAgAOj
1ZO87vx2EFh0JsiwmAoLEfQ0e1JP1O5og1B+ybIA0oP3qipeVWBtNpdHpNLDUtzn2icMFheP0sEQ
qG4DFmQGmKNQhGfTRIX9BIsirZliU78ZcY2amplohkBLkehAOuDw3DgetnZrio9NnBgxcDcVy5Q6
9QmKXJGWUJlSmvFsb3F5MfnoE1+czUE+mmwhnE5h21vbd/uBPKhcW7K7k1NEX5WLAYWN4lyOrEqz
fd9J9UcX/zVxvx4iq75uoBZosD0FcJpam/gZfXF78imbbtuEQsEFq/y/b7YGIUlcOx5p3wG/KTaM
pXHeVGk93wobopa6p7zQS5RqGGAg+qS4qa6atDqIfDLhVMTzbtXHnFEmuPrT1bhkNzn0GYmTdajD
k6Qyk5JK19qo/+Gf8shPX+v0i0b4e21FxgtKD0lkMMIdRLwAhsxQ98VtvEmzpfRjncGRzpMpY63z
QtIKkn8atSYntA5z0gmbp0tx+BhKE+F2GKt3ALFctA0o8yBhFG3Kd3sUTWRKfy81J1CVgyOX96o9
lHOHq1mvxcZ+BWq20KBXS3ZEvQWSr31YkSWAcyS99x3GWr8NvYkp1F1g5RaRGfzxuAuxeTebQt5O
pzCfTFFOsKUm6+p6RNw7bWqxOxs2AZIPIOaixoT+jVRI0x+skQnkmHnYV7tyFDgyvbLQgMCpCZeg
nBs1ZCAs9MBKu2tTZUVhDIWPi3ylgz8bfYGdPJBOyU2k4MSbMUApH65ZnG6sQVpqEgq255MXi3em
JInjcVqbaJnSYpcukDWM7oVneDTq7sLEIfXSaoo8zFWzbWdeFHR5+RoQbPlwO3T0HpiHYv9RjaGf
tJuKJyUEpxwUAoJfi+F/H5xDKKw6vXJW6+PYHRlf6ERPSZy8SAxSA1y0RAdKuEfNoiqiZW4ynoAl
vfPtcNDSwjdcRGsoymiPDjLesn9wrw0/wZA6Wq7a1vhobl9HNVt4//btn/l0PhVGQ2eXQ7LPMayc
9pJaO9KFSp4WmKfPTZltNQpp0ncohp5AGEFhvJ6jFWiDobM2mQZpjg18cUrrNMTR7kFrJeQZTSyj
GdrV1dIIhE2iiqt4D6kk9l/V1Wl6THRYr0cdrjIKQZvIHljr/uY/RFYSe7NXGIe1eayL8Ux2UBNY
oc8127FT4bLswj29DEWh09no8afS0dXUOtJPu6BthICOl7AeByDraIAzeBz5wCnguVGAwn+jDoPX
7vqaHtuG004KoOwPtF3ZjJuMUAX1PW+rNbQqAMoOPO8pYINY7VV2XZ71Ux/QibI16YkDNM+c3qHi
1uun+TO7bFF5Sv9tC1Lu68x8cWKbSGePHSBXIS6s/HC2ZAFqahpeIrhx2uCs86yrCAuPfcmqyxDU
yi9lGG+OrAwxFqb1tAth0XJPm3nv9c/8XU8Dyfc4JdXiv6Reqg5YmBTnPSRjei+MAalvy9Lq8m21
fjKWp82UNvlkEJT5E59ZJz76DgAxCyEgKaNwrnm5ASpou0aTz2VBXBU9ETCvt9uS4/Qkr/Q4ycT2
sOjPg4I7AEyBaom4hKKJEcJSGlMVGSRh6XZoH0sn8MReP1S9fxVa66h4NVkhmhiCtUZ6+2N6YCVL
gYH/8rk5JaGB0w6/qxs9z8THInm5x/pn9jHj9TyqBEGx+HxVZNyfEJT3kkP3danLeLGzWb53SYKY
HMOTavqTppuMCaD6hqwRQEZZOB8gvKbKlAR+pc2D1HSOMrGQHO001enXbce9ciTYwH2buTIwTUfk
byvO0z/9RAT1SbRyhZOg/PfDC5D3G9Ai5vcnvyeYZvoAMsmr0xi55LLBPjoJWLb924prxAgz96Ig
uW8bEd5Vu4rEeSbcljjuprSs3TpVPh7tLEQR/eOvWYphti6VvdIxeinPQIN1IQSSGS9RB9gDkmYS
DosTtUw4CiTI2ayV57uC7gQ2zi/bpFA4UBFqhwmz/DsxAA+I4Wo+y2B/4SLxkVhcOZdFSb9PMdFE
BKUdYatHrtsL/J4Q7uub1/P9Z+S7FuXKExMwIAgrIyQDe2+rTZFUxJLJykasGMBtWDDJNF5S1Jac
cyzkBsDlumVzAuYiKdlklVWmQjikWkqCNCyM6kUPlB2RmpWspza97/YPw0uWrK9QrlNxSnZyrKr/
t4fFvA6CriNiXKD9oSEqvXaMDtSSFloQAT5DBNQJsZRWY1GhAuBG6STdQj8r9q/e8G5/DWjQam7L
edAQ9saClHKhLE8DwQp6daApCZjX1kFXmQGPse3SF0nhV85GSwqqS5xZ2I26QII9tOEhT0Gi6dSO
DOATpfEwSw0QO0H29hrGjdnLOAM9aC1X4bOhuibkkBc2viMEA6zauwJ/SWMQzYRuonfFYDqDohQN
PLZ95RaglYerQA9TzPitZRaqum07JFWgOBlJxq1R32duaD4uzXLPRMRyp4OqwxqglVp/0RmAyvz/
suPjhPds6Rdpbzh51PYjyzTeGhS2N6RDneqpGWdJjWZ/LAkt9usCd93Ecj9oo+RdlG6istdrm0QX
CSxA9gdO9O++p3GZpHyQ8JtDneizfFf29QIrwBerW+RhImUJM4VpsQhqFHfi5CBk9o5/Z6VcJcHw
uxZ+qcMaUuBW4jvK0hG6p+6cr2RKGENWWPXTOlOHb06b0l4JIL4JBXHai45rNWu8ar7uCMLF6gyo
JNyyeamkkAvv2AGPM8yMFgOcjhO7hLZvG11x29BlASJQUA0BMKpSITuj2y4V1zlTdCh3FI9e34nH
qs4TWKAa6QQ/26J+wxTTD2QQqdHUOMBXkIOpFvBfw0EJoIgg8qsEVplavIXR3SioVVNHOW6jlMvD
Y7VYL9+/qnYTDhrZiAfotNgm3dUaIkH5xKBKRLIY6w4FIYmbr3azQqL89tcOum7sFA+oOL1X1Sh1
CE0ySfecJhYTL5mhUh3xrU9v3iCygXcD7yWxBr4HrbogRebcCkLALsLuaZ3upW1TB93Cwts9nFDg
MXKxP7/Z0MGNux9guVH10OtXXeeIBHuQQ6QHt23LMdJ01HC6ubgbFYXBIfoo0QvSQ7AoI/SpcgZa
DlejtyLRCUlFd+/NS3hkVx14futmDH+kqzHgGfHwEDY2oV24Gg8LWvWPgV2P9L0TltdP6prGcFSr
c0OXuJDnaLw7DTpYgoXKeVvtfai+ELLSG6XHEbRO1SUmOLHIENudOHT7XVWWxMzYaHvyyWQdv1MM
gfPg5ntZLvbhlUdaK4LswU2GzH6Atumthgr2LRnz09ug1vJTZvMj35uixdAiUHuXZxObOHgrheax
gIQtNExrJ4LFWYrnZW4yfdYkGAmB1HIz1bxyu+LkB2cv4aWENk5IcHvmzS84Cu8rSCFAEdsJCbau
66p5E0gwWrA9yr1TQmo1lttT2d5AKcyMtT7ErCrUbQMpx0JBUd9opDnXAg6WHOzo75bdmuxohdPo
0pn/nxn5mFWjqJfO/bd70ZfFSRVvUPfIQfgHHiYKAnYAd2Bwu/UedPGhZguXGWbfYlYGT7YFy/+u
n2u+6cCPofh0ujdUTdOCQxwDIWQMu+nLRwUEU4k0C0yfrZ/92K1i+livMoAatmMKwtaqOPwFVzCd
qpi2fENqpg1yhozrbf7A0uRa84vb5E3QzUz2M/K+6Q4xPlfzrFQqncCWHJbkRgqJm+desVZSFyTi
04GSF9Bib+Q1A6GESZwDSpy0H8VulyY7AZruWKiMprc+YuK0gR7F3ivekcPYRCGQQi2LC/Qfa4qB
f7R37uR+qUC1xP/BYOI3OGLRI/61xe4topGoaU2AJyb64JNKacCrFru4Q1/4+w8eaWY11SUkDnR2
kInnR37pdBcuBbYDeBdVx57kcuq8wgBa6kLxyqR6IEZh4n+1cqZvixFI+2xqeSerLctZWcBp82os
X23OtjJ6QABRFh1/sUuF+2S5R7TR6tBP10gPmqxQWXJT46H0I6d7vutcuB2tgKmLneBXJgF78Q2c
eypNDiIblm8ghxcrY8WATLq7k43xs/X70kKrTSFga8nIL4bKezngvIlLjM4jXSNPEXmnoyiK15Lp
RDuEUL0w3p7gODTkhOuLLrIWDpFTxP8aMM9kdg2jGY3hzPHJJZ/uvJ8UgnrTKC80v02DmyscAHk1
rm4nETv6c1bSV3LRfcOPLguBRuwlElGooU6VqgwzAFTk7Kg9gW8MVfiVGuUX1U6RsUbBMkQPDX95
Sc04Q0uTv9jnKN4j9libJ0oaOxb+9fx0q3Fd9TvSth2BhqgsenBAygnuqUGCWrJSG16dG4m5btbh
19IGiw10p1B/m66501vjtCqfP+gCy/+3+Lt1xNt3Pmnrlxiz4CJFUsTqmPcfCtqYT0Xut690uxh9
T5bBIbF77lm6un6Q8XF9Fc7ljzlloahTDBZZEGrcdi9A+im2Thz8KyTEdxAS/TSWDsHP9E+Gtz/x
B65OD374uG5nlH17N/p9nJaMwkWe3SUR+3v8CKBB9AhMzaM3wACrhER730WahE+BFXoIa/Vac7Tg
1D7lnRBoV0qe/9rJQpQGCuevlOwF2u7mMMUtdudZMwtSGdTPZAosWFzEm1zWdSis0ZxBHzd2lXqH
wmcHvycYzAO9n8A0+FivqPq27nPr/g9AbYitmoN82aUDrxQKKFIORgbttSL5TagZjlVEs/yCd3/K
OYV2PRnkH2kRCyVCwGQB6y3ixiHB5ig2zhA4N709Ygl9cNeiShKiYUL7a3vTDeD8jVxY/p0kj2GB
/I4B0A8W9tsfQ5Zu6HgXRj8cxm4tUzZjHYX314FG2SPZK3eS65vPXDMExqAeWC9JUVFnZ4qK16Ze
1T6HS+P5jLMWw6tfD4GPvHqffj2BQaU3ks8GLbwSiHLJ0YJH29TR8Za+OP5dol6H11iH5gcIIUIy
c2NiA5vLW9KBwftffY2uZKz8TSJ9O3T9SNQf8wE4ulDRLxWulZvnbzPkIIE2AFjfVY1R+kwG8XOV
YaKZF2RVM54hQRh0f5tBlsDaFMCcoZnHt+ObpbvM4Rl1hlaCRkyG+Or00PT/7VXZUbl74kfgf2pQ
RYAuTJvcLx9ROvZs2OCRgeC3I8bAo8j2PzhtocgeipLWdv3sr4JajzTUQ0oDt/H/HKjWGJtQUP1c
ajxMurWo99UehKWdvUODLjBNqecrbghpJiYXpsqvAaGdjAJwknbvLthjpLd3yf+GDyxxAMMy3GS7
G/uEvBpCdGbQZU1vRx7k5sZe3foAqNoB0cLZM7f/fuj7fKhiZtMwxjl8vCXyoIezNXLVUE8OCw1p
FCkWon6dOlgMRm6f/I3uh5DrBVYZqZW0gr92OrQKj6j8/r2xg81Y+WXEwq36Jm6b8XJTpZopGv/Y
I0O3n3fTajLu3GqTVfJMGdR7Bn/O7tPJYxbBsB9uVjZYsCP845hVDFEvsDu6Qg8o4AYt7iafcNcD
iRGH9sN/Yrp/CvwdD68B32uiVtzH2PmcpMQYRwArgjSJ0w3dzfni3+gnWa21F9sewdtJ4gFY5xCN
0hHsd8t73G48Np+g4wiIc17xubz7nFMfGpiCGrEDrhMGQwSacHc+aplz78brK2tDuWIGBJ7is7dl
zHXkkV1KpOinz/WbzIZCMei8M0mIaunj3yz/sxAjabFSjza91vW7TfOLduKhyqRDsngcFhZYfnZd
ZDFunJkI7BckPw1VbxrzXEvrDtMgRNoBBonIG8pZF8XQOvhDUdgVNuXi61AGw+DHVtO8Ii9IMfkd
JwR1Li/C90qnVaqnnGLS4lOOrz9aLMZ3W0SliGCDD619Md16IfW+ThvvlheeTwNs6cmWw4Qq11j1
LXgbeN2jmNX6d2lk8jkAdJ8+YUllQdXD/4tFBBuN8R7+CNGaql2yIlOUAAOVKLU/uXDo4ryG1w7w
RApCptrObhIdmmPw+kSvQXzRxFaFUyXzUUnRdpfcO12vq4NevAMVT/T64MS6jNcmArTWwoeUxBI8
rtPFgAhFkKy6lFXytqiH3g9dBj9ZdxElEt4d02zImDYuU0+J64L1B83RFypr1dtoVheaxKi3Rb+6
i8WHq2zRqZ/R7PBe3VilzzJTaQn1A1Y18mlMTD+VJi/gqGAaMcB3JDc50l4bYUvnVlmn+eYYVjDX
WKJ6l3kLbAIE5jxjpIFO5+AK/0tzMVhL2+2SGUZBWeAO8aEC8iW2TSWvGOTG9F6I1U03DMgWEq3J
G/yK1KO/SXrPygKYn0vhtUXLVOwbMECmZ4uYkUNQOmDIB/1ooqU9minQc7RldgFRYsx8e3izfojE
Gw4YxkfdTye5WARpBP5PMtCspNh18Qpaka9Vqtp4rfvObcXdZAhGR81axJg9l9oBJx7Hwj2LK76U
ZIIDcsAgZbMGLOzs3sReKm6KAGmwNrncCqYhMNbTOqWroNphHG5riWFE1elSqOTN8aDCYOLktMf/
3vpjzXO4geEOWL4R0OtDYM+VEJd6g6EM7mfhr8RXDRfMnVtGrvfcYGdy0ZyZzyrqgyTD9Ud1AIRg
PSDT7lqerSNdj2mpfSgZ8QXINWEoX2sTR/D5YLQx7DgtEGppkGzl5Dcuq1UGHtvpLWlda/BhbG5N
XQpmvL8Lg/fRj8xWp3WUBNWQnTLyNRp5IXnEhq6OozjhIYuCCDTyPz2wTw2/oU+A8G/eggqHuNnH
2XcT8tOJsUxuJZ1GGUA36YLDvNXyiXQYBFt4c10VcppWzrv0G4ynstiKbUWiHOc8Tv/dZVLzR+gs
6/yCzWaFZO4uPBJ9ifNor3n208+j89tOVDK3Rf5mUm924Pr7kjvUftlNDr/b10rP5eEOkqhOo33d
ryvJ5er9i5C2S//cn/fmMyPURg5MRVqDTExuBE8i2+g0nRsvD8mbmgLreryGoQKz6kHVQ+t0pcKd
ozkI71vNn0p3IzvR2lCpElVL8ROCW1JI82SFhvcXb+IdgzvRGy3NdhOjMs3iCVM93EkpvG6PsWkE
J9Ft6kG5o7QX56ElhlJOPm3Kace5cUKkEktkydUyeDOdSJKK9cbelimmJ1NAfSRvlwLmF9kH6Dsz
DddQtDozGXuQkfMeA+sHCJ+p5oWfvSdo5I3bXskcSEas2YYq92bg3200CkGxgsW41Kffd6z01Vxb
1U/pgPlTpUQdpXdCf/dj6DzOt49KtBLLnkw19+45NkeW/WzZBE6yPFHzqGs/gyO3ZhWnsBwOWOrQ
kHkQ7UtHNsFhlVMAG/r9hjnES7ec594/y5Ugyee7C2NCFwBbgj74cL2C8HZZmG4j8vCCvtLhwNb+
DQ71/ZbyoW3mBeJyaGnqQQHD+9Bv2OCOKll2RKv0S8V5HdAeLcjPcLAMEy3mCFkRuvNBicvMAKSi
DHzLxARGvFPDebG+MQCp3hDVO+KT8aYNnGpfEh7cBH40i3x6vMnt4GGNJk32zxfX/J4rwHUKVMoJ
0WhFV8xTr/SkNeuGSnH1e3yZvlUlmKPnVLPgQ2dAI6PmD1oVpJYFbj7SaFkor3C+PPK3TQ9aObPo
aLkI6E70sdH1kPja9Z7O7+ajXp30I2VlXSW04SOUwiNXl6HqTJaTOLPDpbBbcegMGH+zUb0w2tfB
ataLMFP9yPwY6bBar7BiANY6b6PRoImvD6tLjjUkUPIj9vM7S/mLXBt8HRz7VIFpy3iRvDAtbqXO
aQkBOIGmxCTXKX/nn4B2iON/LAvA5cuaC7UK3lF0Bgazkjh0dBUcuj+BeBGOFBG2Slwq1qQG3/+a
9lwqDsEM22Wud4dHlgE8gvYtjPtvWlg9wddDI0gcyR6ADyGrw/OWF88S6z4v2Z4eu6FFHYjJUSqH
6eUBj1slM9Nqr+8A5UhKg/eP1Ul3DUyBkkkdDttEMB8Y0YNJejrNtBm7pW3AdDzs+kKh/aPysdXc
Xzk7gAXA92ut/finoIulQE3Rd9nAMXBEOVwx5/VTTYAp7DBj2vU9vkYh3oBWgQH3zL1AGSk58M0K
sbAJjVka6vSH3s5i69i8ntE5nUISxt8AMnAfgayAziqZoQjVX6YP2hXYxb/7pY2pQ4nX2M5gSC8S
U6hidsMH322O2Zaq/A5M/zdW8ScWOX1K0msNk9BBbZByrQjPYStwiwOnofcaBGY0vW+p1n/FbyX7
TW1Th3UkiInDhOSqXJpUGOpVCBzfXyS4au4baso2dnCiBtQo5LvyJTyx1QQjXL1xIUStLzN1A6kZ
BYxeIW2SJRCh85QV4mJ9yL2LnPhUSPWNlye2VFM4I6fJfbygOOoBqhSxq6Tqd5f523MFF3jexEbv
Yh0PExk1Fzw3zZZaVJVUDxBi/UzvgqJpG1XFeUHKl1J298weB+faPzSxqYayAv9xC98vR3VkbFPf
HPpBtR8ku8krYSOXszM5NKAOnxsWKxA5mr9CAKY0b6nwGh3m9EOUg+nrvXKeYxJ9Z3MLOFuFR0V4
cWHzsxQeIy/CCxiPPmdVJS8AlaleZW1Dm5ke6S/Mf/5Xv/uwOq7wU4EDPyxEGrWMtQ4bqR6I8Nwd
292c03zzdAtgEzVYe0yTiNY1UdR3Fue/jSan6+LhCdF5lqRxD5msFBloULNqXpzTWU8rGYZWh2nS
I54C0YS2l7PR+zJT1flyWBAiUwXzpx8FjRGb9MzynmHkKlHAkHTQmwrfW8Xg7bGRdAwbeUNS/xxR
aCydOaiVuqaCLueu0dW68J5PBDxJEB9U7VMfphrgcaaKLs8t6o6Gvh9ptBw8F3xksA832ZFy9aoz
X8u8H9lYtFseil6T2ZoPkDHWZC8q4o2oboWYAmy1mi/uXtR+AuJNF/y3cRj8JWwRX7ho/LLVWjHt
NiddH3PCKTCg8wOk19Z/AqKhX9rqRCkIz7C0H0HJp6B9pv6cQ+tAjsu4ygce27JrmdmVx+LKbESs
DgKnZm0VKP+h/J3QqGODt4xhgREd+7+ZBrePu/A2oazku/XI7Sw0TS4jhyfRW221xWxmFfA279rm
jLAcxAS536lcYhBFiHHqo65drTsrnM3odYum2gDqwGpjNdtLCu4whIsT8mLukF+hRCvohpC8edrq
AiYqC9an/Eo0LRo2JYvSmgn3Aa4mqin8DT3lBWjbLSUR24fkjHFDWQTI/hqZ5lwFjDqnCbmQpr9k
roCegdDPwi9V48W7wB9ChD8hdk6/wNpS33MQubcOBbRpHS37s4lnQSPg4SNm7FbRreccRypYDG0X
eAw1WFcdjG5Uele35qIusq2Hz1hjdsGRD/R6t5oSaMEZ/bpwjaLipFabDZY7hYBSoukoFApu1EAE
evckJ8H2yG4Nxo+PS0bRuqXWXW8cwH3GOOyCMnW8vZdHoggCMHyO7SY6nmNm7+tFWCk53OC50h1z
GIAsCw60ZJ3NPpvHXTogqq6/XDCIS43FE81i2vIFyRqNRPRKiE5piKbnd8r8Y/YBRzBhJOoWtgqx
uPxQQ8ADcppfSpIv7fjv1gRi7UNkhFtYaQJWWN6aCvanta468XJFxbX6llcPjpo9dAdvAtCI7iX1
cw5pmzw1Z8klWnTFHsQwW8zBCFB8YzIiXg8rvd11pkp7i2TxKpLuf1KUBQq4IaIOiB1kX+KhVaYt
xkunoeOMQELn+iXRMpeG4cxeLbk1jxs4A5WbVABSKGbZMjICd+L8vaukEqEfMl0xgCV6ptbVlJoY
TBs4h4Y8Vxu7zjGWri4zXILg01eNPOKhIrrIkNXcaXtldsdGjmH59vg6WVOnK5fj9Utw1R9T3NGJ
XqdVHIM4MIbW7acEVQ45p3QxaWT9Ip8oNUkI3EWzD6w53f0an5s3Dol4Kvv47MoRcPy6BBOv751e
g9V8W43PWDklWEqihUZp+fsdDkszkUNLR2g6peJVSgVEwVpnPn5IJKo3DEpk4893zBqt260omycE
QUp6PCikoknrS2CJvGjJ5FzMRo7HrImMYu6cojB4ZWsyERJaZuRAJaCbdfQDZwQQtrPUvlboQUnE
sXGe+pz70aWeLpmsjl7HnQney1uUF1nN18zj6/D4tD5Feu12DGncAGkSeRMl0c4ohVtaVjTzlp+I
LDdFlM05AreGKOuuAPkp+/vEWaOjOK8T8zTB73PP5tYsYzOAwC182EnpCoEGmlfK2khQ+9X1ORwX
0Im+rXS2H2q63Jw+9BpFHugkLo/KEAdPkF9nddaTpGVNmvgYrpBlqDhcCZjPNRJhfj/YX/p7gW0c
YT/9UuNUSRPiJ4S4HLb0BiH4pZFqwmsHk1hQ+anBIoGYqy+jV1qahhc3B6p/Ixex98Y1VuGurFlI
8vQ0yEKUKRKNAEuVWdWGd3TtPJnYtIZTw1kHOti90Zwa77fAmDlKFvkwHneHJxN1pjzdOxelysL0
UZqVYX21FBqg29z6ZmLF31ERWdoq8odGZkcukaOVv2kBouC/mOF8UE1/g3IHScvV/zHnrPKXipkg
Gh8HzfK+rooHWvnijB5CyOl7dWYA1dbkGZeTfMmTDb/WWjBKswvHwsg6tjfU5PrUsQAqjRS3mcAx
fVY221rdec2WKU7EedOCpsmLCWnPLMbRc6umdaZNrwBZ10aL3azU1wCd73iVZW7iDHUcSnHmEBHW
Z2zgejlXbU+aPXuhK/rQIiZU1jEu/G5/zGEcf5jSmulK0mfIgMGLsWHXKVDT6ctT8WDxMvtr/q9d
g6lz4ofKUB/UuvIZf5Z5V21ehly0AtOYGyW0lZ9vWv0HqdKjvHFVqEicSMEJCgE7TYC6ds2rP2iB
u4Z4fK0cfMzrJZV4mi3QdsZBdalbg2UxMu9dsRskcJn/WLRbaQuYvNWrgU6PpjUSX0kYBTJi4A8w
08etq0XovC82T2PBrMZQJtNxA/DD/ZSdcqIzmnNMWfwqzYjKGqqCxRJX0c4+nd5lySOONPk2aP88
PDXKSSdnjNoin4uhBSs3i3B0E2utBNJZdGWcsHaMz7pgpo4i+9lyiDg8Q+YM+2WrKCm7bOyrQgVP
5UOlz5RWmYSCh4gOkSAETmbyeJhOMm8vGBkWny+hW8nnesdd6/d6u8ND+jKXU3Am/SFhE6OKs5EY
lO6ISdE1PFQZVkIplyeEBUDWT2DLPt9wgdUoFq71Tu/kcvhK+PkurXuf1uFJIo/hrCkxDCmdr6/U
urQVWSinegidDFmo5NeqAkO6a/lF4M/8fuxxVT91fwM/tkyVkRhIBmee9/MZHkRaFm0T2SM7P79i
6T4s7tSqg95COuLoUj61BS4kYnYRYnWQMErj9QJS1jjDnoTewIVzSLZyMsOF1tqOMdKnj4s9Nhg7
muizVa4dPEPYvPr8SMNstjqz8dfgAmKOYglNaasE5PcntghIOlF6bfhfl+wWO21knH4h8GgvLOJX
WFGMQE56o/wIrXUUMjXTTK3IcEXg2UAfcjkiYyP+kUVRQfz94kse8BV5G0GxXHPvV0aEwq8doyQ6
bJa+ejFWqxBKdgz3MJF5T2b4zFyGDhzfGkBsWgLLER7EJpD5wpzFDX9aCtapCXeBSAUFeQaXtDCw
8XE/1ZBSJ39kDl1EOTr4i4Z6KStBnDaZkJeHkR4TH0iu9F7GVG/jwHJgbwRz1flK+rB/LZY1rpYI
oumUOPMiRGzYLrvuyH2HO1eWqZVFLnpOuWR2c7PFjY2WyBqJI5XwC7AMTOHA0ZBsYX6dLdlWco9O
opUvk8zTWSHlP6Fz/98rw265tk1lhdOmRYptudlMvYHTaVxeVjQxr7w1LoQsiYth5F/m29hLHePt
qyEh29evZHjXoiOZVKtmgulNgdg+TTQ25MFQ7XLKl1x592re5AZC2NSQVNe6qTD/KG6ghH01YJeZ
8XW3FemUJjE0lcrpH8XC7VhbYv1uMtFwfCqYgBMIYgPFJktqz4yHBv3u0Qf0PRbyRwgbow/K2lb6
5EeBBE1fYaVG1NdYEqWJgIUWDxCCR3IunQbfzSrl+qcwERKbaxOQLCVzub0alp5BiyM9YGNdjnD+
Ll3Tt6NwkW8SC4LLS4pcDa59/sq/7zyPr0abEbUYZypLTGfAOOOERWdCo9LQM1oStBsvXDHyTFTd
afyxLOTIuye8try+Bo0BJB7GGoy6vTeCy6Tbw+faqVcaK1Zqijr2XHI6iR9gusWvLyWJ/9ZyoUo4
6tB74OUU/QXG5CxFFVlsfDoHMOtXN2icTrs/yQtCFw3ZK+a9uM/S+RBoHStWLZKuVtkqI5nNYqCn
PFu5mrWdQnFWiCW8tWcn3Bu28IDUuMoJRmVAT2VA+ri5cHz6avNp87uzj6vlTCZjGd/8Yl4bSL9Q
MJq0bBN9mn1ONlU+d/dKQC4urkOPix7ag07phycD/zhPZDa625ZMVfb0nz88fNhL514tFF2bkNmr
fOwwoBzDVG4Tu+O/RLOCr0+kLGcfsTaTYGMPuBCeCDMc5mdL0cr/KPc6ttDooWb36N3FcAGo8Oit
hOgZ91QUvE0pUBBgE9KIJxxE+qZPgrSdOv31ELno/DSB9JZoJ8//Z0sm25fUpX3yfNf0znWBLK2B
g4BjTCwTDuQ5jUTf7d9MCg5BLtyWvfjoJjsOt4wwR8o//bJEvmw7o/eHHvaiqVzbHcO3zggbTqqJ
eAQo1dkY+LCOnBYqwUR/3ClK8JhVkTfeBvclXu0RH+8apevWBm7aCDWUkRwKdn5zUdAiO/jv8s55
iNi9ZPL0XbvVB5kAbBQYCv7CamzjYdZME1Le+oTPIPv5pV4F0a+ayRhCXCS2SPM85wxs0gW2++yV
Rv5I6KJ/xtfYM9TCQI9AIvbmUzX/RQfHpCRMIkVZVZ2pZyfEB9h22goknt/CQ65Z0o+16hPe6kSl
rpEjUmyO6+tISyOjfXHXULPaPsp408v0DmwQ8py7bGKThZvU70UkqXyvGoMXy7XHQX3Qe8K2fikF
xunrxV1d5a6fCJ6T5vLA0y5CnJpUUuk3iyGmbnQi5QQ90EwSiTVxMmSZPcpsstggz+Bmmw11XxFT
hiR71gWo+sFhr4VOMeQsOA92MquzXXJSBca3c2xkOLZGGRD8HmpQbUg0JTaDreI9VY4cheUm/YDd
N5QQhBuGyZ8FJqdS2rts0W3beHD9fC7Qaj6+Sr2m/cyGGkvVc7lWtXb+9QK12qifczGWyCVkOjPc
D0/Yk8saASson9+juW1leOY2JX1E/K3z6hpxLfI3bvFL4Uako4YWK2xkjMjAS96WRD5SSzGOtCNL
KbRsXP0Yt8JhgUFZrmRb4Tz1JQalPkau+Aqz1Yj+SbX2DvfIKEdKzMloYD1qGNEhJKs4aLT3xDwD
PSSL6axCNcm7dae7eDphTXHzo0/v1xi6rLm/hlMAmhdwgH4u9e7hNu8bH5a8QhIUQlK/5LujQcBZ
BJrfIYDCzUfqCFzADN9+pJFpiZQfDjLucNruqwzGRf2lHAJhFmyIKZ9tSWbHbniR+/ISVvoIzIZb
ioG73Ds8Wnye3ke2Pf5zRgYj4N4GuNn4CM8YRpZLNPsUTydDmJvZ3yCAeJteQ6f/0KFNpN1KPCWd
w+XZVCisPMRmVeNZ2szcJNPFuovIB28RJeAapFovChjdWQSHuaFKH6XeV3hm4WSMG4P1sCIcsjkE
G1XubQ3PXXMboJHusWwMGUBQ/EAbEirNJiu8wXklQADxMuZOhBzVbz6w3fF3szU0lkVhmrJgyQIh
rRwEzbdhMKfEOStUutXocc/ljAi4H8iUzrFgrdVMT1F20160JBgbkVTIwETFaYLWmI3/We10NDJK
hZZGQwVQJ89UHfKwUojvjKONg9UPmtlnt4bQYB0KXCbwJsiFKY/8IY/8Brm0XrTrNgVJxc+S1O6U
fY4k54sN8NxW0aGfQx3km3tc6fuE4bX6XmNiPnc29U4gv/a5VJSPdR5JtRutJofhL3F4/Utx1P7M
jcftnqvVt9m67SmLKYGsXN/hWAd4OgBFmrpYDWbHfMohN1IzLwMt9psFRjz++XuAedLuXq9q/S/L
pm9D6biOebKOzizMnoxl6wx8pBwrj5gyjff/IvRuwHgXuaetReU0h4WnRdiNFcDbZim/jppa1Rc2
Fm7YeDSJe3ybf0xUNoQ5Er/40qv4dASBS4YIr8JxtTqKzMH5X2y/Zbil+NyWxh0AiLSwCiahUgLw
jR0bO9/ZuQhfoBtL8AlWsvm2pCWvwYYa11Vn4Vq+JOFwNsy+bpzRUHEmK8wyeowEyWLMt6q8hvB3
CIeG5QxlBvqEg9K0q/BB6Apt20XxmyIF3Yc8ZCVV4j9uBVKMxtSxbYFBksaGX0Gr5qJHw0c7ulSf
FPiwCuGNv1Ftnjod5pOYCGmLXmdNplOMMtkV1AOIlopJrY+sg8N1Wz3MSAaxErhbM3+7t+DH193g
lyFgeIbQsJ64QLpplSfbxxEbkZsL6cgWAjkSrSH5hgYsJ2QGT1CJ+ZRPWruBrTPQ13JzKuP19ii3
bK81ihgWMkuM3+o3OdHnMeLJbubHFquyZe654QkA5sk8eAKizxXLExf0PcFqBdFWQWpuLzTbZDk3
LQXCJPRspVyiRlx+QRhKUsjxZFh2h9kQ1uvQ0e65fFa/Rm1ZRuKGWpVIxYEElRcA2PmplMaXIVV+
CxPv3x44hcPdWKZaU4X3hOlL8gUIvO4eVzvNZjoy0D1CApEZsdxqg6350N9ojjxxL5qEkbRAQ4Zd
zd0U+NfU7DIoCfo0xC1kyeULz9cfoiiJGlJomKFsXpHobE/pJ/HPHl8V7rebd1a/05IlDroESxs/
AZTbIpyInOGayzGYhrH3p6ye/mteSdx0WuqX8QvRaVQ0qFqcSphV1/VjW4LbtPQtCnQyJUujVgc5
fV6gLN4fFbwcLzLNbcl/tELautwoMGsngn44ydenfYllURtmFaKuj90hNBpFgkIVwBQdErrXg44e
fITmCN20oPuorvWPijVvSw3d+6RgBy4xiKUVFj2hCdGihFK0VgYWN/Dm+mZFz0VCgQEo0Vl56+jc
D1LzOY1JLSx5AmdluJ7oyEcPEAlNWlYbK4xtmadJ1ijdhYGZFJ+AxPXsnukUGL+bhfhZ2vvncnjn
1F0j/yPpZO350QRo/gxZe4C/m9+AE6p335BZn8xDqWmxKw9z/XFUhA875GiTkp83le8UH+puc7sk
yDR/dXksr0aBTivz//4HMN+0/NtBwuLZqY/58QnaihYcn43UVnw4oZ+QB3xXvvr3XQMkQEMF1mwQ
M1xwSgNQRs4J39/70K3CVC9AOrjDS844gllVFSwZwlb9btM4y2wh+BvBy2uc5nngELA4shxuXma5
N90FX7JF0UWloETSxPQsKoxppGeLG8Gb/XDAeBv7dqYGBZhXxpGYYXgLn1dcQ0jqxYCH0MBBDxWk
JuYDQAoqq8VKmnOIbDWm9nh21ekh6+EMAke0wnQQXFS3wX5ISyVEpmuutJJOlpQ2uY4kayH/ZEe7
0BAEFy2qt6aIpIfLA53LG6T4Fn/Ghi9i6wzmbiD8eamQxI/sWxT0PSuGaOoohooJko75btGaJvJq
S4ahiYqwnn8fvhBnXKb7+ENa0Jn5TTzob8WOf8uMhu7prEJ6GRd7vQu5KCHZf/R3IR1jBHqYrrRo
iVodnwDnySpODczgEx94r/T6N5a47LfIaJD8nf9BBPPtojue3yK/q7tzYxjtVz+30EaA8qg90MQf
Yb3SxRYVyo/hC6uJSF8bYXj0si7hyRHUjzEji/qLbpsOQEz0M/CcEBf3GlcS1rw3VdiGmluvCAIA
jjXS3zJAditOP9JSdszuFhulRqgzensgtnP9jyrw0OnSDx6cfRW0bR3RVF0AbSiv+Mdq1rgJlbr2
U5KyaMSRkNWQ7AfPnOfzOLpFDsbDUXYVSSbs9qSJipGmBPFRkbD/ctEYYSeQJLcKnmSn/gMPALcN
rh5oHhDUnyh89DZgWH5t80lWjBooxQ28guKIIlOezsWZvP0v5UOT6gFGmBpHx7Nrn1HyCa35GEDv
NKU8SFJrtE51W9RW8VbQXlBn4NnPEU7OHO5fbxieWm3zlWKbmlNoLDLUa+YQB4LFP9jICzI96PBU
z4Bdnl3enM20GIEJ2CwGeA0hPwJ6xrTMp0EhyOXhjt5t2BNbKWCgLbaqwxsia0RmRCdDfp9HI7lP
94v4AwEnNHPgqJ13Vzfq39XwBLYQmwMOhgS5dJi5TpdJki6aldmvnrCXWaRDGP5Igzn3034oPOsa
Vck/6DU8pJNUlATD1Dt0o9u5y/CXHa0O7GGspe81CKK+vVxq3YmiRhtEOAm38U0MMSJAZyGUeniO
WSVv3LJXd1ssCgpCo7mV7N4/GJP37gosWE2ekr7mwaZvsUVaI0rgjHXIcLXTnpOq4xhJghRpnwkj
8LwsfxbNplLv2GH7ZAO2Q4vzvlXK95rRQ/cOTOR7i+Y80zQtjOZzX36WRPcMur+NxJHCuYIU1olW
NSyJrxTU7pz4gm4sxu/mKwKbEvuaOFd3Q9bPuagoREIkGRNoFKIayPEyFd+DcC6DI3/BLVAVmOGy
fDJwTm7Jcm0favyj7GDxdYjyfPX8T9/2ZnQ9rR596vNmHJD/sDKbYFHWBmZKUWtCaEEzBxUP1RHo
KfFCPweQGplbTNleA9vV8BSZw/b/0Gfhai7G+4xGkLPSRpolqEn/afTWTJRB49dQZBMSlq7Ugjoq
c1+vsVeqtkYxv6J3CIRyZxVFCBIpxsv1qiQlEUVcazLoKH6o8npcmt6lZe7RscMSz8fEA46CnthV
mldD59Y/FqnH+3bboj7qPR24wRCAtfJTMTPgPu4W8napNYOE2sMYIV+FjM8JDkJI7Q4n3cM2m+PG
WKS081kglXEXO4SPLzw8PcZe+Snz9I2ynveK+Z2cHElcdMLxtGdFlRnobgJolQurqYS4kaKF7fT3
FjeL+tKETrYzN8Lq+CmUBEZHxTzLF3Ir2z6dQO8yQlnhCL045jfiI9q+wISIl4HO4ldbyomRgOVc
SIVozP8mQTd3YkPujwaWMHaCMGlvnf1akjT/MPQe3ipjgg77F2fYR6+Ta0koR6Z5vWNj7mxfuMix
u+Yuch61NaPZZ53sJisv++uWpNXDSZY2bHDP3GiwUp4vs7VbDhxTDRiK8Bj9MVZ42iSUETcr/U2o
qb/gaKZeo20f4B8hSyoul4zu1rKpRQ+NM0eM9OQ7zrwvn8zK4kThLmp8H5XQBfcsCYxa9RdBmkpG
hkjOQu138BUKCwyShpH6qfm1Xm9e5ZsLabyEbfSBC6cjHHV7jrLYu225U+qfJ7G1KvrpoC5PpS6R
4ToM4rCtsC1PMb78LcLtHH54SQWOT+u+PXQXTiWQUsliB8U6N0DbQj4oKiY0m0xkx6ybRPyhd7on
Euc0W8TCWTVk87Tkl05rKeQjAl3dk3wg7BTuz8eENp6CTBv5vVXbSUOSzPUyIXCoHL299jBCP2Uz
ewnXl7vvnG82DjDD6w26MNWJP6fQQRpyKJL8mvCx/rG2MKsQmF0QG/b9w4FDtP4GH2nX2MGfzOlk
64J6LU82FDQMailF1ssNFGXCaiOJNLlF60BHmsBSDBG4IIzkrz8Wzl0a86wTRlbltWVDnkx/gdTZ
e0KI/VuJ8pBeo7lVgpziLsNFTJeulnI5Z0CeL9FW1CTUFbb6x4a0mWUwrARDxhB8ksCohUIp+b3p
3mNaY4A8IJasQ2G66TwictRnYEM3Wz6IFx7JPuWHj3d6q1xj+OltfeAeypGr3E7aJyGGf9V+V6Mp
J/01jyfRo7VG2g14MdfMrE5wETSARTMVKjaxSZSBdDdPbgzGTtBMUZWLVSwWq1D12BaVLfs8wB/g
tXldZAnSIb9R/0iK/bwdELe2GKvquj7nEPx4iE+qQJ7KSD1Ou/RUKWKplZkT6uuH+Yk6lNAKbKA5
z98FYi4Rm1M18lCWG5mfGc/Q2pDbCPuFXeXa93t1N/1/3d8KsbQSQEyHa7Sc2GPguT38hHpbKE10
+i4ptRcMSeebrsys+HAF3RliyGzJsj5Dju+lsqMudZTcw077DiV1ak20gTShb6KxkBmX4jQvflUE
AJVyD55b/7qcak3wbTIh4IW1Gt/P3x+TLXKjV4rphf/sKz6BexBxAMfKJFU1mgHV7AhVUREN0oND
qAzxhF1R0DTJSxQeO0YXiKyggqK98qWyYpfY/2df9J3o62tU7gnUPiVWDe1sC0r4M8XyePK+VeqS
iCZlnxOca79TaITTBlaoYqxr/3iktxzJbavVw2p/4mH5Wb8y36O9+MmR0z4T+2e5yrl3pffJFuQW
dH1A4ubpGHfrkiC5Qxbf+VRxuHGZ8HAA0KkqRbjZEoVs7NXJni8EjADBnN1Y3qJVCG32iAwggoar
mrrc8BhfoZOo8GQ4wVEUzghpM9r6cEL2fnTcmLKQpQmbpir5s6vZBPVL2o3dLTisi9KzJBoM7tTE
1xSU3iwlHBVu4ZykxaZYEq7wCAM/Xjwld5PX3yLKa0yImawpquPFhnqLcXsMFKe9vZ1/n9ZmjRt8
ssW6N3QfYmbMhV4pzMllXDcr0CYEBSoOvpSobzZaxseR9DF9nb3YhCKijhMLoBPonWxXGMmz1m6v
5GdtOKr+eKIDbwF0lNUQbwHTB28vnN5RxHssNR8t442PtlbsdZENzDBwM4i4Y1+6uAdYT5XfYqEN
Y/rSgzNCkCYmvGEUjV8BQapX9rJkBg1SsG5WyXlNL2GqmmrAmku/h3m0Fo0NfFQ50J8bQg40lM4K
2Jq8hFvKsq8gJdnGKPS8ovz03/a2C1iqGVQZVTo1A5DBhQP1Y3o7/GLc6mqowcLSdmE0noo8SVQ7
CzmcZcUMrhoFYw4/uXjM/dZr5EclAzJVTfaXnYQgsmEJHHdUh3HZXoAwC7ZHnDRSrxzPTexuxWmR
lNbi4hmfOn/zhgom6ta3F2QRqjsYGrl70E9DXItPCAa4DyxVhyi+OrYTB79Mf9Q+2wiKAN/zJ0Qc
lOdsBQwdsGbLmBmX9IaNe3tGX/C4Sx1unG05PyiWe1Kb8jCbkDEQN81um2kQcEmonURYNU5P6xLg
BXwtJ5V6iJREIFIxty7E7TGK2fFeVoddsvZq86n/IB+FrWxZzgHao8neMCnVtOegTYpPyafPdkgQ
XNgPsM97ogkDThHm6QbXt/CtS7cdRezNIzecMq2qTCFu1R8kYZlG0iQI+kKY11q0P4/Ho9HvCgiH
o+vo1clL33jR7XmiDgRMhydyopbQe/9p3SBhHFSb6kukHgRYameMw0i5PawFOXG8JL0xgi/CFimp
46w3cA0Edp+xPC/0VQrx7scRtw0LAx93FKOJPCyATXQNC7z6YfFxbyzevGqVZC2Q7S9AEm5E5XeI
IJfAY8PQCBt2VV2AZRQeKudGUcFnBKjUNMk8YakKo/F+WvQ5A0rP/410wjmzKugtEQUPhPNnwSWy
VRjY23Botqft9D95UaoIIAX0RIgMddy4O/4b2NXBRqi+7NzyCyqrTA6MVYrjXi8Ukpl75q4DUdyr
W9UtrfjVcQoMUKJLNrubGgS7X6ccOMpEJpGYHTDurn7UpgPUtYP2xxkEZEMe2tst4vr3yE4+vEdk
A5vk41B4tKjhoxqDWxdDB3y8JaBUjRDywbTpLo1vcv6E4n4vtYRhrN6tjX21SlW22WW04n/Ip8PB
fylt4JYvcL/sfFaN2855F6c5Y7enbCpBn8scNvYrYXaNawthLWtZOHJPqmqChfCgzqpGusSSq3+G
qZEP5G1JFsMeVojWfOvUTg0xKXLM/lXaiO9aY+F1jPSnZ7WrqisAxNASk9JH9qmF6ENzofkmbeT9
zFOgB+ntPft9L96my0taSt01k+d+vNJI5r519n5rBEMFIbHXHBu/vmE8u8zdv3HOsU/060MCtd06
R7xg2DkbDsAgDKP7CzUPtS4qx0yphY6L13krJhh8+Ucjsr71bHpNPhyrGdBXoP4QgTZ+knazTgOV
+2ulyD8Ku9jCBQjDRAaLgozBLoDB2AfjdWo2PvO6T54Ou8FtYymOeBBPJ4BQ5+wiLbgECuxS3q/+
OSFu3zYvEzA3rAJcsWPJF0h4mn9zGk5JDAx1obpvyPkN7vg6MBfYHtl65XFaHpOEh3aKpLYiwGIl
oKitCKsYeKbmF54ca9rspB69Koms+EPDP5diaZnaFJau544lWu6MTann8kWtRsWr59TnIhx9RYNq
eH07TItb7dY9EvKaoHQBU7JF10ZKf4D0n3lGTKbA9/IR+IrGbKYBsJ7ounZ31j1PHQKNA/YcRG0t
BV/8hCgeJiMKRJKQlCy9wac4hMvazbxdvOWZxi/MiOTCOoRWMQKqFaQasFPHCmFYL1Oi9CXsZzik
NXB004MmVbzqhwCkatd+z4jhUq0bKsdmpk0PA1YOyUJNXqnrbn8K2a+DAWZ20CHzWlq5c+bOrg9h
YzG1HOvy1w2Hh5Q1w3f1VmGzsfjEcS7CtUO7dq1wZjjtbVUKl39khjdnN5f+2bYGU5i422YKBH0j
m/HkVlfxDyi7QE9JwvwH0YLKze6b78jH1w2QOs4rIEk8ZpXtyAE2mg9vbCyfTifEe3Rveb0wOt8J
vhp2M9o2inPLGCeMIJ9Mmrf1zI2cX1g4pJ42d9qhd8wJaxpp5tJhNfbjCcNYY39r8N8eSX+gnmFG
KBvs7+vTtvHjmmYsNbLxWF5GvikZywaIGnUCOW5CzvVxfMLRJavWdYm1zFTE3liMdTm3swoVgQjA
Uk8xq5f4Yy68624ABozM8yrbAD7ysnDTbHe/HgpSK137Y7bozZcMUYUnrUCuG+iwYqvsGEDLCW/C
w0B1W5KHSbsAsu2ggKy02AV1HRVoMUy1KiLvtKeejdk9DrGN0oyjp4M/qikPQTFB9yiPEhi5TcbL
6Ri+11lEujsgp8hvA/Kv5Qvk2+YuieFFSyAKrZvpJv58xeVknQZUVG9KNGUktD/HMv71TsHVcF+C
eJm1c+jPvbPeENhCsrOyhdGTv7eQTQ+2QtxKZzeFOPJ7S9eK3rqyPiXTQKHLvBgNYICwHSlPsLNj
X0L3/l2vvgcNv8UTZsJCzH3beUlchB19d7NdJ0ZeKVGgV9SPA94pfMlHTztuqq/aDKF6WhOzAu2s
lH/phn4FGYBj9veD4DgBid5CCGctYGbreQATM6Usx8mKVFhXQARhBZPOdZCx4+me5wgi/WtcmbYt
A6AVTJX11exygkqZ18xXqun0UhLWyY46v1EGiRlwCPTjmmXex11/TXVmzN3ZtC1TBEo88x/x17Nc
5Pk93cFT5vwh7lN1tVTh4/QkZeT5WaSqIZ/4+mzse2lhR4Esi+06/GtmcJYplGqPuBCDOs7yox85
RDLihjF4LJIiSaLbi8g2lj7chvu8UdoqCwTWWyV9mgax8YK0p95I73qIbD81yXNRSiqvv4CRdqzd
3jaeQmeJMJ37oQgjaTCHp/XibaYR0CaAoBOPnMl5wHpD5xIVgU2Qdwz+ItcIPd+b8f+5nOhk4skL
8kePg+adTPFZ/thlboe3R581OmoCyOsb+zw6wbNcBO4cq0Nl1uLeLUITnAE5rQCsexCo6ZZIjJ5E
x0N7MOWcCmTTmU7XVLh96D25Cidr43v/wogHXaSDs3Iq3nLcqEGuOl28JeVhO+HT/WARr+4AEl23
DIHPyuDhf54VxCj2aXy0VNcOe2UIdemmuykAKUYu1e737z5r1SlTgWYNQOnkOo1Uid53Dg5ghzD1
b6LA2qNrnq1+pH9WKyPLB+6JWrrWu+kopOcdxKX//KpWitbiWog0ZD4DwlWVL0SiSm6YspAxTSnD
+lb3f0onOsU+ECERmc514f4e1A5afiCVEqRVTYhbhV9swc/hId9uEhSkswIs3CRStDYOigYxtned
eK97JypOvR/d9xOdlML+QeEXu482Z5dACo+xaWIfvJ8SP7ITeejNGDgfPc5Fh+Y+cqoSWIjJvo/Y
Kl0yIzxFNL7OPRpiXwvmO1qxI1vtkFE55XZc24YevpUNuybZV7o7yNykNOarkv0pK9R8FG+pI1yg
0oAzliWm61OV6CEPaD0PnM+TYsefjwGRB+sOS3POhHSZ1DfmKuUR3sI791vvxKFPFaOom77kutmJ
a8hJL13Ba637ngk2Y9UXivNnYUIBvUJAj6ljuErHV8CzmVxD+RZoAN+jAD8mqYKr5FpTnsM0bnVr
K21iz1uIyWVBIxJ8/rTSzQE5/TdSla5RYJVtkdpJOw4AQfX9f51UBkapE3trtmKRkxfWk4BZOmEY
unjM+WlfYX4utxUtr5g90nlf8NEs755njPpIFyM5oSCw0zdqotunfgKa8myAq94KE3syEugm8vZE
rP88dWX13jOq7Zitcaw20IAI61XIv9WHc3OMl2i6pFrl5DyPo/u1K9xaS5zlOEDWqdbAXV//+kAD
IwUoQzyI49WU6jGMpy82G8hR8BOEVQhE5ZM/E/iX1eUxL6UBpVAXfscgsEreaJMKt0I73y0GyKWl
STkxCOcM+szyn71a07Ot4+Y/7AYcQ1+DTBpqznFzaMpPdV4b+cmd0yJC3UQnSBxqEaFrSsudLX7V
tagcDkehpZlgz4ZxxR7dM0GvYsstqtHEepKHrI2TsR1pmcZ8PxRsQCAQW7URcf1HJE/vz4z6JE/X
canzcak7qihbZT8V2prZ66uvWiC7d1cjoXwuLac6aceYbUGsXOrlPl8Lm3ZuUp2ra7rSbtwiCi1E
so1kjIQNaxSokcFePZ/5vOGVIp+cjggIMAmbH0JDH1yfdx2AtDGZ16ZvXokqUGFL6gPT5L7OHb4z
doPGJIgzxwEe3Gh8ETcn6FjPxw4DgU7l/GQOmTPtyDLZRIs18RpTbspzrcSyz9V5oTV162M0cxcZ
D/3DOvJtgx2gEKQ8C9q+DVnKhuYb36kJTQwbCU2+V2NuCWHX7/ds98CQ3MBNOGvBT9b+zkFr+hKh
BcYh13Jj3aD3AsIomEJRdq0Cbz/C7jTJs9sEdFnmOEQQYT6s9HIYWgW6gKkqrAug96G935gWzTkK
eefCS7e6zJQlybzPo3qt/HOXdDafgg+eXHg/AE4OzMNfEZ3EF6ejrtiNjU4nas12J+6NBA8DnuN9
zgFgqmuTAI8AsY7GH5yJRKtJhh9V8QHpQzUXhJiFiBOcBNBOoE1lIS/veX7ERp4LczB6z4f6M78T
+d7mYOLQ+9hQm9nRL0TB2cHeoSGc7pjvB+UENrk0n4G4dGca6PMDNJqxCzbsPmbX3aQsFbqx87H8
Y/tdcLQ7O7FOh9R1K0RSCTCoExOqlTsSIyD29YaSTeUW3/GKUCtrDfKcxBAV46WILFnN1VMk65Ws
wkC/SH5eRvM+dEPbBzuY/SeKMCAlkkNcMvukIaAJHNvqmXtbKnEQKFEyQkrKNtvtygBPlY6yEyBo
9uCmY7MoJR3uJjORT1y2BfhPXqTgDx46zniwjspO0Ox+yZ25SOjxO/ZH0RKatwMmVynlD6QIPeg2
ajg3ZE/bf68pYXDggyHzTezt2DnEcIUxBkO4ajtiUdnomQxNaVkvMR6SPrF+cM/TWUh2aGykKMWu
nWyATzrdlktYmBUvldvW9oGAjWHF5FLAQBs2RpGronGG0TJVZbrE75t0FNm5OUOF1d1hd4TDkXpN
ZrmBLGqZZ0qy7mlKfOV+flDEcQrWEVq6jGjvW9bL0TsG9lg2enSTE+UmZLIXdzzqf4OcF9p3DK53
4U43FZXqgpZUJGyfumdQtHzzlgyuCIFYwt44LXyFk6YCnGx0/jQtcJfJpBe2QxipFwh1ZHWr5H1c
vDVqx9ZJ4edQkRDQl52am8qdqy+apJEVDpmqGmcys5vcGfz2rB6IjqQfHdyc1QiDdCKtLTOhKjPO
PlLbHNkF7VUkiP8zY5+oUnibJ3YJH9RKB8BYWyjxKoXmFkjp9uHkEbedDLben8vFT6q6v/v7FSMX
+SIdEvfQxSgeITaxkBjfMjWAXik/zDy9zihnRQ3Pwu022U6VmQy1vY5wB+ebhtFwMNcyXawZ9qBY
SNihMMMT17r+lcbUGgLD6ZwyaRCe123eoy4XpaflKCSaUYRfONh+WQgQzwcqdKw2nRG31ptfr4hJ
du95zQFNlHmOETCdtEVyiODswszjxJzibBHfDs/uLqToodgiQ8hb45SYHUy/XLbZ0vT/ShD/VNPk
y1e5zkQ10mNQeF6oQVmE7QniAP3wAVYZWd+pNSFipu0diDsWMMMEjmm5cwWX9FHNiz/Pzj2rTIvP
GY7SMVZYo5pQO4LwI0N/5D/MhCQS+ImmZJLRXqziHxf62VeLhPZz6uumylsOkh99yIPZc3YvqBjo
JfNw9TqR0noKUUKfHTrdwdoVCFWaPfewmCfwpcwCGpXV/g/iVmf2mm5EGScsrYnbuxqigIfLKodX
a8jRn6eLRaowz8WsbhjKBA7waARizMFiePTE/dRm1VuXSjobWeu3SrD6FtA/xgnzygSEmRWVHQUP
6TGChOeeUnVrYyeBtRCEaV1tzroqDmNIuQvc1jJ1xQLbDlVFQkw5vTES9AC9HDqsLeMKbPqn38rG
EVOg/8q3yACMzZgxu+hAUqeHEw7iXJ62FJmjqi00X+vmG5L6NFwebHoAExI3bjqAT631BYIPRJca
mF7KBINGy49ZwSllLsNyJnHkH6qWk4q7/1iK2HbG2pOPgueW7iYljjTIZJHrIXZXjld2WyyxdjmK
duvww7YVW/SWTKWBp3ECCYyMDg0nDjUnS67ehxdinwnmNgWrSyz5sAXk4hXgfrPhi8xdQJQKH9ld
8HOI+TMA4iFFdnYbnCf/VZsz3D7f5sAVI/lWeKojBo6bkw10b1g+yFolzQ+S5SxYDV2rSQKHKlzF
IGqRu+ssIhE8ZZcbwenSaCKI7x5o4GRHcz77gJ8GNjGebL5wrX7uBL8iYUE9PmyTTeJTwmh+L2gC
rsfbT9OcEUi6uVMgJJkvCmuEMJeL+/czUvvV/BaqYMjYxgdYtSuJk/zxdrpkZ3VzntDlCvc2lH4b
Z3ttdsJIRd0rkDWHVnnvjOFW0ZwvRUQZZ9oklAG9+LG9IuWyS20/bfqEXKLLBp9sDb3if9HRUeNS
U5gzYrLFM+ZWGuFA8+zIjcOl8uyWGBVcJwvup3BsYXHdRi8a9iNq0zZKpBTG0DPTMrG0Mhrvy398
sZ/acV9Aqa0z7b0CrkGjIL/gjRxjDRWQQHB/MByjHdNEAugXkXi3KC9ul+MNfPhcz4d8mmJ6gTdw
oD+nfllutfmuYdwH55/+YSSMzoI0ErfDqrMqqIo258oPKuvnlOs1J8oopC1NF80TYv0pV0QZSViV
Yhhx/PLta1e/iWRxQDDhfw50j+cjGlbM22utJIYvKPUvWufd7O6wFrQHILzzb91QDMQWGA7oPZz8
lcVjiUmXftlEXIhlpbHbCBTUHtNzBAVyTxRzr6v2IRaZGG0puEWWN91ac6cpp9qEw+sODJmFw8e/
TskElUoXADqBGtdVTfCS7ubeW6FpTYwOVtC6aK7JrIEfv4BHU/UFPdfze0dJaiIpEc4ZqZc/A5up
16rM6LlznncoOCAuHX3m1k7ljf9YbQYStBaT6kRrIcLJIqVGy6Kf3Rzpyvfgv3ObiC8EHoLVmnU3
/nVKpkOuW5OovXK1tVgQTaq3b2LPl8U3WzTrFhRRxWyzHRLoTktPr0U/RhpwVl+RFPjk7XJFx2as
fJ7YBgw9z7NRGvSIPLSsIOcNcXfUTdU+HEE47TeOzBtvmPlF5lTBroIDiyga8m93NPoRZ+p+o8T0
zIm+oBmrlvh/WYiicWoUMQW6Sl9swjmWjpAaPm3unaDO8rXE6gpzndoxBt5h03nS0ob0MiaBA0O8
bQhY2yoL4OgIN2UlipttQVOcqDQ/GLgDqQYY+2rlDwd3J1Ek+szwZYkFSLiOYCNX5fPoqsCyNFlk
tlp09Qwr2784+XPwZBrMBPIf19i0JTXSKoFFSC2n6D50Y+Q1QON+lZoIsF7nWObvgzVJr+JPXC6I
ROHTgqf2tTKxSaREAGZmzd+o/5lL2Hx4UlC16El2Ibr1u/hhTsZq9j5mShJb/w1o1MNgMrI+kOS2
SJhr/yZGvcKx1/rccClzO9TWW8/+Z2h52fBsADGaU4BcsiarE+hMMRdLSrQkH1F5dUXBey2aMR9z
GPcKNDLXNTgOdpft5ZKYTJAxhgiBAhqAFwWvZRcK7kyCKap2g1O8UfWU7bnzk4nDRx89c5UYTQSi
6y7diyVq93Y320D35QcyhYKw4FlsCai6VH1jwpbd9OyvYlaDO7GBhOKCiHbsRIzH4UtrdvtRcBLP
aDs/ABuIZzuAXV/XWuBiY/pTb6NWUTAuF4aBLGDLne5cj+hih8IQ4HlSjgYdN7O3lEUY3jDG0fk1
lJ441ZcYFuy9osOBc/+DGEepv+sYg0JmljOI1tjh8sRt6uuZ63iD4UJzT+8tEDvdQrTZTb3HGf9o
qFoUCTEP0cvgmt9hulnKvs5ujxjw+o+BIQm/uU8wT/wP9V+P+CSxYhhKpVGYjzdU+Il6sRNvleUu
NAOjdohJzuJC25wWxuR9QZfGpzA0j+WXmWfp0Thhx1sCjfxZI3vCXWgk4jbSIwIMn0rn7r0SGRRY
SlXUQI8JJHDzjqjZ7owUyXm5jk/V+M81Hu2Effy4ZE3zF2+UEbm+1OqWVYLskpW5E3h43Tj+eR4x
NbFdVJDiLaZoTdrflRaEJlv4nu9OP+cgvw7I8HYrko1gA7FaBa3+7vOGUTsVLg2mKSL0VNhN7f3L
6QqZaoVjqO6X8bMfgL9hvRYnH+rKcKyO1zk6UR7eLa5U2vIyM/uP7lX40jwJjgS25yjw4fbtyCvV
CCOPrWu9Ne2Cb5ySLuOVqviR1JQAzFMWeY+Rig3ml8uIiPBtPN8+uXu8IuB/DMTO8ePss8xXaYhh
n2I7xfcgyd3xzQgZfa4u04XZxUOWsWlsJV1t+R6WfqQuHt3Kt5kASXUDklVex5OGqHNe3NgcO1vr
448jKRaB4jeCL5unE3xMdauvEERPIh+inR34Ivqbi7TumG9eptgG0N9syRqk+0s5nglU18+XOnnE
BneGg0/ONOUizTCqzA2u4chnfxaXxHAPDtYgvdDZenUpx+8/naXi0gRyHoe8rVAPXXkKDfKhPusQ
4cgHjK1RP37CMa3668ADtFRVYXOUPnQNK0lN26SztRQb3ScTcLpDsy6xUZH+FrOl65W0K7eR2vS3
4/WxZZENLhaWkQiiSo7TU1lHacdAhDpRUfwOpy1OuvATP1+JrmSg2np4JRODEKJdWMB/nyFJ2RtS
d6FC6g4aAAm9m2s1dcwsDlgRYa7JOyiFXfz54ju61fqoavCvQcePaTVqekzfz7yo1OKXPDPrIvzT
JQMoc1Lq4aXSeEL0m3bhA3rWbJD4lsFFhYlYqqZe2HHnrub8pMUOc9FKnOAYEl+nqUHve8TReV9d
Q1ysxbPQarPcbheU6qRjyBvCsp2xWSIfiVZmAS2e/EsGzZKkBBi5xHo8yIWMaqPqvYHmJ/JIfA/I
TLuQEwGsYAxP3ywfYMpwMA3p+mtDQiXZHF27VZPTz2cJNAeH1PbO/ib2tot2ctQJeW3IIezW+9mt
oguAvDt3fLeD1/E0BQqG9mdxxP/NcxcrYQMoyR7u19Dfa/dYzdt+aIqe3CGmTBM+GdK+7BEyTZ/K
GKDo4vGwZk2ZRIziOjjUZMPAdUrcs4bNFmvBPLUSRVQ3ffCxkWaHw/VWBIvyyXqi3AQG3HSzGgFv
Mm7kwwO/+VEBVzFa6OcgbbxkFWjEyaFY99+D/K5wOM7LL4QaVn9DSy7apQLVK8SgBaeaUgUQ0Wh8
rZrcxRdajHV4Soe+o3nOoEGnDOhClitoWYgIMQi8t6GsJENDZ99BjEIziUwIxDIZ58SxK7W9W+z3
zexKUNjGYVYMnhLGMRM0cGjFKrrfN7SBRQSL1Gy2MNOelavDtWaNgA9fGVdGm9KoKu/u9jPoJIx/
4CWzRAm5SwyQdRleoAKMjf/qSXhQkYGf0QVjyMk9i4/VOmbc0XKGUHLSY7j3fEWwphc6c6MHN0rY
vlpaBoQa0//QOFJX6HDdp1OZ2e2Gwl20jVXWDfNB9rIcfmcYriftYQn/ZnB8+JOGwnpdgqJulsrB
X16+CTvIXWrG/N/bTIVlP6lcWDxRpWCQbA6PHR9yduJUbyyERFIBVy+zrdhV/w5fgA6gIusfcRLj
JMaKBPs5lELHtQ6AeO78FVQnFXfxehTPclthC8EiNKa9VRbhvea/EsDxRAoyEg0f/akQfvdVs8Gv
+2Yb9fQkke9d5RtxbjWViP+100E+H61e6ns98GVRX4w7RVDkVDOchpI8hREhxEITOWVxsTm5/RLe
jbfbO9yjHGA//wSPUvPRjoFplsaEngDdCWbhfwaGdEg4hbzOgGFmBz+o7MUFR1VkWxvf2f2N9tvT
SN/5rKeDKovk59tx8TonyUq4sJAoPC+YVyFP9UHDi8wWr4jiQnT/v77O0WSk1t4FJvhyVkAjz799
wOwWYpEI+J07+GUrKCptO7Wlw6jDBX/+oP5z7AxLbuqA0+zHvaNjlstpxw58sJ1u654u9Mo1TGdv
DLPy3xyhFqX/wZN/oSG87o3O08YR73IU0DOrKPJCTTKYwT5c/FphO2touQOE4hxVjNfh8wQoSQas
dHC/BN9okQJTVOHnJSK8zl//D6fcOqCn6es0jvCzHgMeCklqlVWAusFzyrgmhmBXKKmYRQzOs0aP
ds7PpKGDC1kz2nKC8iuhwGqywmRS0JqNy0KJT008szL2UvonGL6nShf0pShVpwQt9tg6YCDgG6wN
FgXILjuDWq63M6+dVy0D7jXlmswWQqiBnfFIbVtoZFfR1MBdHu5zO6xSmEBpbgfeXLUE0xXk5YhX
HDlj9SFb2kEa4vyx+mqepcglvj74N2rpbPKxTAyRyZ7ZH4JrClGhFhGAOHtR5AdjIq7o0MRUH3zl
C/11sOtciSpCJY3OZC1lHDAK9ziae4JZFecL3iSWcmLAGF4Q3HyfRIRK6YnRflpKBCPdzqlM+dbW
Ik3PFbB8lgMpSnP9GydyOpI3oQhhJTyjQWBlT7T1T91Pp5FO8gV0OzzBJ8KqgdygtqOFG9j8TTy6
dSAuYM9//QjYAclTiR6cvtkytxi+CZppMQAru9OfY4KFDydvA8/aIagdXsSf2Zf1YUOfPoxpo+bt
ukwNR0E9Ip3ufoGM/3g0Mq34XJx4rUppfl+n7BEfZ+hFKsUu6RH9WVlljlM57Wx4VrCEUOxmI8cr
7NLlUZ2SnDR/yJlW2F2L09KOGdglj8MhJ83CmLPB737i/vc4EF5VrbjpHfa5l6JB2jkfBrIOZmgr
S6RCJnsY+tUVSXa9dkuIuYN2/PHuAhNAAuX+DwhbEuq13dHF14ZxXD8qUJxgNdquVoNcsNgQ5QUQ
YWUYReDD5Fj7TxM2aRHdZRKCNa5PAEwd8i5drAg7jwV+O6KNL/CJ3j6sl9Rn0s3QSsu1+rkNGcbi
oNSa4zn+2QnKx5kyLC61eojWkjXYUSwjp6kXxoKo9SPzaToVnhs8RlstcDErLObznK5zb5yj8I41
g9Nc1+I/5CrGhLOpz1n2J47/i3ohpMugB8/52FtIF+x2DRmZ2cVn8slfVRLiqiTwvCBmaXMr2n1F
OxnTeR2Qxi85yDhszx1lRO6KZxQy2AZYmXmxQ83py+0xy9tWCblBdxE2EPqX388FaTfsrZ8RCgn6
W698bYdqTfGeQOIMAtaKF1rfsVolxtfDAu2K2o8v2XKtnrJq3d7MBCs6VnH/82PzNTVYKQLtC2aS
sPMA46WBLsAyIL4EMSfASDtX1xx8un+yg/bndvTov6+mwzB6XTklZjopYU5D81hVpPA5DgnRM+kD
Om+BEp2WhzQnaQ20Z884jjon2u2MtneUfneLB6BgbVU+BNEtG4VsmJefJFrf8oRds80a/n0ANB4Q
yCz7vzqXpXSwSZPqINfQeHRVZ3CTDCVFsYKvqrB0dXv3rUVBZOHQiF79F82vvheehNKlL1bipw+4
zv0BWsS+0DkB6AAxJ/8iTiZN7pd9kKfT4PsK9WkDK3ECwpYJtmjruZ93g4c0E5huhAhQqFfaYHIP
WpGVvMq2r/EoTSKUd6m/4iNmuGr3bo03kZxbDpMLefuqxMJMYsmDTn/zDmI8F9oeHrSF8alOZJ/B
JVJeW1hXXErmX/RCtutjuQDESUlIPRaL44WfdNsZiTDJf8wQB8QEjQC1lfQbpjZDoYk6epsHarNn
S+G3g1ECiBwWWNyjt+rBGycV45TaIKE2nC/gv0a730QDidr+ltyWC8DAtpVD8aW9uyL4/ImP5HMD
gIigDc4U7d7QHNLbWXpNrKOXGq7/hpiUv4jRmXwWbReWpE//DHjUY5Njr+cEAgfe5cQGy9cIR3IM
1jkY9lqK2Aht48gs29HClx/+3k44zt+bfe+FRe7sXR9Ac8wt1lxZBA8AZ8nAOUGQiJb5gNvBMTFD
WHtaN4t9kMVfmmTt1heRcCubhmjTJgmO7lhWxbMQBjfs7tZZmdQMNYanE/5l51YcYh/E3k7Kx0pW
oHmAJ93T7uytFFL3LqorUxUtqNbUkcfZaeRnqoOZ8cUHCixnginmg26PBESc4vu1KXmFulwZjbH0
q7QmBJ2kwXP2SuErVDbNL7hzEjXealOzBrhJUoxoR3B/PxyE227HLSV3U9f1gKyvTXkj8lj2sP+6
rxAWFwADsNxZ4CDV6ZX+bQiBbKvKcsK6N93ddWGjLo4D5NrKU1eOhQ7ESPqGw3kPF619LgHg13B9
E/FJpDQkFueeUsuEHRXgqFBMQIqZSpAW7I0ggdus43YUgiiByJnUT4Yd4+7L+EfMcgLuVsbZ/fGK
M4dMjAU6C+58kYvyJdbAGNWT4hKrwvJGvAXJcflQi2NJw8fcC/Ol8tEC1l9mYn+/eweBUhn70Dmv
++HzAVCuVXmRC/3AxIF1MOOqKbf1eqSi34YTmpOyhnNoZAMc9S/WpqKUYwOct4NXhHGuGQx9nRCd
s16V/dsQ2sEbf49xWKwgtdbEFAtzVz9vet52oWFf/RFtPmv8ZgcKdIMAG9jmRKqkTCcLEn0Hrbds
dl1A/5d/STVShDYV0Torz2GakWj/qXqOPceY4qfyWREduLwWZkUFD2oxFXFCls5l+g4jVnpweSoR
gl2N712FCju+gFEDCX+JmSzkJq7YhCXM2zRcFoTx2u77Rte6WIwn5RSm8shX9lWF6dwqi8KNKK+J
+Onz1itVNAqaAmrDkPOG8i+CCfBcTJApqcm2966QnsXN+iV7X0ZBjzZg+nP/GPnpSHcjmF5Wwu2m
CoPzyNhu4RF+VwGmA0u+AAC4GVFaWmiVetIpN83WDvZwxR/6spVKvgcUHcEv3Bl1sO75L1+dmpw4
YlrAToj7iHr9YvVKa9mrcIcApeKTzZKbqfqKJgQ5a1YfMZ+SgbaDgMOS8ojW9siXDTOjvkm8nYLe
NDky8nVEnzxSCvNJqGRmYKq/IQWWZ+RxtoaeUJp1RcaGtvnmO9klWPAs8cj5eDh7ynZwgvdFjeDn
OBlURgPuIBuokcw/kE4Qcy9C5kuTzP99t5lMjrVDHQwn5tcTQ6UAsdTxIFYUGzcSJnqo504jVGEA
pCedxqjXhCuwUZnHVqY16qR9KGWPkLNwg5fNLqN59l67vJEtrKsBwzYLZeJueCHPA7HOIXlwAd8T
+eNCChbRoDlwdFTPpvLOZZ5fkJXDZ+vkFxiFY6GIvcri99uYlZNR9G3JNwkupjVcEzVn1X1vU66I
HUX7GIu0hCmr57x2scq3U48xdYQ4a4wJulVrxJ6IfjV2f+rTcdUxVAMqnAP39lXadahK629mVl/h
IE+6vATQZGcl6dF1eQrI+RvxZZuYW4duCAiGd6UWBSH6SNLVCQ6cz8XW2rZUatHhvJQ1yDPbUtYO
IpCOVh/vVh7eTGINf2J2GEVZwGKA1ELD3dYkYTHa3unN6exaghBXmg1YOVIYIt4+83kEPmVsrxE/
ClQzsYE+MWVHugZ2Fq3okta3ihjunY9NTyvlH7boIFMnUTz9+2vXxhFRDT1uThsmf5L5uC1plmGw
4jdZrB+BKtKwibYak88FY6gNhwDd9gpSLh/egzddpFvxMefAr9wwidYVY8T4q8cGfEKn23YjMFWj
QeW5A/N1gGqK41a0xDuhgjMXACfw/NHAWXHwi5BIOTLFRtVNaGqP6F3HPFUhDLSX/s4sqMSjVyRv
S6BXU71KfHh3YycDe/Eh6RTU7ZwshSZ+gaPSeEvy8dGZbRSxSK2ekWk+XC5dnpbxP+2KyNwSFj1Y
xx/Ht6jNoYku83ReSFIPeOlK9JfeGjFqSUjllEVZew4pjpoKqLQcIJd1vAA0CAx6CAahasiisy3t
uI+ZQFj0RiS/zzuD0yRWPGGULBHdoUJGwJ8fB8FQgymRUH8MGBGPUs63KOruGHvozV/ui5rCMDuv
qGD0sNJfodjUVJzIJVspAafiTCaV3T8sAmAKHhlA/dZj/1RnwdMB5Y8uoC9aLhShpJAjKwSlAFck
aFHxqTi+Ur2slY6+3NLNMctbhqamVDBTuAK2McBExEBcNoRi2pftppuPr9IVfXeeRq0tIa1KdCLZ
PGgnb7NhqueTp/876SSOwgSHOTi5xdcYfrWrjGhX1q6NN35+6Rdp2NRz+EHiVZoDnMrlysNhQjg0
okB81i4plRNcbyJ+0pj7KTzYpvSA9k6bG97rxu80zF6C4CU5AaKkw1mtJbCJ5s8HAF33jSY/RNrt
BvziVxdwGlcddE9Zene87IEc0Gb/sYbreHJHLFLVyKG70K5wcDV8mGu1FzXrheHCjU9kgfYXwG0U
BNinMExl7vXXPAsGSXfEt+9YcZDsUjgC283F1xnnGwiQkd05k8Z6YBjiRa9OTzQi8HhOLGPE0/nq
E5in9Qf8fFBPyaJzUi/EUGyNwsXe01+sWqk15VANGwRzDfRi4U0FV6O5kvqWb1QY/Jw21UgzMXF3
xMZDI/gBuAsncjp8+Oe70QVtiTk/rljhPUv0ElUEZOMvU3SfWUCs+gotbz5QcoAHYh72oo3DXWlP
41Tve7ZS1pYPShd+p4by83TNNmH/mal+Nq6U1vFaLeD4Zo3ScvXvdwWsumcams8tfocxgusAMx7y
qva+SjLt2qAV8BwshNgYXvbPHSWS2+bceWrAcn2iv2vmtwMz5nPI+bFgiY9cK1NIvM2oIMkmkMm8
YAqzjpsjz8eoIuQwQZvohUY55Td7Rdxkw6wDR/ONi/1TPmpUtJVCwVKPU+gWpGdgamBXcuCuw65I
lx+0arubOte8q6b2dNKhLpZm2/Sj1aKnvozMsqKQjCFWPIJfmSiLp722ruQp0iDMSk2oLfpEaomq
JuS0MlZlsk93xn6HNnhRGhhRR4uvp0lKbyENe5iVXPeWa1pSdPzM9u66ZxtN/XCjJA+jcWBrtPPe
HlXM1/FPARwVf0aXvNx6pRTgDIiFbR9CtmXfTYx+X5ZXcsCx9Z0js1I1jAlj3NcCGxylKF+kV1Dq
0HpVwCVOrWkt8G0ulQLX0mJAjvbGGItAT5mHfVSb8R59L6Zl3uNWDNvYkm17wUvo2vVcb3Su65Wm
6BX+DekcUYL515wkEUumjwv+uL0suG9wJV+WKJ0kZBaikSm60ohwPvz1Q3kScB8TvDI95kyJR2UN
53JrvWwvvGQc39vCAkVAngT5P0JNAP+k8pKwASotYxXrq0IfcEukFsyeNGVmpXPbD87ix+qwW2jR
3qZ2WqxeKekZceU+2noVabrIxmE1J55ysozWGEmqnAa/wLt59WU++mQFqR1pXT4UsZZqxPRHQVaF
pnDgM4B2nhy5wxgDCYr1ZYFY2NFSCGERZeyTuoKPx/akCCdEwxGUINIfUYAelVO/YF7xupPqc0U1
pl3JX//yR1PZ4tvgE58614Nw/Vi+47nnyrt5vQgdR2gFMdpppEyzoIwNfdeS9J58sWG/qYwA/6Fx
wFrc7TANPeXCvksSr0gVQVR9XFrbeAOPSO2h3PQz25vKT83rP+75L1pMgxSsIWs8cF0q310ch/4i
OFwRhoWGlI+lnYe/y6Swy66J0er/ncxbFO5uBwpFsk+si4P4tFCRYRgEt2HXpOD6j8p7tZ79Nahe
QUfZFIsK1GwJGzLEFV8MpfiddDReeQKl7euRMxPmI97526lIO/YXazopRg6aA0fS/D1lddtKNn9o
Q3tg0/lS5uH3TU1JJSp8TyP6UE9VUVufDEcZPyYtH+V+MuwibzoTzqPSqJ53TwV3lLJ5t5titmTS
T8nXhRw0ahW3mjzhSNezH5IeTHKyzn9NKiyJMwrxLEzaCfk5faTFbL2mY1Lj7KJnLJXgQ43yrehK
DyCTVd+VhzNvFTUDuPMFpgj3vRXMmILwDGbNB0+XzO1eT9QyDSeLhHlUGTqIJEWHoYPehPhHfRwv
taCPD0ob8loMyUE3Glxsg/DxlRqcJtARkovTkOPjaGFbqq6H9hPeIwMVhoNATE4VjUr/8/XSe7xl
GzpzisL9Aek6x3hppjmuyIEw4o/uBGsIatLT846sHXsvSLMnYgojGvtzl/Fjfd5F11LnMYhjhfvb
0fILR5xVD/is6AUrG+piykeBoe4tzpGjcp4bPZ/C0d5huyYvqlz/FJSPtTcs3Z8GyfgeoHBoGh79
Y3rh0G/BfLkSYx9FowpmTVKxcDJh8Xytpo8AYbBYTjlDeeenD513UOqhIwl2AbuzhoAhOE9wV9K8
5EpuuMbChANCJlD/NpPWHL2/G3gjF6BWH908/PfB5laO+AhfitbC2/U3uj2TBvqdMa0nHt+IFqhz
/vV8RuwOnu27IfGEVP0OpttfSmLxpys42Ucq6IJ7/hvVxIo8rBIxP6Gy3EftVpxY8lJ3a8plnB6M
GhuTlvGgpBuKnUc7XOohIIAMWf4Sz/UU0hhEj53XuKiwGl4fBpO7Drwh2cVpJanHsauUMDgVgVkK
qUi9p7JhhQfpKPtyP2iraikU/qyKs52G2qz0rIrzj7mZ5vBP9buey/39eBKDa7DvyHOMRchyK6qT
U9Kt2zXCFreKbuOg9vhFHxa4PFC95wMsMXEwf+1/55CB96aED4a+n7Mj8nUVeGEezit24DTbjucl
65B1zKZ2+RswQwpoZunpyL7ydQIalOVSI5ilQzHR+EJPUqOJjBGkeHp4wSLtcLKXTIx0HJjBXJYR
cOccS2cJc/+is4LRK59H474OmeoaXLarBzEuDuVXAsPXMco5Pobi3mmf6XDYJoFUKwoMBor8CrLp
DrGxHO45ioUc82ac2cDaOgjnebT7bfKZ8ITV+ReyLxRSgtfhSmrtpbbZDU9EtvTa3QNWTwq+L1KA
/Y6PQA9Qn+7dB4qBGR6jOUr3I5cu/y4HYkkT969h/cB5NBOWquctYa9DKj+QoVndORDjHexOHalB
KvA5iBWXB2M+lq+RQoQvvAUKRSiAudwZw3e5QbdIE1i2Kz1ydX1VzH1/DT6KlUob7Ntyd5gNndXT
njRlguYkkhn2QdQZz0oP9H1rMczpv05Qnjl8PePMReRV7OHrdclnoiCyCVQ/+rLyql8CppPWaCSl
RCD8lswbq4KqNGp3dbSvwcoiP+lh1SM7UN4fepomPxL7R0w6Q9Mcbwj+TGCBCdSfR8bfux5ODFiS
dUDqp7RRESdT++LxOmS9Bnswz7tej9PdkfLDsYBZ/PslaTsiMJ/6geJ60nrHav46bacpE2pL3e/U
160aCEGiU3eSEX4eqMi1vQvxwCF+IqujAxP33hKLxEiBHLHMyclQdpwuic8uSwdf/8fY94EhUPku
Y5uI/frh7nDKMvOcjzrGihD00g0JOKdzB7SvQS/kWW2ChorO8WWqAblStbEpJ1WoecAvjb7VU4sI
+lv/282FQL9KS1k+1OqfLOVe8M6x7S+Fo7FJskjM3QC1ydqTrz8Y9tK7vojzhkbf/4RpawZTW+o8
x0zi9yUquZQOiuT0UbkxQ3C9FzmFaho6dHuqN8AyVJU5QZgH8CVHAQFWHuDMPlgypyrBtd89grwP
9hCK+WC70m6iOO9hzneyRzbkHyeh7nTWlPaljaREPTZocLYUINxGoGfH1NsEz2ccrkIs5fQoPKt4
ljAUlKZVAAm5hIOlG0pkeRPY58hOLaLOFyM8hvYoKGtq+kf/N8dTg/ZJZ6WmDbOBX81ZMOGnSAK5
TBOeo5Y3eoVkd1BNGszt4pBMv3C4qRz/jmNLztJMLtQsnSnQZ3CHELNqhenWz792KDD1JEksavG0
sEyQWkLcDISyWfna/DMoh1v6UUJLEFxSj3WLu61pdB+f3d3WOX1qP4i1WaWDjqW6G6pG5gqGeMV5
WixURS7IQwOcH7WGYm/lg7pS8aK0U+E5cXXMBFl/EQeqvUvizJseNhFgspfKJz/4q38dRyMJGxKq
qpJptW5+jBteeiWWt2os9Zmx23/Pz1Vg+5eGV71y6cDXb/Us2PwT++0xGi8qsYtX/yP33+5h8umL
ljLlKB+M0XBYU3m7+duDCltj84w3Sdg3IIeYP0IxYQSyCpb1ungUBPPy3O0aYuA4VlnGRL9JFfId
SKkSUrSK2ENs7jxEb7/tCYelnKNbFx6lD18L/n0iEaxPoID4m+DKPc2Pm2oy1mQARUqKft1zD3wr
Bs2WMGcCxHoaIboy6suuoH++bVtjSpUayrN3Y6h863YhdV9XeBvSMP4vCeOdy4A6rpFdzvtOCsMA
lSQo6YPKHWQCAH52wcgWyNQCweZgPzqO2xBAzCOozHEA/K1UWQNcPWQehBim6TxkMe+T9bx8OZv1
SdLSOzK4ogii7UZCfGOcpfbtBjkAg1Q4jKPdXvl0dbDLukI3qmDoJEoKtICfsVD64LRGS+s/of8h
qKbaKHqVXGiUXfnrhJa6P0/UHFfQyK9u5mHt32K8A4/Q81qOyNKm849uR9VkM5+q2vuvWbS2qxK9
e5XNx2fQgqzDHYox5R7bdHp2mv/CACBMcGjBZ6yNk+dZXijVJvWpep0IJMfbCHT7XdYUiKsrFsDD
Q6e33/+fY3CI/YwWsV/ZeoA0dyBEjh855bl5EGS7Xk49eeIFrlDZL2RWzkU+n1nE92wAeKA+dI9J
Lkdwi+RfbYJAtJO+uFHvdkNOm5BlYWARnGs7SxZk6ibTfceNvzjtfdCWRasfQJMXOsW1L9jWTlXD
SQOum8FO51cqui1KiaAnzzulORaYpjIA7+jPLsr46jhff/okgT06+BGt5WGfQ1Htg4K1XYqBwtdl
ovyx9Iawy5vGfvCQhXgX2/0d7bWbVU7iCZKBhOEy7FMJjw2ojZLL3wzpiqZxzHQGMZSTjvFXsn/J
ermO0zZD5C4yybIHGMB7/dGEbcc/pPd9ul1/YpFAt8ixHiFskOCHnFwNCe1HutxcHKAYPgJ7kA0D
dHDUGEgEttr9SiqXA9uP1Fv/GnqJ9/fojM+DZzaG6mUTsl1Rgy8/RYW29P0SFs7hvitW+kCgg5mi
VUuGB+i4WPdQ4eN3QGN2iINP4p66r7OWnshspOa7ZdYwmS/46pRw6L3r2wDgbNKWAHW632L05UeE
dTjKjGuotUHDO/YAcr8+1/XK4HLZuCCGQQo+yp4kV2lZBss1kJVw5LIMkg428g2EnSa/rRX3MQ9x
EgU3pQSZD2eMA6zgzLNRyb+lAPl3U/4Yz3bO+3blHV1I/CktFw1aRV4hHwCyiQYwpKg5klC5S86P
k5QCl3CGxWtWaEoIkEpuJ7GbjYfdwUouLeKXpY3ot/MKqRaF3WMobStTwXorl6t8rjxH5CZfF2z5
kg4MxUBnbWCaXghgz+V+BdJoxgB7FImtpIj6WTRQ9lUdegJGR27Vm95CH3PbU9zxWTpYn9Bv/wWd
SwM/DbfmzTv7DeddGt3p5LgYTfuxRRH3yLv6Y19nmGHmCRO687eOeZWy3Zpd6Mn7h6WJnwDIvlXn
p0f7veFErSZRebT+8dCt4l53j0QHEFTb1B3LZ97ueesA1hrgs1FDZWPWm8YSP541yKkDmDkO5wRj
7geHsxw1HJBsro23qVKx9TTxqmDzKaLc+UvpkUwVo4XRXC03r7o5mZhkcEmDzzWarHou84mdvMme
hwrm3SMgrhHneYn0GIWEyTIPzcpRW2jPxeye3pdMwn5oB73MuJLUOnbhUyOYDmM3viJXi2trGJSi
AdnNbePIJF7wdwQVt1KHb3yI5L056tx6a1rXEd9x0EpLDH7AdJ0ghKHx5qO+4UcXdCV5iQHhZrVc
oJemLYnGeIhafVFvouQgfRIQuinf7h3YA6Vw5dfxNep8bYNgmbofPpIpSmdXK8aDaSDGDzRm+T04
TF0n7//chKcGdlQB/yp1ZJxiqQpK81w9xPxb8r2tVLWZ8X39Ip1fpL+mSoc1ooft4lbglpTwk5zE
mYwNpTh84JnhrvnOFnzWaPXk+XlToUP5tZ9Rfe3BN8nP1omCclY97Sye6ilRhBTyB8Vk9DgUh1Gs
+6VYq9EwGt4pQ5TNGOExmrI0/Jf8yC3xv8z+VPjMdQvdP76OYQb58XarrMQq0gOcdbaWnwX8PF6+
5e2E7ThvjkLexiuFaOO24CWc8uJQjEbCpEVhEN7UdB6912+o8jxrY27hKqQchsTQ6tobJQ/sJ9Nz
sm5g+w48izeq5v/mkWZsC21If0sSzrhYu1Sy9ovlVJIB39ItxY6fkRsIeQFiStB/Qj0ZZ3RCqPup
ouKupMFL6QwWsRnJgZp5z7C4eB9bhZ4Mw/38AQnEyPCNIzOFV7Q1546HFLIoRycfhDP8fpZKS6sw
0+oJaNNg3B4P2hOHSvNffznS2FqICdOpLyUm9Xl/xfJ3M1W6n66yq50x594hUUoMZtGuEUvYjQC0
/ZbqPaFEL6U2vsJAXlxw8mL1EtoaFJyq5fiGyZpWEBl+VSYgONMVj2D9tLm/kdj3i1X0WYTbYEL+
zb0mAuO9IpDBo3din0JmjiKp/6tmrm8qY4UynGZ0ytUadCLIrRgWFLinLgcpcpN0AMom39SG/v+E
OVrqBvg3AfbO15xDSHDwC6BDmruyJEX9AaLJ9ZsD6bxgQEA0hhDYiZC43rNOyrcWeBJbcTUfzIeS
ybEAHdMgau5ozwOURMv85lJXtguGDUISiG7qdoj9UXXQ57pigLVH42JBlJzHzDuqrF0JCYeGnYbX
DZ7CjNYiB487WUCZVnKRdIchL10nauTVQ1PFeo1oHREG5ldymINv3u1dhYS6YnnUX13Qp9cM5r9o
39TyBX2VOgIaBQsf8cRYEIXS+H9go9GcBTXdnljs2vnCkch2V0sLjjqwiul73M2amiW+nAi91P3w
0tapcjgIZ+Y4UXbV0RFQJbSjAjcD1YRRc50w5BOiYS0JiDO7OHv8LKDe+1Idi3w++AMUnCTvkUsM
vqVJ3GzeL5OrLrufw/Y4hrIpO5+j6z6O2stTQfVvQ4d6fvnXKRkhxzU1NFVfgBaKoaRbIO5ZrG1/
Tx1uNKYhgnRe9nPZ71OjRAAscEHsOkpNWS2HCVz9ZabIyL76EzO8BT/P4Zx4jqTGrfMXKUszEfPm
ZEfiY4cwijeWlR8WwkGkWjEYQ8QBpfXeYS/lRrWo9sycZyLt5jurB7wCRQw0UaxbUJqUbLJBzF9R
4T7LYVrPnDTk3YEUfdhm00S4ulQpm7GhunoPxo7zYqty7W1ImacUmrKnf4bM3f/xPn5MK5tdiPPv
cnEx6a90O9g9bvnGBeuk8aiBiagMn0odQBuD3NJ4I7JFbpuLSPc5otGViSaUusvw9phCjhr2EMp6
QjLm5X7EW+VClc7icfKe/B2siBwPMIH7RDZ6AxoyZnyaMMhT8+j9ezajaWAoQDv8CgN6UF20wZRh
nBwZqsBFNtTAVhkrFP7WdwZ9jd5luE+oKA2RYQ/maog7ixGl5XmiSDzGpJDoUYtU0nui5+XglZzM
r2pTLiyImjnV9y10PnFonHBBlhd/2n4ppcPiPGL1rU5ROcz0CZ4SBIMzZgz1xP8zPAOVl5C3BPiL
drhWVEZQBnpguHE7kQIFTFvUfcnAHMg8vzoFPLvum6+8BUSKL8SQneeRyL7LXHGP0phNBsOsYaqR
hfY5w+GdzFOztKw89duyAMsBmEE2qINHCRkYcAY1xBWZVHzRxjDofdSbBYCq3AFzlQy+HhcLMu37
9uiJIPhyncceACvOmZyuI/Xhh8Bu6fHDpkCsjeloDDsZPTlp5LzgrOmuAzNMTFCvvbNOQqzB+9+2
xjggGFzGTigG1JLfiSJqf5al1gLB1X0cXe5XlsWMOhP+ZX2CGYPYuc3eiClxF8vYrDgKeJ7MGMXH
apfxSMRLpWXMyiZ5DIy9/vitRobbs5CyY0UVNfysUg+b4HPCk0nwq6yNQy/+9lOtsWjlhpuShfz9
YWvcfawgAL2yaEXLZxPFo94CQcd8NRJpFemkaw/QXrYUT3P7Vg5RHvN35BrQIEZTk3Xwjc5Iei8f
Rbvsgjbcjl4sLVC/ANLt8A/Xc2xrp8p6yhYx3qMQDI1L9XLrqqxryz8e+TIxSUaKxjls2hswwEBo
PEWnnxlVqVVXqKxzvtnir+dSXHylBbqPGQw5MftMeN0/Wpgqh8zWxxiXLbT9tYwfL1SKyyiXVLke
c6Yr/GQE7NyVKOoMDmzdQMVcbmvWnDYjzemRBVY4o3CmSJC02C8sPAUEnSFpRRBn53gQTrRah+LM
jkQvINuqu9VF3otlpqkI+UDD7n2vRtCCpDLN3qI2gNzBILj/0b7KFJKprWOTOv71V/yXZmU0pgDx
7QRbMW2ojdpFVAgkRMB3JvZZ/HrpVv/vQIx7KX7n5AUHOw1cfaYA+EZXaq9njRzITW80HN8dLmrH
MZTaPY6yvQEiKB6e4ESMkPgO5VetAtkVgViHMlhCheI8W8+oA34KeCcWSJZ9S4cQVG50+/dlw1PX
bUCSoCc7RBtizmbFelYIT2/YJ7JcaZf8ZdIh2Otgnx5A3vL1X5gfDQghk/9eWdjfIiMjEorTS0e/
Jfs0Zq3Sf/gLtaSVh7bD81JzXu5EBHYj92MdpWmE4sFIOPsE5qM/MLY1NGelZQMc+YjANy5pYJ8o
knFYKnsn04CJtnIN6uRsnczq4zPz0YPpGH48/4HSJMycBJ3dNmLXaVs/kGhHSyqqoZkJEDv0qhRw
70MrhVuK2sXmZ7Y1eQkHbHk+LduBV4gFQ7CVVY9vrJAWVf1lAv2MKIW4VgsmtWE606UENbo7GR1e
1t2okRKWxrCuzzQte+2ju0xUGpCsu3/ADVpJkLiUeEBYoYSyI+9xUqxsPWAuUa+WRjfqGjxViTVQ
S7uMzYvOlFVtprRR0iodiFux1R24pwpMG8FzoAKW+9vJOsjLiZWT/Bbu8saqnq3oBZG2Of8cglcn
gahkkKIkkfjWAu+gs2CILt3iv7H7by9ZWB4Rf71hvEbXNp1JrWo30Ykf/ld8uz9ohgZ2FjFdDirS
g90UEvkiZZLF/JBkUIXKmG+A2vwVLgxnA8XiH2mG/LtGrrNvggEeeNpqzB1rmhajDyDye9f1Gb7m
SsNb5FwF3MGR0XiWvIw00MY0JPCtJylwXhZrmiSU0VYSVrx10BalokYiHO/BHralhVwy4m5zeBpU
FAq1bQslQ2eeDqCDhUH4RjFnAk2XjHRugFApspq9HDJ4WgpFW44rpaHfmuZCGcAJKJ0P7n+MXJ/5
8tb7pEgwSPuPLqlWg/b+PXuWJjBNakZT/zKcsP3C2xqM/kIeghP+1cLgjFRfbOVx7lZsdJYHtgcn
F062AYxXn+8MxqBXDXDcInPdO+DECfqA/K+8/KxAkmHSXPC5mv0bHTtU2OAg/unZ9fJIsFqs3A32
GEDTGzNIVeeT2P2IX6E+CrBD3GFQOQKglLCVqa2aha6jP4VJ2IWF0nC+mq9ETkmaw2azOZiHosRr
wWtCsYgB3tL7/tJWUdxS58HfMlt2wqqNKh/HvUeeEcgSwGPL+66N7iwQkRp+uT4QQSUHQhzBw92D
BlG4WMIOoK7w1+LCwYdnmMp83mr/PyKbNHoXMlgxKwuvmbItvBt1uKGUsbXwokc7r5DAs60rrKmI
hsS4wF4OPdZBBOug7owWGBm7cFB4JPFy1jXBSOSUZMQS0i0/oNroSmkGC20/UtaeW75gxIgv05Ka
4f5CIhpxXeELXV6g6cAm0dsmXY1v4j7lrvj9P74JRVF1mKi01C63qQnFyG7Ye0qPdIe6RiPlCW+W
GLoshdIAo4MCDQpC1dTbJjAm5X6y/h7Oq2Gjg2Lt6UWacEuS8wmQp2dnj2QAWU7hHXdpfVsDU/kV
C5oK/eHqTVADlNzDU4MdTIIOjZy9k0OLpzOx2Rl/YS8uPjX6IBak3Q/sHrAdvm1KvfT/EhcbURMT
YcZoAMl9K3aNtpwKRe3RnPSRh5Ps/brNyB6H2Mzmcn6DECWYfdqjsYOOyTbIr0wB3Z1eVwnpBs5Y
C38GggQ4Zn0uUg3bouRJGGVxCb/ExlqRyRivA3ytZDX1477w8eThqPhBAZ+/8R1GHq+eA0oHPg4+
prYUTTe4UTZd5PMwKyLdbRAvjzQ23x/+LwsX1cgdHCV1ex538JL1swJUb0/wCeoFqZ8Xm02osI6E
utlRMjND0864EKdgwac7MQPdDvOg+DHhG2M2+gdKz00wjpLx6oJwUFZ4mFNRxeGawLHH4Kj/EO5z
rzA15bCcdp8497O0DU/Lw5Kgq2oWUdx/sdeW6lrpFXygMAvTXFD0VXure2EPfuMWNFCTIxWJETIN
FpTArvDL1p7qudSwRGzhsvmwM6M6mz6XintBx553vuJWF2D4K+6SL+H1MaEjAIo0m4W8AnO/dJt4
dmNwt2VvTc5eIoPKPA2SpNVxaeHN7G1+WDAb9KLoVRWuNJNYANER77+d20IzrNvmz4qqoCatrvC0
m7RouNJvFy9WpJaauhQP6Vee3k8IRpJG8+RONwUHnnBXlZyz0B1Q8o9/ZMHvbxsjgDoim5VM0x1J
I7iPQlmpvTXAP5nsKBLV4HOoClNhC8/TxpU9K4R/gWpBhi5kZUss7/lgcySjp+PEGBQnOpLPfUQK
fQuDg50sxwVMvIq74nAnQNUlYxA2FR4xIFHvnl3yO6aM/DBRNjf47VvKuMv3XzNeyfN8XNkM+SMp
lt95ZfBvG9io33OzrS0xkXUXO6RnKZBAGff8DZo/pD1rqPTZvah9Sjhry9c5O+XJmq6/x23qFQon
/if+g99gK/P9A1l8ruTFruxLq5iIpZ4gmypK2YV39wDyW/oBcz9U1p3ipLjtr9ZTt/0RfZKRI76C
ZsTZX2tSe+Zaborzu8XhJJNl33S45d71K52++1pMJA/Uk8rcGJub/n7sxh4WONXqQicwb71YL1gE
CLT6MnyvX+XxlqAePvSpF+7l2oMrrExUbgE6bjnXcL0kHjUGbzZr8oHQQ5ERYBSRk++4M7qqrmtr
INzf15j7173lG0DJGMZ8d+tMMk4RrtVn1XDfIE8x1gD9ox7onUqgGR47PK3b6GqO+9bhw4rBiPdV
xe22lfu5t2k+WYM7Lt+zDh3QqnLTuiSPz/xpxjeWtbDz9hvNibgeGx2SJgOMs2n/ofKNzBll7cEN
kjUzES7QvVWQJ5gAMPXjTMBXkMZxqY3kwD1ZY232V9S7VBXs5S31FBNy/RuRy0kgmKC5a3sKK2UM
NIos0EAWZa3zpXXqiwa9G2LwrzHW3OIBsSSSQja0tJrJnaU5oV3Q2uVwVJckpCoU3jYK10jNnwYD
YkS6GzRVIpRWHhMrkHEpJnIG/Bu4S3Bb4RW3kA9TsKbk2SSQybVO3KmzIbZ2KmkBmmFJcXt4aADD
eBnKMaR8ZJ4XocKu4hvaNmf/Afg7aTFahF3jqXNKsjzMRttaSYCVEI1lmVs3abiRY2I/IKcmUIJc
spxW364zWIBoecFOZC6+GTZQ6OjtDhjhMJG9nUqxfmKDk+Kl6ETrlF77oL1N/AMDAKL52zSDB8Mi
PCp6K0z0CDJb3zXGRCnfOiBq4tDN5tJkbTwJULj7fsOa9L/JjQpRPRCfluIQ+jEGEXskg7K3/U2+
yhcgzv+l0GtmxfYpLLQZ4IqYhp3Zmg5NDkReoktP4+p4uF3dKlDmnZtU7k7/SAtCMsDRPX5BtYat
OgzEpfq/c6wOOu7rp9n1wMO7trb4P2F4sjXWoILgCcNWe1YKjvFDs7ZKmwhu6acotYv9ExRRfgZD
DOe/GQRzeBBzMM1urpCTJ5LHacrQcMuHm61QfuqMCi288ReL2JRDcUS2c5brFsHgckWExX+WGdNu
2AmDEoHV7LLaUBMM6KK34ZSnOm0dsr9A5L4z66c7YdWaN3LuDPOD4j/ik1nurDuLbnGeQdjIVRjn
J508iMN1QwI1eq74npyDaApgTfUFtNOnlAkWkPruyr3PobelPZ4fiqugKk/IpfizKR8THT/zAAu2
Dn2SsJr3m2jvfcKC9uRe2qksw4RHKa1GyQK9HeXv+ktwVNK5LSL4f9h2bM/HgeFAjvwWn2YMGgEv
npz9sp5YnXGo4L7NizWd0NIzkH3FP846JLlNWu0ot2O+Yijo1o2dfQji0lAprGJt3P+M+yg0pVhe
8z7Qtw+o387qwS0umzEM7PVfBRBnPENFBQbqpqzM+S/blfRzaYjelDwc+rwrOTgiEkkxoFtUBc4x
6lbDegjE0SsuTuCZGIrAYbmaqsYQOHNcTE/GfJk5o1CXLYgfwN9s+7gIrJ/pvNshtwD/oz5r5AMG
t1+v+m5R26SacMg+tXEjk2qQbn0lQj6AKomSMzfCTfJChaUPp+mKeFrNSEPY8AgsZtpKC91P3LJe
8E8uAu9tyqDXb6Ir5iDWoL2QSqHCtrGizGN1JUTLMXddhUolsLvmVsSmiSObk3BA4iEHicrC5juo
TD0SskassgYWRO+9rYeVh+ObDYaOnb2Gaz7/46LKe6oYb387fiAqTE3v9IzGwcPIvePfoFKbkD7m
pC8vuEQhUjt+UZJ6byCPDceMfd/sYt6Ep5dOzIuPAf12rO/U43ybb56/wPkmLX+TBxJFXoletHV3
ENQgiAQm8TXnF8oCNJTDhHyIesMEVKuSs0or0Y9bRGsfAGOTSg+6WIDY96dYcpbqBac6Zz6kgebD
7ySJu/kk4swws5nWSOWthTCLYbz++bbP6SGJeMKCO6EEXWNt5TZ3ixcbJz42P/HfjMcZdDVnGonn
Qtyj3/9B7jWjNr0G8aIWuys4N/kBNqv/ULypz+5a+SyakuFFWEoJI099OBK/nE/DVhste+nWP4mk
u1cfvhL4K97eQeqrAJ840gcZ4rzCRFPtmreoe3tSV29jrOrtcgAuAt+zU0Bcx4PRnPxgoLJqfeUP
lU3IJS/F6l8yvFWUAQGL5uWGE/5DTZlKOGEis7mF0REFy9P3kTbMv6uSMTcOJjooE7wGZKN6NZJj
ZO/Gvauhn8fnnyqsWZd9RlHuX6MwkGd+UYF/IQ8022B9kPJtP/3DyIJcMD2wwaQJe3xXBL6XZUZb
9wfPM4NVnG5yTwV1ULnkRAVOugTxE2wjVwkdF5Mltwh4Cg61PYqxMcbI0sh1yMBskzUQzjQ/GISw
CfGpLrkb+HY6vB7uHNvTE52JncUnblYs60PPgnARMS5Q7ZORhtWDDXhm/Go4fJ205xNkd8KbOByx
4e53wHXQIzpEj+X1JMuinQ1ejPStRJByTWe5yHQykBRkcov01WUUDs191oTxCSWXiaATR4Pfbzow
21kwk8JVXZONAaqxFQR+f4qmYdN6MsPNFpizy+gQe16KvXGGtXmw36wkUifeCDx7iOo2SBcFLziZ
AcLkkZ3pDIM8Nvz8f08D6CxKzSwxyE/xX8N1xiQVs+/g9LayrN3lJI4P83OTRQikzcMl0Vjaf49P
bnh9Ii/1APWs1v3ZwujMqiBDqre85ihFpC1ubXGfpqmSCOC//gb07NOPLjqI+a4F8BXzADHWnfar
Plibb00tV4MulY/hmUCp2PlkeDicVYQA1X+a0dTDyMTPZHwF4VO98nvdwhk0WVhmdGdEeOrsoS+N
YmpD0nJRZAaxtdCVaLGz45f79bWFUvDFhiIdyQQfy3e2wc8e9cIWSiW5xE/OQ3TfwF/MAP2qujoq
zQZcmQYNSvxNfmxmeloxBydZdx4gN2Q81eAt+GF7bgNtCEqMUL5Ud+fYyhlvZlIcghv2P28SOGKf
c4LQemJz2aL5demxvKWhnaOx+1BuSJiQ0wNbgKfP2qhVFHcJHbcB2U1laRDEHUH74EFpRhFbKnIy
G09FDdQNvzXYxJilbmR0d+F/4GwKiCDI1XuVYnY0GXuPzPgpV8Q+omsqs/kI4wD+7xDGehGqzshf
xRyg/oXwI8JkIoZpx6T0pYhNt3md/Q9aEV3x05/dScbDgpMPJVKtEIxsJ90a8WJp+IAQcJCGpHDi
9AhRzOYaASGCfprUgxtsr0mgnPaoOLDhUNyP418LmRUdfFv8l1xUWN3LO1upUKUx7OuqQMJXwleZ
IoMha9G21h0zN19LkgSYQo0efE1OpIT4oDSMCVCq+Drsi+y6cPUiXVSjmmm8PS2bxdLVC5odcxVm
gPAUEkiXDWxDPMDShH9U3FuxfVRfgkMJNy9FQJfmgfSO9iGFfbPWOw59NpIAahxRVlpIIuE7J1j+
Bci8JwNOuw5mo1YVDwlVXVuQZ+NoAV4I3nzs8fu59yMCSBdAWjRtWjqLCAq9oWRUHthvaeTF2p9f
aSN+Pb+1zb01egZNAdNwyPdM44hQRpMz+0SuM0MM4VxbWrKzzTeCQnQBBja+EJ4AhEyy737JvnYS
tVGitUmtM25dUNQ9sqh/dSsnrAxMPv4VEozm2A1af50tGGOBDt5KO3KBtqG5stzrhPfAJB6b0oZq
o6x7lL9FNgvjcNI+bePZB69uHOtrmRpkngTUMybQTIaJ8UA1Cu8VcxcRu26yQtql4nJvKNeDB4q+
smtItJWJwzODcSj9PwVzsiQTeVAqzkyLsXE1NhMIMKuN6tnDDkw9w3Zv5ByTxodeJk3iVCz0GMlb
le/J0qMKqFikwbpWVgt1Uyce93houvqIeiz0WEnKPsA0t1BXf+zzI7KTUeqgr5gUGjkidhAN5XAo
yw05OCPpeQJiOw67iZ3QX0/awTHsktF0T4399jHfc+KkQhYMO6sWLNM8nDwzp2PKmzHNW2kXn3J/
8ehMDEJM5PAGddM6gZMbNd255xzyhR6unBGLa7IPA5/BvfBsxACnEx9TR6Xlu+G7tUeATyDK9y8y
uMNueD9qkXx0jqEssbg0a80UMDWU1P64vcNLX8qlH5qHV2cDjWbdl3Vf6IJAUNOCe3C3NYO3zCRn
nW50AwlxO1MsRB6nPDAjBTTodbjIMr6TFZMhZQcyc6PmXKumJMzUipjlhW8rHRM5tTpsP4nSP7Qj
oHiqr1+aX6GIVTanKXMoi9uxmegxh00itjwtaztnHCj5Q5GHK3//w3W2/jBidsJwXNr1dhlK1ihf
5xcdDIViCyUjVCOdHZ+rIwRYsTC8m6xLY99wlsGEyhnWiHJaBw+o6P/XqlPUefwK01014U+u3YKX
QUQFW2s/la+6LJw9WEk6d8ZflX2iI2K8vcFrpKgj9D2N7W80xnUGJbw7v8xeeowOf14ZGUciKvWB
eJi0ghvFk6KIuKTQIpIrssZmIAAJpPpf9tDnIv7DnZbSkM4kjM+6rR9A5Q3StIxdvpu3EY4GjLW/
DatX8umkDPlicrqNnawBg1+emJ0lkjt6ttB2DrS1rNF5DXHJGWv2kOQspy72X6FT3GLRpWzZFRdS
9CDfWve5Zap4EufZW4OhU5/7WgaF6YvrLYIHXLPk+j0L+QHDy20ak07pZB8MnbCj4fQpxzCVZi32
fceZ4frMqp9L5BnHl8R1drwG+/V3VYn9DPnFMurF0/VO//+DFRfs+dObkp1kxcLpwOs0RkI/j48A
S88BJew6yHjLZC1u7Cv65qJ+0H0i21QTrBAats6Pn3Mbgbr0khREb9lO66Qonaskdmfv0vgfyKb1
nGLu9YavvANl2+biXTmUBLYwlah7pqQlz7tONg+KYdDRcRv7QwdEtCBNbxo8C2AEHhitoU1jeuKz
yil3MKmaj3jlR++1X/cjFDTjj2lzgAbXRx9ZF9p/tiWepZUmLDeLq8yb23B2ZOHJ7ltTwIZzrjh/
WPDHedMTtj6ua0UMehtZOahUJDuXoTugUIlnLN4HastAO7EuFCkeh5XG/DNaKCGuGjC+ZTfYQcU6
hTAPHTmUat1CAM5Oq+4SqA8YMkfIYO3MtF9fvN1f0cvZsurysZxcC2Q0lTs8Fb0TF0WC5PWMhC47
X3N0w1KhCc/Nkw2UQvzqR9vgiOI00cHWpzL/RI9tQDPu+WOSJ5W8fKRS1PCiHnxhQYLxhmMlGd86
zGIO7oe2fE/V5/MTiBEjMCnjnwg/4okYx5Rbc9G4w28u8u7ijOJfZUAy+sUFoIVJkEZk/Kq/922a
SbH4fIHoA6U/1hX6fY0nuBcc1w1zYfdFo7pQQXgo875S8vB2QQP4ggp7ayoFMT8Vq33idI1lTs/f
hJu3ndBLZv5SiNJwXU41KW5Kv0HodCBobncGfHMbP3rjsORkMIw7K9jbfdGOMAiGcqBS71CuLvHZ
hWcUwPILa7YOJnLQ3RePLZWyAcd+B6NbOGqhZtQ2l9Dt9bU0y53CmnCljxOpYgo+KqUFc+wTBCWT
Ubp/Ue5eHxUQ0O8S82vU1FnJhGGvUazBjdyL2cKFiGflNMK65rAO0klE25fr9DiXMecbfTolVhDN
yHW40jgRE6i3M2kVi+rIT/UTv6harMs83yt9pXAkIn8kqf4XqlVFokKuM6d1LL9uuFl6VSz4esSP
WhdC/i0CA3EusWnZBwSme9f25CvnaMVeVI47GFlnZnNq71jzvFzyNV6chBJ16mqpkRONbVGNaWRG
VYq7f7G3rS7myJmdYKxD0Q9LDkBD2hTXw476ACEaXJREl5uVxXj90OZIswI+upLM3mVTCmqRr2Bg
4bzVh5nuOvuZHh0RHpk6m3h1FYtUwYkMVjGPIoq4dVxJ8/VCZWg53BjVOhFAwMnaN3fVJjkarNqf
XPlYFj5myhRrWS9KeRjXi/e1OmH3o22sCESkhaoOjosQJIzdfB4F+4ygbHlDr6fsplao1jOCMiiH
soB8Zqy3qGX3pnoSCGFi7mFcdYXqo5biW+eHWdK10jkyKv/xj2N7P2O8giBVvLI0jmzRt0kEfA22
z4039QLKB25/JNvHAsHubb9ERLBAVL9MwXhquK/PeVwe1OBo5vFiIZ4uW+9a6UemrvfwlrEsfXJw
Xr/XkqbM2uyXE51uPXHqdV5UgkSuIyrhMg8UF2ScWAwWUndaN4vFb5Sj+41eJ3+lE5JRY6oW7oIa
rYUBXgAyR4YGEaIKUFZ8gU9N8hmuxGXOd5Zkt4ECAqBkmTysqFmXt+Wf/WNZXuRpxqHXZyTU99rz
7HJf01jkeEdufTjOp3zup+eloF7+r58yh6VrVfLCJChl/WxSHPg2ihu2VajNSwkO1JmdSA40LcWa
CS1IWh5Q4ob7+jmRqfq9b1bGVnUmjdremFH8MjJJmmjjPd6uFTV28vMj8J1bRcMTsOpckVSqtj38
hKHoT914ao5DuKAywFyc1Txk+vhfE3uViZo2cnS+76UGJskr8Q9TrdprkA77Ydidf1JTHw82R7Tt
zWaNPBAdbG7CuTASonUMXS3Uhats9E7XehmIaf7DHPX3sNPuHIDgXxs+iRmZETO2LFvWNC5N7ac3
+237AFfUN5TRwFP5iplpOaz6P/+Kh+qvJ9g+wqIGWMET/fcZGa8o57lmhnJqVxxose4UfeAKaOzE
R6zxcQUMDZXxLrUt0mKALMw4xaacNzckRComPYd5JEcCKtktqaCIDoWNjYbWo0eDItcEk0Bg/u4M
JZd7Ro4hF+Bpx8+vpZFIol6J7GjZM1cWXXhf8mt7jcI+7uqb1X78x9bZfDUHTdjKnSgKTH5iwKKI
mDslDXH7WKAQ6gcbcAZH0rFEQgj5LVa5syIT53uo66S2Fpi7UxtxiioySwaGc+301uDG1yERkb/8
sXIcIs6S/Km0zjMHsBbKzKvoM8Nrxq1yC1wQTJ/oI4R5QVuDLiYptFQ/7xiY06iuJzIHW4nZPM/u
6txUB5ZMa/maW0lvwC18VzcJfpntk+2bq3N0BiY+BVMkU2ixiiSbYAPGEDcfuY9Bjkcj+GHNdzQM
vBDF+Cw7KKeC8FtQict3XiBrhYcYOyo/tA1LGtC9e7AbWa3c1zFTR3I3DD7WSKxOPmYfZ+LTyVJu
dENZYYv6P1m+tYliUt1d0Qp66Oiqao8CUDbD6ZszKgLtQ14T/Vxyes+Q7xSEoL6yiyTESmxuY6iq
5mPAwmYccgDAhiwrZPOAvdfXlFBNbw4HWYujdf+eUwxisRU6tptTqgub96QfFqDKGP0yDjsBaE2g
Z66lPKP28WkHj5+FxHAEwAsmEdrAvUBe6HoE/aFiSjrTaqJS2fsLftQYyKaS/xHQlMCgAEwZKNBa
gJjZtgdQyhSHA5sfohTD4IMs5P2wDZogE/7wi4v0UY7nNx0SW+5o05WG3UIme97CJRTMa+OCX/Ja
B/Tt56fhGx/4yQhBfzUEBRbjNMPWq4WgL+MO2XNiporfJMaU8S74b9RdiKME8S4tX3UJvrN6+zup
Wact2DP9vwkQDm5EJZBjPk+K84tbGXEDMLbwXYzb62uzL+A982lUmo8stwZFFkeVotv4SKs13W69
9d3wCuEmwR3J/c9+Fj3eAQXlmcD3MOTz0KG8No6r9otaKYV2rmOulQKaQzfJYhcBJgihC9jWGlwE
naFdcCAcms53ZIY6reAAw1WhPlGSdYt9ftUwEcPj34dfqnddgSpE7hIuz5C1IjyjmVNNWx89DdZ4
MA0SNSirdte4W0JdnrQMZiNe+i3cocaTQbNnJt2Yqn9Mxzc+Myaw9jZ+dyYpIioBHDjzHJcVRrae
BIH5W/kcL+l5WYInzfHFvo0yH8IL3n25Ss49TQxBNLf0+SdGDhRJZexUrK8Fap9WQv6KuQCCU/ZG
kUWS5kNGp47vrZG19R8s1kl7AhGJqxftQf/pY+L900HZPOe8m/3tPWq0+be/0rg8fkxHDjjgnU/h
0JD5yefd2wcjGrpLziEi+E10yExlrtIq4HgNXbqWeH4DtRQBNYIHHhf+ZvkwFfVBmyu255B6ol+q
ej5EBwR9yMwyvK/cquERQkgL8jfMRe2C8HTYU9Evr93yh33rPZtbmha3TllYIHEC2a+MF5zdgDAQ
dLUyZNNxme6efqQT5fJQm/McFsPogHm0QpP5H0+RAZuWquNWS4aceqwd1OMG2faaUPX77DWQ7DXl
HuTn2PD7Z+VP4FDIVI5b1WGawMEa3lrUXM7srytgVMpTCj9gRApM+cyXAWKvIWjeiI34CwlPaiRb
WgVe9J8Rs3Vmg+Z4lLJvWx9ianblLEEAPLRCi1V89D6ZLDXJZNTSHF1l8wrV15z+K1+5u2Nz4oQn
JmPeSFSCvfvn2XJ5CgpCXN9xciFwsXPIyYseNM3OYqVGAn84CnD/Haafw+MoX1nH6R0rSr9bpLzD
/o2k07xfjtUYtjrrh5OSzRt/0FtwQg7mHjDrllfL1lUZD5bRGwuM9mdYVz+P7UP/clkHTigiCj5o
PPrSHZyo8fN2O5z1vA2oXixPYZDAAOhlqNG8MwgAmLqlDFqm3tjFUKvyv5REsVLDW0u1qKca4pOB
9rOklFw+/pSp+2MmwKuqDDrYgkwKenSLMOJUYimnrC4BC6ZhDyG15/vEdXWbdE7shQonypmEigcf
FRGdzoi7ZbIMe+O4UiAHoYUbWf+F6c0Ya81GbpG5lQ+eC31HPPn3acCpwZIptMiN/MUOiW3w8Gus
5sNH7/yre14xihFFkwMDh/BLKuupf/LsiGvBMbjMvjwE9dELdDtKc+1yfPjooA77RamgDvP2MelN
/uJxFYW4MEwuck2rASo/RD782AeRZNN5ioKMzcLzcY/Chd+GwrJ54dC/krMbbyss9kSNSgZER5jj
QhZss7K84ldKiviv79VT5DjH8C0h0+UaTtjM5yvo4IZO8MJdh82Lz+J6jmf1MMEY21hE9TODoI6T
ZmnWVr236/gY/pvwwIvCPL1av/1FGt3fdBnzmJj93/oEqcvV5MfZiTpyUJSjTYn3qa7LwPVKf7z4
NcicSdlvpeTHMqnWWSTkZ1R/YjbWXU0WiMaEYFbj48U0EoT9fkp4aiQzkCxJsx+ma9MyEoHAFMXM
OSWWUH0yr4SeCzW5oMgFFdu0/MIfmW8vX4eNWDcLRpJ9awK23ATk9p7P6yq+rAdviMO3zIBS5bm/
hX2LkApmV0qxFxaCFFJsatRZJhtw7JS8NAitFxWnTbMcKd9nBx3LMsRM97F+fCEz6Ez44bXE59yG
OA7+TGBH2HSRTDp9BzFs624SVYB7T6i3JRsfLUQtb022lUAXf18+vC9GZo7pg6S15C/sfq1b2qCV
sQagcJkDUjaH3rMGaCxODSbtr/uEIYdO46Tb6uRVbFX3pv9oneICqQI1cWRQhAA3TPU5rHUJJQWL
b4eKRD3mJlGLs6JnOXmRDR6Y5uI1MPI0QG94KbIhfJDjtX4wK81C1qG5h4HIeR7HdH8lGoWGePKl
Cc1mau1YahC/T8jhLpAkrlzFLYeq47NDdye1LECZ+bgQZivWf8LIV6wtdjJgk3og1tGQMCtrtS4J
wOQEKwoMO4n8AqV4snOdNOcBKbAgnvV+zJlvuTK5oT28NBCA1mcFlHoTg64IOPHdfKHf5M5jvM9m
lX11BnoPxmDyPZbH3BT9VqX6nZrRmDnf8rbhEJVXsxXpj18PvgMhawRYFXfaZ0h6y54E9Tgpmt4v
8H9KOrjaGuWyxJjMUvPtbvDKwUgwhH7nhHxhFUnc+jhHf4veHBnokVuXW4CpGd3HvDlr42+gBdi3
CNyA9j3jaArgqQtwZBG8yoFFWLJkY9A9s97DiXE4z+V42PAQFftdbNUn8awvhpAnidha/CpWYGhz
XcNmjFxT+VmGLGX3bDhujLiEbLxZVTb96ImFzPd/d1/z5D2kigxXcAI2DCjzNgtJ0Z9LiAJN1lzA
dJg+XCyb2nhreuHbEjLPsSB/2HPZqMzsLJbHviURZj3k9mOHFvH725w0BjsKxRdMc8Oqz8vqe+DF
p/pMpEoZJKk3zy3NLfDE1TEJ+/DuZVUFS7QbjMyKNRCa4NIuB12QExH4RdO+Dm+/X2mytBWOe1dV
9B8O0ZPrLggl5LTXKDaVMbeRiVV7W7rQBjVSFHdDB0iDjCYltZopFsALjftZiCChDqsiBclayoIF
cFkdb+y8d10wgO3OpJsmZdImiL921C40Mg4SFUOymbcn+1apYZToB31RulGAoIN9yuhyKcfe+Fj+
JbihoP681v59jvlskXg6imlQpNPPAB8ohpdOfvaFvLPWXFdh7zMIV5FP31hAqH/tQ8+NEj5yVR29
ap+/VbaFB3rwdlcUWo8fDYqu6AOl9oFQTjOhwEVMpI3T5Br2HTqURIl6h9ZVS/z8NQfKWfDSUFcy
rBrQavNCyI1TI+nTcNfL/vfWAmSN0IagyxhXnMBTsxNhJg6r7bh/tshfJHxatv4aRoO4Wa1ayhu2
JVgepJyWfXJV4oApSV9tnNqOL0iwPSKRKuYbRMrVQ9Ia/5Ze5UcZ7ZEiZYIhX5jg+2F7TiOpxEMz
Q1RGwd+ASr0dB0Lb8TyHZKfCr0iJ8oKbXrA/2Wpbl6T3rAHMEnSSIaMMXtfdCdD7p92ekJVrghJP
WupEf16ABdPZgJDrhrZi5Urz8fyKWJODcj1SjcAdlYj3pIaVChpyMVbzXDxeFZMWoBSTSa1pKPp8
kBTiGPCfgkBuiP5/ME9Piwi4hajBwB3fGcdNgK3HNmlGfckzAneVOv524fI/z4Nz0tJlYCHOb4qn
9OBBYm+W59Ouxe8i1GCuadhROrEWzR/zIPkcpMREm75SfYUumFfCc8DvbptGcbfmOOIHY8aiNzmD
3BAMXlD46MjcXWqwT+2IPWFJ56bBaSLllT6OMt7hixFRC5ceunBQJAg5qSeT2aaDAmdKRK6vYc21
z//qKJpDa9vhhqXYG0ZFjxENfQPhYIVHcoeoWYQY8ZqNOPjSov+7vcPVfGQBzE5bSZvCbprStBx6
PwOjsDlPKhgpWklWEa4ThXXdioYsSj9rSaYSSz6NsEORAq1vblG3NNv2QAqLk4SFi6wUCtacjUXh
BYDe0RPbDP8TzpQVoYZNMjAExNafE8Xq6ZV4/EjTzVC0ZZWAEmFXFIPimBmX0Xo3VUWIJVPEKc0F
4DoiR3QqQh5IwtMUZtKjVHS/i3Mru+YtQ89aXDBfo1WxhMs56QuVXyQUtOkHRMJVG7j5m+4aYdb4
Nj+9ph0taUF1erd2s0vDZYgDmrKOhPbuXC/GNRv64Aqc6CTkTtymOymfia4rOp8/IJm8sUlD9KKU
rJRE/zFpoODOBcHE01I185hBhEm2gSQi++Sg2rpLnjehQ4Cd39eeQsKvZXuUyly1gw18TZ6YLVOA
zPAgnSNgTFbLJZagVyO0F8qMASiEdzuJL4KepQP7/mH6NbFkMKvzaITNwnbQIOrB31C9eIF//cj8
o8VcfT0ell9LDCyvxR1lMfg8wTUrKiyvLGtAqxP4Zz3SqphBwW1J/kPNUmuMxiCbVZ4g1dt2pegL
U3AQQuf4GYMiygfmg4SrWACuVSBVhSScF8GmrNezLvdnDk2yDXNPSjzPWf4IH0TsCRs9OVaHuUd0
F4ZCoS6+v8MwiAHFXKnM1SZp9wj32A3FUYhw09tjMn8fWUdG2tXULE7weCkdHVWkY5RaP5DUFnOz
VMXtnT8VVlcscFPITa+v5iwz7O6gbzMKF3p2KXN6HEsN3QH3Y8Iw1hh6dfr11Xa+3ZaJBPXzbVlm
mDIQHdlzpqaZ4y6s2onrjo8C9v6YuBH41Behc8GNENiFtk7mQSofCRYp3XIhWW+kQZvyBZwXeFBS
XJw28SAAvtjZc+Z0YSNwkzn41AFsWT6Tmn7rmC83yHCf9sy9AKGtCXHbIoFJOkEH32G6B2gFBQKk
6LM6uaZRP6IE1oMZg7SWqmpxtj5lBTv2xab94pwZ2F8Uct8P6nOn7mQparb4k1kj1FBw5ztNU6uF
FMlpAhSN6ZCVfI7JlADo5YIeDrr8pEmMs0aIjQMTFxfBxqeFCB/EVoSREYvyC4Q1/EU9orPmIQbB
Q4nq7Utu5/deDUjcQ1moHngwZeSkYLETjJJp7r4gYFu8t9rYOPh1StoTTm6h4MnvyfWhlsb6hN4s
0JDHLmW89fT7sDp/h9JX0TgTkEf6J+pkB7TP5ilKAJKCd881NPXG1NmEFTXv2JjLwUksv1s63dQe
P3MVVY6bL4R3fDSMst95HuGrw3BXQyXj0uwMnHbKR74f/xA2GLhxrt3XnJEo/8jNOBwVTXh05RCW
AxJ9fbLNYE1rsQTvZ3B1ibXTiIpfI1WDV6N1B7qqktl8kD/QzozHJj8yyCh/Dc51DVQh1WGYamnV
eiCMw/vFqXNylnlM7eeoQgK4z1x8K6c9PPz0BRCWyLgobG7KbrhfWgro/FlHhk6vm4XoYdThPRwB
Q5jwJlN7D5QiWqNvoLDe5kXJl4HVVfL6jFrzXkoZxq8upwsaqStKX3KcW0oKaigkdwv+dthfyP9a
ccI6KpfMDmcpCcDYU1/Nziy7j99D5TyeC7rBVN2VBO9clgEZk6fMTAqLD3vyk9Yst55R1EP0oOfm
5Sy02D+blsmwfcAyeFjGYTgoF+/rxaXbnmfQU1RRiV+Vr1w6uO7tmDC9VjwPqp05RBGdhwqqHjju
7a5K2ZxntzKlRcpiLiJyZb9vH+hkVQ/J2Gt7OS0ap88Dan9+OLEobN78/dJ/9U3pZcluC36HB0Q7
2B+Tql+om9UlqHnMYHHLCi6AM5+PzdRqcOihNX+kTpW1ETGScvVgBdrePr7HkI5wZCzRbTvQUnb+
Kr2QSCfSuJ1M7LMNPvlFrpGihDDmALzLTN+dOTZeOZjIR0uJBFwEUO26qnpm+pTv6mh44JpCmDNr
Za+7607WQf8zUUIOk9Cel7ZHsxFvXG+ZW9vnRGT+Bn1ESSjHWnuz2HMnNIUVFbs8F5yqsw5YzVEM
96Ve3vcvxLVT/fozwEjoSGMw9jcah4GenIcVnY0HaoLRav87BNYvZQbApEsxfiAX5siZN2wntEOG
MX5OexoeWlnbjjsqeaonDfKxKmXNERntojUN8pj3SMnBzNAlpc5B0HWShDJbIlmjlnnSz9CYjkKp
ZqWLrYvh5kGSGAURbrse6FPkiPMlpT6EeeB+19dYDs//EH3cYDTPD0jQUULWPtv42+09/oI27Lgq
IwHtS7hPb8KtN1Jt6eI1scKQR25T5Pess2wxwIfUQ73e6+w2Oe4fP+xorokYGcyF8GOVNneMKMg6
TmBn5WlrGiPz1pcfWx21ip+UnGajDjj2cpustk9JlaEvWYtDNfW+0JZJrm7L3Fuz4AtMOJ9uS5OD
zcsMzCL4c0k/xBz86cMikuHxfCjM6AM9MUSO8ee/0daLRBAKv0lcQSyVA0S0utFLx3w/QAIJjOgL
zZP0HtQG4w4tmWMKj8Dsr4qooDxVOq1AWauvRrhHilwHDDKKyHjMI7+tc9X5UocwKNNDF+l12G3e
EpHyXcmFfKannIEjLArBA08ZVKDixlwcgTbdphNhEp/gtW5eqbvpZZejAwFjj6WedAxRqSgVWAIO
cOWvYO9HHMnY7oCBjVAd+J96o5/Ouu8aCo032o62TmQdckDw7Bfgr/s0STygaPQ4jIBhb3KZMyP3
CLusSvUT/wkhdA4+RPeeo8ciCHOmGmOW0a156aHTVaqiRi9YHh3JGgvwLdxl08xp2HKkaHqYR+Fp
umMRLSBux27U55tioA93XS6mllS/vnEhhdaGy74JdV7Ug4zo8+hxWp1gdogfOZom9D0tUe2T7Z6N
jN6sjQ0sdkq246N0rdrZ7O03BTRzEaeaJ+ZGReuoXcPpfOLZElbCiexNhUGB61suabksLdg4t0kp
Z1rIc1UH0lt8khsdlXh/iguVf7XYiwRJfjzwlsfuBHX3fw4arTDpBUoT2KVv3GpieMQI0EP+iKLG
MfgXZVK2UVwaoEYHW0NXLZUUGs7qW7qn6cuTL1CLn69g64PwmNhlemQcmV5Lr1YS1PND3NkWO40Q
M/jFwfS0EkByZgIGFBVonEfcEWs+ADVCtOLYliPkcWAj2Zr8DnLAamYEyfQQibsgU/vOkc3y8RiH
+4a8oDA9gZiWqmtDBPudVjQ32c4SATc1YyWVXpkcL0E3DTtkoPQAqZf2eYfTzVcC86IwipmFj8zG
DMJfIngJ+fAns9Upi8iDb6tnpGfP38g+66jEcvYGIhAmtsAAiv8WJ/AaUSqZjV/XoGSagnMHx1tO
uWoWioEHFnzW6KVsXax70rnP/VNENmE9rqV3gM99Bz2o70p1WWVF0591n0Oyq6Ig4nK56IkSc2ht
uNMQlU0sQoXMMNTEArUQhAamhkyNKeEfOptOzJTKWhhGSELHoee/f7gqK5rUWcALFOSXC06I5ra4
LwOwzvRAUrykQeP717B5aVGj/x6NyfUpAowppbpSmnoq9zai6LoeIcyKZMYpmUa9nHRVzhIccy4Y
WvoWAGjAV/N2wX/Rv5WL4S2a05HQG3CHU0fTGuPQQYmXqNQiZVi9U1ywPR6WcnOLtcrkNvAmL/ss
RcWfBrkj2gZjW3Meilm0jw0+o12PeAGNo1Ejq5Yy84o9wwFOzcyGC6gyOdLVSgzV447JKQJZAvVN
/C8xgxIYPRxeq5XDTUALgVE9BDq1irMclrVCoATiCqZYdfpm6fk4NuGvSVvzJLLmUDUlVNjIyzfb
LnHCQr+/Nv0+4WN4RAJoI3mTC1AOXKCG1ou+1wPWe8XeYXtLvVoxwpZIMZ259Ur2tDukuOl+8f7T
EFcn2pMUHf3lvyUlkKOmLIhLvaaQ2ZKHU3NglMmk52+GUbpB/4U/bYNCITUfHQglpQHqZHFVM7rY
H2AQ9tATyaauEOcRQGiZikKYf2NMP9KXeY9Vt4Gc4Zt3KC2ZUCZN64uj/fdRurEmUq8m4/xMK+4f
nyD8mBbyyEqfxoi1Dw53o+pZWXq69OV6j7eFbr7m/E7r3pRMd9TIybHm72H4q3KWbSCYgpx17ORe
pcwjCWyBuRBejNjK/x6UQ6Fa3T1fHazoGf7W4/JMR/sAMdJ6FAY51WHz8zBun9gXV69qfvJcRGjP
Vhu1io2xOp0cgi3mD7cEof5DjguQPOaSWGVC9dzzRAWbVjxnffZj+QUKtGnxwBX4e2PCrLTpFUMI
NazqXmCJt1XLoguOa+A1Qo1c/6PuzFXdtPVPim82qLUKbGjiqF3Mj1VFp1vjA4071HBkC9S/D7y6
VYEsBss=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block
QCuLdQnOPKmgrfnyL3TzNGb9MmGOftaeWnZajruW4TIWVJZGVEgLgjSF5wLryqJ5txV4kNt11Y4N
T9luiN6S0pJsXIWy/g2VK296Rfoj1ayr3yKXft4v1ZjlsJluD23Gb2S6V1ShQ8J/LaEsTVGhkky0
o2Xdjb4LQ+LH474rcEnHBQbmNAXCZ/+eNXbXEnVyUh2eCsmZjIiH7exgCiDVxzQSJ4nGdaDwuU+U
8hki04VxXXRlCsXSccZ3WHNP2J/z8ptWc7SD5wRJW1aWUWLitFpaX7aMDQPMHdjEdfmn+thQY9m3
bR2icjUJC3wV3SXRcv9eEY/11pVYqW967Hi+qv5q0LJLK99xwnveD7GLbHdmVKQGGl+CCC98F4y6
DEOL78vCIYE8TEcb66OUhCu/yscfhlG918dv2QyPkXsKYR5nMy60ZH58WCdTwEeb+fvAkTr247WW
8d2pPWBAQjMKt40XGNjL5ndFW9XYq3vnzeG9Z06CDKWaqouhUFwhPGsUHB4sEf+YuXfSCxJSVNlr
5jHYTyYlSGUtfkWaEdJLbSylPVQHho3u1+VLsR0lDadL51LfTHJSIOqvaH1+ZiVu87dxCQPT70eD
jz33jbR98jlq3v7ZcMe1oP4bP36/GsrBoxcpy5cjFnxigzIAGkLKQZh3QChKHTErcBJqApage+CF
m/3xWyKPMPDZUJTpQXu22ZZqKIXBiDGRId6QotUzjgMA+5BFttLK2/RIfZlGm+/x9x6tlOOBMW1x
aMggi1wEBsAyvno2q9WP7FfwCePnaIKqcHUaQA/QW9yhkszaO9hm2J5GnQhWt8palmM0ggEoEAGh
nQDpiBcDltI0cUm2nyc5I9xRtCBeoIUq1DExCrU4q7WFw6U0w31DGnwnJZRmgaoUC4En3CDRFW3p
1oE7BYvJobFZXHdbJ7cVGQTfWzuO5yBulZSAVBY6jbEvWTO+YpJaW6qfF/cPd+L+Gi+0AxZ68QlE
U6/slXs8k5HGAb9yMqs6Uuex34l4paxkkxRz57/41gKgj3VAL1eliLWZkhkk1TkFgE6GO+ss8aRS
uWwZ+SL01B2YMt3g8Fq+12zNG5Gx3f/m3Wc62x8o9yiS6kZ2rI6FwyNlyvVDMT7C7al2Sv6igUST
66Ot8NAL8bgb6LyAzb7PbjWXo1FwzxpmAgO8ctowDaIX/DVV5hC2SrcL0NdlflWjn28nRt7nGwZy
NbBCm94U/FkX/IeRvrZwXqyhnm+sR3VI1DHqmd5fMV20MUjP3LK/zghF9M+3ZcbUdFlHfzjS+t7u
WBWKzW3A9ifx7O5HqGG0FKlm11jvNl4WXyjoQhx3cbKxvocWQ3BUmh6V0XzCygyFEwaJFk+S8qoj
DlNGHv2ctR6VaOceXZaboTjbc8n9Zm91WHamuUfx3aQK4G8mThjABQjpnDMlv5N6b37vyRU0ucOQ
gH8BmlescyRaZsz+AOvr09daFTBxysHufsUlPlhrB2DuqviOEjBNYDR3BDh9ARbPnXhg/y4QpvjP
U3e5LT/3EOZhdekKi2slwCvLl8NKbU8V0lqa26iyxFF9GDFhIAPrYUpWYGBk1a/sHL55IJDRi4md
ZH3xaNDm0ADzTbFyIT7QSyH+PfR/9kzk8T3fNaiLxB6M+PPTA1h74bMz6YF4+Fn5t2qhMIFgZo4k
xx/YE0/AdcrnlVh2yVEZEcp8lZ+9+cZ0Ylx4zniZiRnFixF2j9grh3AUbarGr/uEkIEeEte4bJ1Y
75bngb9j+cbSzPLy0xveS25L0yrcqzig3viI2M2jtT6EPmglY1dPM9zWKeBZNlj4xA5lveaghpNF
Bd961Yw5C3LNJ/YgAj0ZM+U53bqeh7/WzehKdVFwnpvRXaKeL+7KXeAxvl5I9wwdTtfc9rGOOiVx
SXSmawbhEp9TF2ov8k98VpnS5Mek1Fk3taacNR1cLVgDi6+e7TsT1DZT2IskZ6W03W5tW9Hx2MpE
vM6bNt3wUpduKvMSHyBhbrK8ov6MCNsmKuDTEwGutno4JmWg0EbWhZn7a6EwfidvVpB+wHafceMK
LKkhUmWSj/Gc1foDOaQFEJoCyMEXXHd1jiPtqN8JGUwcMo+CFmEz+xDS3YLf70DiYOY9X3lxfILo
WZYGFpHYMOmaMaSBImsm8QcV4oYBmezWK2FizGt5f8CVsx7jup1yyeGOBVcuyBp2mFeu7X8NRvKs
ZQNuG+GhukSiimjwen+q9GuEs1zSj7R1zKjBtqwv3AZPhXl9a3PrllXxJ5MFATeI4ixlSIbrPS/z
ZPzrZIbxX5LsQ5NT8EXqZPyOkSXLPDmt3C2IstNt/LM2jNojO5sIHrdquV3GVWePscysl4Arey66
nCipX+5tLUTTWgnChcT+D0pwFX0yHVk1Mb7r4J5gT++elSQ1ypjfp9W4B/6ApL2XiXHzOHV/6Mfr
taJa32zN3qUZRgq8G7U/QZ4nwjnarwwU6CwQ3bGcKpXajJCEwk34rM+D19UcLq+r7weIRU+4Kh4V
xO5361lL1sZLu1CpkmYnNgf5c+CdODCDP6PZUad3LFPJalpY6NExU2KOGICqBYMDOCDu6wwnW5bv
7594EaT/YJfGQE1g9mlG0oargTipP/Oz3SY7qBSVRAowWUtD0JbHhJ0gNsSeY1nJ4qXGtZEPtexC
b4cjO3DTFftzlgH/8WdmFzVbcTHf5BBipCIpOIXmG1oqI30MXUgQegL1ihceyABZSY2x9U/m5lbh
I6hi0O+9l1uVPL44n86LQaFlW8RQO5C/pViIvQOU5+Uq9r4XMxtnZ3hgBXircUmbJkNEM4u1SFLZ
faIhxy3shZejztHT71qWTYq+z12E3nAX4M/Y+2kmDotnsh7xjbTRN22f9ecARVGlenoK1SPaAHe3
pzzKliOwNoHz0CskWe+EflAoiEdR0jLvIX3thfvLFsGU3YXqyHi2qZglEhLbk3TytPC7ZPwU7lML
CuMD9RCA0Wn3Gf18Hg/YuyB3OgTyyd8ZrPLVvZN6BblDq3PsZoJv8FzEMoi3xiUQ+Loa2vW0ba3O
p90HjF/mNsYRgWgfcAhInfbZms7TOfGtVNGS+IAEcQRFQ2hn12p+VtQME5EX+QlIYgIT8Pe+wRg6
XZHzisskV2OHERI4QcgamenvILTAjSiwnQRLxXFr8OaHLV8lypUbCipVeGmw6twXj9u2geT3/WKM
NoSqQMZO6V/aX1Ahf5bhd108NEHo3hc+VqhCAxbbT1nsr2Rb+HRNLW80wNF3XSMgbrU7TANyyvDv
6UX9Qm5/kVDxKa0+ZKTMcrqaxSkRCejdsZhtnwj20TaOzZRcticyCjdPuvVSFpJsclEd76BHZBbF
s1Xzpw+o9vjCTQ9yFC1QZ4LtG7zGt9OejZHhZ9XqHDMtG71o9k7BHvUMgGXc5E1kLNIZuO1Vdc2e
Hzyd6SbQZA9tkW/u2vnLB4FEGgopg5LBs5EJE5MeK6oJuUH9ApntbimhVa7m9VFLnS7MIYaaB+MJ
brjIO/nHUyE/HwNagJzn0ym1eNb7Xa2obcgNl57QQXVfLSfpbpuQg8PyLus8UyrLnuX0DpRpmLeA
3abzxfFLWM6LvpAuY7U3KXgWjrCepxJ3H0aWxXbUgRyrOEpoNKD8b6+zaHbYQzPYvD4uiNJTWnFv
6f9AXmLROu+1AZLnK2YndtfFHpJTYCb3OtM4a/D/642j3WcYT03z4A5tgxwcuT0gIfJb7GSyvHco
6HUd+DROvv/MkACw0fGfUKt5lhWfQFOLqii+uXQFoO6o3GSdUyx6FCPjTF64e60wShUpu7+Hhdne
zhkPYebGxVDlI73oQDlHr7Ba6AiZUmyfQ2TUdTgHRHU6gHmlQEXLSq1jg6CwHAEhCiW4J00H0sih
XII3rDkKyWbrdEHmbHzFoFezVzb+YyyaBS2sVu3ktu3wXka/cLyOdTeCM/pWc7b7qKjEvL1HYNuv
Hn9a0xjL2egeh42ZUKEAFltbVPFlEhE0yoX62GZdGJUequzEkxweKxJ2kKmmJlhihKLAiLApoAxH
Zl+jeOFnGgPUq73mOORf5PApNo2f8Pyd8DD7flDdcyHR4KFn9McF3VabjJGvzF7tHTV9PYXAv7mF
oTdGOJx4SEgCv2Mm9ZQN5oplTkO/6MNnTdYTTwiELwFmu8LpS6SwG7V1noVBVfpDZbgv18DThMnt
2wePKCXUBk7LHid7/9Iz2g3MBunpD/GSWNv80pbwbuysTwaW3RtV8tyj79klBHwwScrxFscXCEf3
HfDfEBr5PZ+2BY9eU28u227VKn+lIvBoJebvTE3P/hXKiqERKYAJj6qBAUFjItd9cXVZVdSaNdsg
Q7eKEgBEUF7m2mv41fTZO90CAttvV2TA9WGjZtDfAiv8oeAnEDzaZLCKlfigMRIkbPkwbTHvwYY9
Cme7LCCNPmfi3uqPDq+8f3hl6FN+UAoPZDOCbvYd5qhUi+TcXAl/JtQjkb4dVXCBot0x0wi45P+G
D+3LjcDSwH1eaW3IIzP018ILKzZfQgs9/R7LpwjyhUKH0/DpXh/qj1bOSPFhhCqx3OqvlJYtYk8m
4fYGJuaMLbuUvzKTS5V+Vnf8TNaRa9ywI/EYuNNo3iMbtsyM76ZX6g+wcpeiFbISN9xGB0QbhVDL
OpJzxXbh/eeom3M2Z17jl2WS1mz/kfaPM0t1DPaX1AApTK8Z2i54e9noNA8Q3LW915/M8yPuRpbZ
GNO9ah9yIkcRj8LgUpF+9TAhJRGAfjV24dRN+C1LKheLeMYSOUQtZTBEoTV0si5Z1R8o6Iy/wScA
yiKhVOb5B8RDH4oDlxJ31v1n6UkOXYsScpOZuRE+uL6c8glZlzULnL60pZjzBXveCTbQDmR/EDVg
KmzVLFrBX9S4sA4gDBpF3ldu0vId0n2Vu+PXBXYYwZMyW19mpjgjwAwt2g9WSdsspLB6F72ZK76E
T67zxqHz1AE4bcHoYn5YaxjspTNjp5ZAHjv977WZ243wkAw1mKf1sKQTqE1WlI86BCYI0tOMo9XS
kc3gZJC5z2C9aUuDfG7B7WSkh0b0Ql23aBZWCSWvIp3gXF5AxsnyM7OXuw0SLtpKIPnfUOIDuxRI
EQ4Ji6CgxplGtYk/7s91lX9ywMoszY+CcDjeEs2InhwYzNQ9uLUQfZ5GCX2GuHFisL51ndzka/2l
6Bo+aEAIkPBBgNkQ2j+wnN29w2cwCID/dO2NCe2/6w3LF6H+7hQ9fdA0zrezBJnrCDVNH2CwdWY0
5M9F7ZnNpKu1a4kyOdMGV5C0NZ1XjAK8S5RhfAaeb/sJhIE/ILPOKlIn57QJ4WJcVLTzgqEcxtqb
WrZqBak44Z0wzyNGXys8p7kC/++92Vo96GvlZCLfKfquDHMqY9sOSL98wvt/+T5jbRuExX76AlcE
TEZmvX829FhTWBAw3VYmcHbc/Zwz2Ih52ZRg1Y6kK7HTE7QDSdZLLiXImH0CMAfAWjc63QnabWia
9q0sBSIATZGMl5lVfCgl/eyKcLexNcMzj1cNc1qK4NDDKMVw7Oe+wUTwvCgoZ17L09iqfnt/ay3K
A3Vi9yVR/A23im8DNEFrR73dcL4orCA1yC5MN2Ma+RL7Xzbg9/9JWBpKzSWdTzgPIwawVSLWPhBa
STf2xzAJu3MxEirc/F7O89dd5RYSnyRTlFtTnMFmKLryzh3CSuiEgmiIq8jna/WXweEzC+2hwPd/
ZfsPNzZk4AE9ydywDCkCrmVJzM9tB7MBtyrHmXykrW12HOM+Sw/XM9+bInUaEQgxDl/jXMlpDMnC
dih0QjPRrPezl57FuJ43PzmYBv9ZF72xO8QsoJBZ27ACm6AxBNt+5CkIUCvQAhsQPcUb0BD2tU4O
KVg7dpO9BblLyKpvtyL/JGZYMqq33VB/v4z8trSTKm7Rj0L5btDqOkmb8xLNslsFRC0imdvAG7qH
am30mxwj0Cdx2zjSaWicPNfRYiEPAKxwVS2LDa0OdeinRH7FkNUiSPicCjiQ60FysDxuw+Q15xw4
VnmmWYCeYGgmrAa/O2frlkE04C6MIrA+6gDs0LKgrA4GBViTHHSXs8V1YIw0oaKI02bR7CvvnfsF
O56K5VVwxQYo8vhLNBb4nWX2YH2B67QoEHN13lyShL5xYQm2MUZB4aEnac546LJqeeAi5b4TB06p
ZigiTbmVo1B0ka0OJc+vUa1K0Tg3ZuL310ENIa+sOYprrlUTP5HJniCf5LBJryy18V9fBL6tKarg
aLFbC6VstIruz6SregKwO9BqkXlHjyhPIMwXLqAAU+fPEe+TROsCwjtf2aqmlQagAxTASzuo8aq/
3BelBLhUsH86999EMqUns/n8US+l7HRyGY5u3hsujI9BZyo3OWNQziJZLAci/PMoIwNnTnokoCuE
jmU6xchw5hHLsKYjdBfd8pWSorFaHTFWqVGRhNPiRRE20YPQhw1Cc1fuZaKVUuY9dyNmMB+WpkFp
aCSuPIOkkAbOlkqgx3aLD+SnJwv9lCWtgNxun4FITuYMG42riPMG2eoPdNbC9mno989zaQbXmbm4
VWI/3yzvQ7XGVyfJ2TL0/sw8eRb2Yrx5Lz9IrIFCWJnlISSin8NwtTvVKl0434EflWuas41xcTT7
zGV+d1lqXyoOVVA/4R3NyqzTr0kbkrIv4LHYXCbIwaLV4p86TOy6TvOPuuof06vQLySNf5t7TWRs
tBOgcRWSjJLRoJZVZyOc6j2Z4Zsrwkdc9ouviLiPv61FEPLgaZ/ab9ITsotfXb6XzVSYqhbZ/05J
bPg5LBthd2PhFl9gz8YKczL3LUmTLEyuuXjK2ORfE1/8wwkjj2pBnE62d0vNI8qunp+vwjq+6v1c
gBvog+2G7ShyF2DHdodJDllfe3g8KOh2nnDTIX8g1T+PJUsLuW1hjUR16wI+Jvk1FuUFnuhR/8fC
8VsVTtCI1wRG0gh0ru2P2EBISvliJB5QKwFxRGEhO2BZKISt6WzYv4bArSl6+J6bLrF/oz8L1Wxw
pQDbFIGqiRvjP4Z7jtgBZMjTaKoheqP4C8Q4wDq43QHoCwScctT0B7fNPpD1SxYiIiH9WwSbe3Um
4teEj1G1IOdyBO/6YWG+/Zdjs06zuDboMB0NhtzfgePPKDIVRvMHYo6m6LQXCjCKa3qG83alhAes
Y2GJMPCCn5ztcKBBZLRcXxXrpNjaOxKNimuR14gNaGVoNuCIkeMJm0PRu0ST7lHN3IjUr30IMhBI
3vzIGNpinAzFIPQU/GscTVBfuoArwMlzlbsNnUWT2Lwx8VNNLAAAFty4+kKnNMmqOM0kJIPlD2SO
F7CU4XPprTL8Z035uuW+9gfbZsrQcVh+Ae1GJQg6zhYYaL1DrsLxz/E0y2VyU8O6eTCagl8flf1r
t6Evat4JhNomT2HofNsyfKpuAx+qgMlPaz0zu4201BBdr+R/lhUl3NGLRsVSaxpc16tlGe1iflHw
roJtyga319XCquurkR7UYr2QwOKg+8Xp8brB4n4aAQajK6Je8c9VQUXBfpPaxCE9YuUI/ZmBUkDg
5t09neD8QCBwJUhj0nr6wmgg4KCz425pM/oHFj47aaY3eEYNSnK5cs7ipI3964ta8NkVvIjjNNuW
bMkpGijKAAWNxii5P+gyltNSGrpPVQaEg/G5l2aPCGWMX4KouSiBwfJcDeaUZjgTYeTxWW3KYq0N
gtkVPODFMmnk34474GP6HnIBowad4V21ogiRck0a30IoW9stLjmwI3UCXTFDQCQWxSaPb+c11hqU
uiRSasuPbDLjYDM4d086jBMzvTgbgZRk9m9FKzJ2BmAHP5H00GB7EH3D1n6kU0WZ8PKrKltGZ0kG
6w85I/4Xf7vdoY+GoIa/Vi4f7oIZVdUDTaQFw3nuZC/HxBvDvTuvMJQRQxJvt+pelmdNF7E2hjwd
jHrsQKeEEKMqdOzIhbY0o8KKqQXg6cs0gujnjVjREMDsLyAZvoRoVZmdYX3PhMu+56caxFStPkGI
Pxx/WPfLQWj8ae/OLxU0UxLGDIxa90tQN0jLaYFvfopLiwI5LOBA7RO1EFy8afCZgje/wR6Afjsa
cm2wbjwOrCY0nB2uRCwSkmLvGOG0bm3CL2Lbgjsv9ijbSELrCYJZEG7dFsHsHiQ8OWgyjdjHLKDI
xGeaBqTS65wFbu1ciUiyxC3DGjavxin2R7hoHtDkyEH3t9JxhnmVEEFnG5PiRH1/tkhOoj07Fu9x
zpMnx4heiYx/LkunVY2tGikK6TZcNeqTYVkaMLiFSJuHHZ5B2NQ1cz9J5J9rgIw0g2lzo24FdSl4
7QI1IHvGDdvZpvDbRZJlp2ZxHNs7JZQAg7TqdgyMchDSDRnR9+vWEgPoUFf7SgbrpnCbSV2uSD99
AskxJsAiDnpAsQ0zaiupgrhyKWaiDVFaiV30aRnf+vl0r9Te1e6oC4Z8X6+kOvx2KOQJrJl3w8eL
FoMd3Pt9Rnfl5JeGV7JmDHnsYpWV2rTGPx81B1BvHXIEccsGRFYMxUPQ3slrTXA65dm4RpluQXKw
1/r0NzxHZmdPa/gg08JaFbx/XWCk2gkvb4U1UWFAZle3qoIF0FI4Mpwz0jRjY2Vy++mH+4/3Zp7V
yZ0OvbDMIyXEeuJm1lRwLFlY3RMJH5ghUeRO4f25l45EbU8LIw1aaZKqjBfsuSnEZII5iUu4B4ik
KwO7QvMRTThTx0v7BveDo6F8HUrgd/QCOGgcvgK/z8Vzcyx9/0zLjMERKY2pT3zELXyPznKAObVW
Lokdz8JusI9v9SyZNW3pUsD4rC4weDb1tb7VNurxhLoL6NEUPGC3VcD5HFpW8NMKjgCfGnhS4S1j
Ntyv+BZRLn8mXiqXCnhaNQTvll5ijqb8AITHWPjaJz25GB9mO6tKIQ8nKlwWhPRcET1J2Y4C9O8Z
qObETucJgLG+KWFHXKd+XGLJDDdgeJgBiLjkXOK074gnPle3CSNUzBGQGCO9u3/RauopBng2lVvP
8/tmiytFhO4bjS/hslob+iLHObbUJg0U7xPoIP1YyNDep92So+pOpS1uXEsqRZ032qeQct9+fiPe
+DwKpDV8tMtUUT1hIOKiv/JXCT3Ebjj6n+r9raDEE2nJlpbH8p0cLgWsXCvIgxizyb3q+sa7NbUE
jddJzle7EDsx/upKD5zjVK0fJi3SbCObHpUGMCOktwOSgWEDFhEEaPOY/HUhbXZio7M2gO14q2q6
cUgQ/xcLrNdbZRKwwGsb77UsvRxqdUttmNeM3MPByAxCI65NsYWJmG/QMmkf4JcFRTYVaGMYgMGL
vh5eoTwVAzsB6iNBQzCG0bQ0NeRcuZk0W2e/SpG6taq5dBtjBdeYQqhGOIoI0uMyUsiNpcupnu1t
VayfwWC61ZZXGY88ng4F2Ng95Nxr12D7nZTewi6hYeDVTKr1iEhkfv70XRXgn37pKTptcRNJKYKq
cR8BUrPiMesdD8tqYQpxMd0SZpZVzTsFh+YnMWU9KdECCP3Hd/XJy9Pt4VqQThQehh+Fs+pV2Drv
ozZCiWIDc/pdwg+zda/+EyEtyzcEIskumaareIyfbz9LjZ0x+oA2aVJWEJQYqBobm74bqA4u/R++
B623RDq0vCioj9I7syS5brazVgOBH3GfkSVc7WZ7j8JP4ulMqtvAj+k0B2OdwJkBauDvp6trwyUJ
pCFfGYmi0R9F/NOtuu+hY8RTqjM16rtO9xQfI0S2kN55v6rSkA2FLox/c1KnaXue6JSmQzyKzHLC
H+0aZP1ErVZ5A8Fab7+n1XE0HfE2rhU+qM1EvFROrm3SZ+hiQphqEV56Vpb/l03HvqJB6mXIuBUK
qu8/dF6i11GKQrzBjqR8V/V1FwMaDXkzDlrh0gIS/ADRi0ilhC9D4U6VJ9+4D8e/39vJqw2A9ez8
mhg4AH1NU8i8QKv2okcaBzYPVPmPKOi1WaUqD8UZt7SBSsxPpDfW4HRr3/0Xq5r1Pg3mfzMLjJ2H
N2iFPVYI42jDuEQPtNUPTm+CwTlinKRoppLfSLKjYsQXG7PZSKAuHuJ6ISasSIqcKeWDgKb+b5ly
jkqW8Kfvuxj2AroUK32JMh48aLXdMOyTqXvoChDk4eegI9Gg1FZJ8a5BTQut62DU8kC5LtbFDmsv
Q2feZdBD251a9p2C2XNPFJL0eYu2SEsOGMEMh6Wg6wAdYlCN4ZK/YxaZgzcPlAya6H1VRWqF1NnJ
2av9DNN9LBD2BTpqXAXVxr9U72aSmxjUImJTezuDB5EzmHauOMwXX2QxFgQIaI20N7QCq7MctQbA
EIx4NybXrrOj6ly3sHeerRLRqGOnkeU4q6GDDETUY/OQX+JUNBUljrahvI//Dclp0o+dhuqPCGm2
JSXMWCE+wmAuwDB5QCbVffVT4w1rqh1ANjxLCFlwNlVXj86hF2xilwHLE23nn/f8+PgHuMSqiz4I
l25Q7SmZLF8fApLmu5keYRR14QsiSl0hWb4SMstkWaWJ4DcXHvJRb5T6PeSd/9uk43Xq9sLNH0PU
c4euO7Op1XZk3Y7XGwE2qdxWivQS5Y7ulnnSvTirHUuVsbFxRs70CwEy6RBSJAYNcQ+CKZu7V7ws
g4P5RsPSxK91weCLL2NEmGgTpci6RIK7HL5QattccBSGqh015pkCIiq5BUew28FWIO81F8fjSII9
hGZXocDj8pMYmCzgUPd8LLQUiSfxGMS+zecrLSxFR8/4eSZGhNZXZBcglCmfMRkMjySEqx3ODi59
Jb6kfc0RPUmvLBrKhTPSOtoojM4A8fiSM9VBdxtZYUZfR+Qj/M1Ep+z2SmhzQkHPzXtxsGUDTbxF
B2du0Uhb3G+GYcMVZ99lBw7nZ9jA5cCjtQu1jRHb3VjffvgQAMyg84X2PhwQp3yS8sTD7Ju/jxfR
JZKspgWtk95OeVWqXbLO2QlKyay/lqhf3+esCtv162wj3eh1S0hyAk8cVhDb8i+bKKS58o4Z96D6
TDO2nIeWXtvkhrDpWzb6QFvuMGZljafCgtbpu6ZIrJVOXSqsobj4Ii5lc7uSRUo86yvvSndEaU7h
eB3KaDVJSyTKmN0KubKjCgPuNRmXbXFOjKr+VezLSFcmBgbcAGhx+UeVfLe3kCAN1Bgu5NnqNyjT
rTIxRz15j/z80nCeMINSmtxWD4ETt55w4OiQ6cUNErdN588rDzbOKEttbP73wzjnbtwdLdCHZBYI
lIKlr+r1Y/o2x5eHHhgrlLHu2IM8zxwPWksmPpXDNPbnQtB+4golQ9Rf3SpoCE3zPJe3ukwtrhM2
DFFZCROkL62Jc21G7/QcjFTJAOkccZ7lPRAWMSSG0qGo/kY1eQJ2Gh5i/SZTx/NMH9n4xv3V0f+q
ppAIAunPtms3viyemVCxeDW4aoaPSm2thb4nMXmLO1Mb/ocYm/QngooR+DBf4+J2F9Oc70r4BWZE
nSSuZO5k9yVYhfXniGkHefpU8cWdNM43m4AN+sRvdmIrTvxrV8tYOuqcMhY3cB26CAvr00PYzjje
JWVQ+YuQEzwBfFdT91FvMzJXaV+rgs3KtEwav5cPlAxgyVQjKeB4ZN5ylbZJvxNLCJ9h9UhAtxnG
7c47nSwTgMaxhxvJQFqRMWgn/8eoEHUDFvu7UGZk32Lq49dJ0J32mcnyPnBzQVg5ISiYRz0R+c3G
yDfehRU01U8Yfe6glm4b3E3VSOq/kOWvvvwJMJgJzp/5D+DBwS4pYGo/PIKoL+Wb91DEbsY5CL5J
Im4Bxmg9kn3jzvFeoq+aofiK9Zx6GAjDmcsQsRhRjPKSEuDbOL29HKj1oqLXdmxN/TzRGcrF5FoB
cOte2gTeLUAWF9GCEXygSLBFzAGEz73Qs43LAJfsXAQRT685wjTCuaGVkocw5W/lzRfg4wvGJ1Nm
Y2NuA96p0yTEbRXfSjj1MndjKYwE8WOWnJy3P8iWEPH6xx56qIRFCfSCNhU/YXm9WDCJBg28Vns2
CAV95gwfsN6BmT0v8AYGXb++PhL3qd2JRypQ+16DGMiJ1ZH0VR0YKStm3uKvWmU8CG4lzkyQ1Oaj
5OwcvOAZLXxYHkR8ST70PH0cbYc0ZKql1BSuGMiQpOiuZoejL8qizYASjvKtxKzknj2+tm7GRqcy
c2JYV/+J0HYCLTwS2PraMGJUw7ZbeRBV3IDRwiYcnFUFSshCamlrYbvQ/Ps7u8/oMAmJnlXadHVl
HpIANm4PB4sb/mLJhoaOBx6TihfRqym/pIWbWw4U6M6s9ztvgcECHFsMKU3sq5qFWKZXC7c4D2Gv
Prnj5hk0KGoDFHc0zsoGeLivv8f7JKW7CI97OK3K8LOfP5+b2g/EpbhCQsHixqsIufN2NbBw7QHh
QvTfxcZ3XsMdXiKZJn7ZGOD4Fbp7IH+cktfDvSbuV+sZgTpTwYj97a6GiN2HBbyOYhAiSEBupsfK
ahCFd7WUdwMBnLWgQfs7JGYCSjyocLHkWGpBYDZfkAxujwfByhKhEI0/FokCjjBUQt1fj6cCRiyP
ql0zDUdsNuwkG3P2P+tB5eCPracgIa7LTo/2Gj+jIW815F8BhJjqHVqhWfM45BGtdJkSQHKvBsob
UYtDHcFrN256LRkv2NPaxSF64WcjwwK9WffZTE9yDOT2933DjH35zx8RrXeD1Qa9+F8RNoO4jdyv
YlDhreC8ninvafSPUw9TrgEUf6S5z1iePMtYlxGIehbOWB5n3DGXvZdJgJwVhb6n9J4/v0XNGOsm
JN0IEBs2pc4PphVSJ8SITStyIoZF3WmzpHVs/Wt/I+hho3hfgWHtFUQ/NNrCjRhymBUyp4tbpxRH
DSiv9a4EKuvSfNX1xbaiLEPJG7ZWKvtdXD9GQY7bTOsaogv/AHQ5M9TgGeWeWrZgLBB4TIuqGvgw
qGp7C07+2Bsmg8lTQMVMk2Kc04jPMvAIbKwneOMUU5PFnAHb1owwR9m1P5tOsMum4iAiTtGK6MKg
HrI4gyRCNIe4eURSFwNf0NVhOIdOjhiszEfD6NAH5h2426ouTXjRQx3s/8EWkT6GOrntco60H+IG
a1e0q+sUCrZMXx4Pkbxw0e91vBqjkvcAljJSWiyQXkJ0Yxlwf97NGt01jrF+YuZXKdtVpIflfEyd
G7vnVeBmZqInzL9kmWZTdBWwFiZ/BLGfn0UJEeKzSvk+V85JQQMDBzixqFhinNXkaB+buL5iGKnH
/poQBLJmXATwhkvywjLBti277m/dJqMV7SxFhRlqPgkwWNFPOiktPr8BEwR9LNZRqh1OWvkF710X
J4vJA0ZBtpH7f1Hne6JipSPVnl5yo1MfPgVGEno0jIxRlgPPJRSYH9QOs7S80GT28G70gIg/iG25
/fIigR3iWBD1rzwnAVd9UKSEG1Onvq+JDHd5c8mxhmvl+jXznWYcPW4yRz1g7inw1Qq9F1Z+ozNs
iIT36H4thvASbDRypvpR/2Srs6J0erEwrrV6gzYX40WcVe3OiwajpcZifr5po8lJFuNoqC+u2KUX
nsKE/tqPT3v6WoaZ17/F+p4gXjvKVJ4jrSRL/wMGfBlz3jEJg3gUEoR7MkaOuv2dlaK8tYjaaOPu
vTG9aYhr0q8sOl1a9FMfisWjTsUrVZPFjQTrMv/u9OwrMt2g7C8ha91leSVfYtgOnaMC9hrUB16L
wKfUxHMlh2aOjaVT5sSrD8eWHWhVHnG9oqPcIBkClAbgALM9e20gvKzBcJ21hVmmEty5MhAAJhf7
t2swM89QKzFaHTxt+o8n9NtphK9erWxg+nUL7mtoUQMOb1G7tpyrkFrQ0fL4mdDASiYYomf36PwL
CPF/IzoMXVztvdCwBbd1+LTz4G6YDhUl7PtybTOlRM5vm+GFianPOJ/uJ9zv1IO3PY0Zlv9FMlWV
fh+gCxsS+rHI2CVuGAwlnSQgZKwhGJxVkXqXbE4SgHpQXaSuwjJNYGs7FhbMvvc8XCHqpZaN+6qc
/CpbbeNkHruio3OamgsR1W+elCAEZ4nYSMIS67wQc49xZsBj2Y2j3zRtgeAPclT8x34gYNS6lkjp
F/jkDY4k51h7MGxYgxWRJYliBKGruHbTvntOwEPZ3HqyIMEugnoi2/1PTYjBFNHPYf0u5MbSIN8j
SiNb2xKTHfnM3BSjA3KOUZ9rg2gh3NF2QyoEnCKkI6qp1oyez55RtLUQRr9cZ0a4KRd26nhV4fnV
bQOTXcGE6cHbXJ/zM0kIY1ZoujYbymkVO2OM4hpA867ngruvvSbFAfaFxHlV8+C3zMKzmaC/9Fbh
psAKZWWb1mg9WQb+3Fw7rVqPqDUQRK+2nDZnSnrDG1Rx5P3KHrab3AySY7dL5mLY6M3uazYNZfa5
FdEYzOxtqERvBRI6eG1HGcyOepfcRQ8gSLm8AFi9K3Ncnx7M3K+rZ+3heQLi7giF4fzuXn3PVD0f
TGb0kAbqUb0Ewa/QVqzZq+h1WSRrNkmosVbrgljqjb1FBdXEU0thaMtngDfwhCeyB/F14ty6Dut8
GbnURKIDzGPxBWOwT/T3orn/6mgErzmWCvk+3dpI1OQb3OP8+HhWZswP+SRT6eIMhw6EFF2exiIZ
6sP/WXZNEJf/p9L4rMoy7kjESUuv2pRlulPv/NLY6IHYAUM8odhnfIWh3U4Ec/B+kr5Q9jrE7j04
KxYDZtYWUrO7j6TFGwUAsnbh47ERQrcCvw/1yXoBjWL7gQ4/QGmwQmR1k3U/7+uTL4zYXPJJ1A66
eJYH6nkCeCb1wNWlplPKsDEds4rLYSo9EaVePour/A6r3BDJSInahapC1/NQvZEsPj20Fabu/ap2
u2QABxAEuCF9H7FZL3hSJig+qsTerVkjdu3egrtNi1L3kQA2rpsJ/gd5KBcT7p3xASfR76gof3PZ
A+RYVCrAUH4A4YTB/33Zin836D7e3jQzsc0lvc7hEl4Jj/YCOD8BpXrwFsoTjW8S7WzDE1JEBURc
MSIj1axKdGJEtQ99telLbHMEdPKUqJZ4FPC0en865AIdiONeIEFPuOdmvDkAx+VTzj3m6Z81a8eK
Jnrzm0djcfsNNO/e0GwNhdg/ANDkUN0m4sSMLhA+FoaWdTypzuQ6lu4v9m3cptAHLNdB0z/AOU+S
TAS9MtooH6kpVwo4WXOW7WDViGiWAcR2TCzuTxXV8qHPpVxO38fVXAV9+eITmc9kUEq8y2F39Avq
45oei62AzhSnudej7CdGqq9JSGTbS7GLZP/r+eTL43GYle73eLNjlGMzVCJNQIIKwGp2oX09ZflT
vImHUE1u2ncCIVLHbcNEnsOnCllMKexyyuz/YGgiihErUfYbci3YyUbQW5KeWF5chJRnSJVerfFF
IQnoQGmQDcHWDZBYU3amqc2Miohtn2431JBCOpsgLM7Ufo7zjqcteK60QLR/EeeUwBDTTUaUOHvs
a/YYbD6lAXMFcwH/GK2Fu+unvI5Qlk8SktI9U7NYMw/LqP1TORKvc9E9AC7h/7EuKx7BTG/Y8T+w
HR6emobOVm2TMQ5SGrgPACqk7/4xLBnDXfU3KBiUJAv/w5/shZsTpzLnXPTwM6bCUwkdGFrcNWdO
e1YGWHB4gMyXNgvAYbJpGF4A5Tj08zrqgf4WRsPNJyvp0tWln2NWmZxbyluW7bsSmXdTAePTjejT
tRyUDihqW9M8NXd9qflljZKNG6JVlYMVaGfgERVN80+Z80rSotSJ4Qo17LaAuaAwWQ983wvly3Pa
chRu9C+4CUFLdKATEgprZZqZs01vmq568atvTl8wqigZ74EFfGgt80mMMCQfaKVFM4bNxVw9BoMX
3PYpm2uc+eoCwGukTqZkx+BBwTU1BwaILD2GBrMqmcsyy+Jzb5wTdCz7yy6zoqjIznZcfxsv1EbK
zKGiSHVhxhYywHSRAfCSgZbic3ZXHSCLKpEPLmJlfaJXLmG/9lFF0HVP1y+6R92l7B+RyHyg+iPy
u5yNGS0ECCAEHf1KdVHoktGSYw4oa2t6WxFcqtTf1lOcB5t1FiPEuu531/u4BV8bQzBMbjIU1x5y
+R1zZWHjT7uHpJvBW6YPjs9HzDeBNIu9M/NwAXUoVBFMHzV1F244r6EBsfC31CID+CmHyc/bk/oF
KzuAh6TKAqvejmoZYCz4ZXPt2h8e3fQVuySizRFLTygJ8HkycWIxHQp3U0H/UrVmwBc8orSmJBX4
gq4up/3NKL/VThnfqsYziqRS1h3XR56bv4LLiOgyFs93kMTqJQ3nVunt1b/0JkRRv92YMebMydhD
IaExvFUl0mGAJ2oXvB7frs8z1U/Kj3bHMssA5OLxHN4dk7nbfIwCnM0HPoK40yjaxfndgOKoTUnO
hzv/RaZyNZWcdr6DdXJNWs9RrMk7kMQ/CFEqlE01tqCs3Fy6Z8eXaFhlGUJ8CoSrbo4HIO7ZFlzH
7JEEsSFJlN2Q6RDuVHQcy7Wp+YI+6yeP0z1ssajXvX5okz3Ynm3/U8rAtJRVESzNxN+KUxmROynn
3/vyJkBEU6G8Vxpzi0Knu+ZiRnwtl+aM1oPziipPBJ8MduCExjDMn5DKdWOYVzGGBz0njAOycKV+
al1ro6ZnTjmieEsukC1yNq4kZmjlOvB6SgBkomwViKmTICYsu8oDohuJm5mUTugsJduueNotsvdH
FR3jQQYx6k9/aBX2Ha2DP0Aii/nsyMNnJ6x87GKVvVR8aXofJI8fvuQQeaAcR65gnvUWN7fJL0aM
VE8+mIh3d9fguuo8cWpQ/Bl17a7QU8Woh9fVvfN8+arYBP0W7jdtp0InRfE1ms3t2FeJZipnzTOo
EgaOmLCkx1POzH8Yb2ixlS3DoHSr/Y6d+TKNhOqhiXjOwvmPlbLlG0b7mATnLkefZZfz07zAOj6B
9D2iYb1k1kRNmgn4JFZOFfw0F5/hbfD7a/h5dbKDl72ld7rTeNVwBxELFsOCoD958dVDwwXUvvBq
VENZHdOUDzzt8hU6CPu0WvV5m8Ilqnsx6PzBlWPMzawOHxmh8EyzMUjo8XmiEffDTJqzJuxQv34T
55PLy8cxCapj0hzjd4s6/ldiqF5uMVImY+BDKOGtPe0VWioFqsRjbMi+n25HGZufFtQJ8GlI7Qae
3WbMC/V639laV8w44LJ9e5PqTiNe792En2h+SFEkLOfTaF3u8kZLqlNq9cQJlRhxmOqEe3tGJ10g
M1jelMxIdqNP8B0N5NrAEreI9pzWntSw2Gax4tqjSUBy126FcjmcDL9GoZELPTS15q2ehmz/a3U0
3bVAgE7/KZy1Cw/ln2FkTBS4h8muDnhxC804OcZXMjKt1XZrrjtprTdfhq/zcFNJ3pWe5GWimdTM
I4cqZmMrX9HlejqDfKGcV88NDFYcYBWshCu1TdlpNyxVNi9TtQDNEvNbKeKNJcClRgSzRUFQF3CC
LJRWKa0o8ZgNL8UVzvknIh62bT6LxXYvEr8/mGgG4Nb8sSh7PAzJFS6Hd00EcneeZzEe3f6VQlNz
rZANhQSHzxw4BhfkkvsFL4Nm9KSSZ1n7QVnt0kj7QnqcdA7qkgMh/I6QQ2X3h4QZ4JN1HwK+Zrdm
YN959DVhXJJ0FKZUe0n90SyE0Ob7TTGjfHj7g6fP5QIk85xHV0kUytilMgi8IT1NYLCl0I26laZD
ebXvh0d3X11WcqJ1IVZJOdQot7Kop7RTjYXswcBqXjriE6BZasyR3guOBppA/U8axsKZNZL8GwgB
yBtWeL+XP1x7Ma8tJLgIDq09WQBryejNYVrxkdCG/KlXHx7zT8Ia8KbVGZ2OwI7dWMgwujgmT0t3
QCk80947ZZyuBGi/SNYvzzRcQulFKNZZYXQV5QewV1IF/WHUOxVa34uhSXHq6h+kScgKXK7j3Qua
PkR93mtMyKIxJ1iqRrsUTVQDNvoysHLYBZ1lJQ/TxDicj6pqNur73+zhFmSXTQPpCnKQY+Kpu6pY
LOErM6Vbadp5RmvgU4xpIBpYxZ806AF06kc2ilXQ7biJ6QkcyVSY5tH1TGceZZ7+kkWMcvlI1E6W
KnYraCNplDjo7EG9hGSOpD1U+vGFo902P18VfMOLZd4pfKDepKAq38sibX6/xihW6pUrGc3xqg+M
xLeylfjRCQ7+W87A8+soO0LlJzqzPRra7UobFcC238eakAZBpumeXO01cJFfBlceunDFmrWKIBR8
1N5zPbqYFhtR0XLWeJNvibbjuNh8lAAWxNcuVL+ohpnWyAWE1Snho8iuXzoqkaKNXjGaj9uSct+Z
4GHS0Nb0tppbrYEaYFZOP219qRwlz6EEZf1Fkx0hOPBLWi68u1PuFKVl5kjScabkwhISKVBG/i2U
x9wxi/bYekkxLYOFtkZwzHIbNBDYGDXRG4O+qk9RCeWi33PWxSt4Bn4RJ4SJfXK5uvjXscyTms9V
kAA7hslyhJsLUiCH38RQD9eO14GMNdM/fAgfJVHRtHfxf5A7Nqz4fM55+axHwIItHRlhl6QTWEEC
Z9UBhKuTmcqszKqCnMOubgdwfSggqHfWXzD1EWRVPmLoBV3tKFzYLlLVZGh8bCABit6DEoAp8g9A
4oMI5DzL3T6DwuJ7BmO6EyIsLnb80tnrE0v0FEac6FybTZ/y82gA6VlmQ8+FLs4qZ0NtpDnW+nKr
RYWeO16RfzfJmd/6nbJY+lbOC79Um4WOuFLrJvKtQCxQclRGhQB5lZS5vb2Xt3p80ebkX3tcevix
kk41hRhxY3a++1DBicEKH1gEpQ27g8wBjtlJ0Kxqqx9ezJHW2qD/SeuXnyvirBqTOuY1wMzAgAOj
1ZO87vx2EFh0JsiwmAoLEfQ0e1JP1O5og1B+ybIA0oP3qipeVWBtNpdHpNLDUtzn2icMFheP0sEQ
qG4DFmQGmKNQhGfTRIX9BIsirZliU78ZcY2amplohkBLkehAOuDw3DgetnZrio9NnBgxcDcVy5Q6
9QmKXJGWUJlSmvFsb3F5MfnoE1+czUE+mmwhnE5h21vbd/uBPKhcW7K7k1NEX5WLAYWN4lyOrEqz
fd9J9UcX/zVxvx4iq75uoBZosD0FcJpam/gZfXF78imbbtuEQsEFq/y/b7YGIUlcOx5p3wG/KTaM
pXHeVGk93wobopa6p7zQS5RqGGAg+qS4qa6atDqIfDLhVMTzbtXHnFEmuPrT1bhkNzn0GYmTdajD
k6Qyk5JK19qo/+Gf8shPX+v0i0b4e21FxgtKD0lkMMIdRLwAhsxQ98VtvEmzpfRjncGRzpMpY63z
QtIKkn8atSYntA5z0gmbp0tx+BhKE+F2GKt3ALFctA0o8yBhFG3Kd3sUTWRKfy81J1CVgyOX96o9
lHOHq1mvxcZ+BWq20KBXS3ZEvQWSr31YkSWAcyS99x3GWr8NvYkp1F1g5RaRGfzxuAuxeTebQt5O
pzCfTFFOsKUm6+p6RNw7bWqxOxs2AZIPIOaixoT+jVRI0x+skQnkmHnYV7tyFDgyvbLQgMCpCZeg
nBs1ZCAs9MBKu2tTZUVhDIWPi3ylgz8bfYGdPJBOyU2k4MSbMUApH65ZnG6sQVpqEgq255MXi3em
JInjcVqbaJnSYpcukDWM7oVneDTq7sLEIfXSaoo8zFWzbWdeFHR5+RoQbPlwO3T0HpiHYv9RjaGf
tJuKJyUEpxwUAoJfi+F/H5xDKKw6vXJW6+PYHRlf6ERPSZy8SAxSA1y0RAdKuEfNoiqiZW4ynoAl
vfPtcNDSwjdcRGsoymiPDjLesn9wrw0/wZA6Wq7a1vhobl9HNVt4//btn/l0PhVGQ2eXQ7LPMayc
9pJaO9KFSp4WmKfPTZltNQpp0ncohp5AGEFhvJ6jFWiDobM2mQZpjg18cUrrNMTR7kFrJeQZTSyj
GdrV1dIIhE2iiqt4D6kk9l/V1Wl6THRYr0cdrjIKQZvIHljr/uY/RFYSe7NXGIe1eayL8Ux2UBNY
oc8127FT4bLswj29DEWh09no8afS0dXUOtJPu6BthICOl7AeByDraIAzeBz5wCnguVGAwn+jDoPX
7vqaHtuG004KoOwPtF3ZjJuMUAX1PW+rNbQqAMoOPO8pYINY7VV2XZ71Ux/QibI16YkDNM+c3qHi
1uun+TO7bFF5Sv9tC1Lu68x8cWKbSGePHSBXIS6s/HC2ZAFqahpeIrhx2uCs86yrCAuPfcmqyxDU
yi9lGG+OrAwxFqb1tAth0XJPm3nv9c/8XU8Dyfc4JdXiv6Reqg5YmBTnPSRjei+MAalvy9Lq8m21
fjKWp82UNvlkEJT5E59ZJz76DgAxCyEgKaNwrnm5ASpou0aTz2VBXBU9ETCvt9uS4/Qkr/Q4ycT2
sOjPg4I7AEyBaom4hKKJEcJSGlMVGSRh6XZoH0sn8MReP1S9fxVa66h4NVkhmhiCtUZ6+2N6YCVL
gYH/8rk5JaGB0w6/qxs9z8THInm5x/pn9jHj9TyqBEGx+HxVZNyfEJT3kkP3danLeLGzWb53SYKY
HMOTavqTppuMCaD6hqwRQEZZOB8gvKbKlAR+pc2D1HSOMrGQHO001enXbce9ciTYwH2buTIwTUfk
byvO0z/9RAT1SbRyhZOg/PfDC5D3G9Ai5vcnvyeYZvoAMsmr0xi55LLBPjoJWLb924prxAgz96Ig
uW8bEd5Vu4rEeSbcljjuprSs3TpVPh7tLEQR/eOvWYphti6VvdIxeinPQIN1IQSSGS9RB9gDkmYS
DosTtUw4CiTI2ayV57uC7gQ2zi/bpFA4UBFqhwmz/DsxAA+I4Wo+y2B/4SLxkVhcOZdFSb9PMdFE
BKUdYatHrtsL/J4Q7uub1/P9Z+S7FuXKExMwIAgrIyQDe2+rTZFUxJLJykasGMBtWDDJNF5S1Jac
cyzkBsDlumVzAuYiKdlklVWmQjikWkqCNCyM6kUPlB2RmpWspza97/YPw0uWrK9QrlNxSnZyrKr/
t4fFvA6CriNiXKD9oSEqvXaMDtSSFloQAT5DBNQJsZRWY1GhAuBG6STdQj8r9q/e8G5/DWjQam7L
edAQ9saClHKhLE8DwQp6daApCZjX1kFXmQGPse3SF0nhV85GSwqqS5xZ2I26QII9tOEhT0Gi6dSO
DOATpfEwSw0QO0H29hrGjdnLOAM9aC1X4bOhuibkkBc2viMEA6zauwJ/SWMQzYRuonfFYDqDohQN
PLZ95RaglYerQA9TzPitZRaqum07JFWgOBlJxq1R32duaD4uzXLPRMRyp4OqwxqglVp/0RmAyvz/
suPjhPds6Rdpbzh51PYjyzTeGhS2N6RDneqpGWdJjWZ/LAkt9usCd93Ecj9oo+RdlG6istdrm0QX
CSxA9gdO9O++p3GZpHyQ8JtDneizfFf29QIrwBerW+RhImUJM4VpsQhqFHfi5CBk9o5/Z6VcJcHw
uxZ+qcMaUuBW4jvK0hG6p+6cr2RKGENWWPXTOlOHb06b0l4JIL4JBXHai45rNWu8ar7uCMLF6gyo
JNyyeamkkAvv2AGPM8yMFgOcjhO7hLZvG11x29BlASJQUA0BMKpSITuj2y4V1zlTdCh3FI9e34nH
qs4TWKAa6QQ/26J+wxTTD2QQqdHUOMBXkIOpFvBfw0EJoIgg8qsEVplavIXR3SioVVNHOW6jlMvD
Y7VYL9+/qnYTDhrZiAfotNgm3dUaIkH5xKBKRLIY6w4FIYmbr3azQqL89tcOum7sFA+oOL1X1Sh1
CE0ySfecJhYTL5mhUh3xrU9v3iCygXcD7yWxBr4HrbogRebcCkLALsLuaZ3upW1TB93Cwts9nFDg
MXKxP7/Z0MGNux9guVH10OtXXeeIBHuQQ6QHt23LMdJ01HC6ubgbFYXBIfoo0QvSQ7AoI/SpcgZa
DlejtyLRCUlFd+/NS3hkVx14futmDH+kqzHgGfHwEDY2oV24Gg8LWvWPgV2P9L0TltdP6prGcFSr
c0OXuJDnaLw7DTpYgoXKeVvtfai+ELLSG6XHEbRO1SUmOLHIENudOHT7XVWWxMzYaHvyyWQdv1MM
gfPg5ntZLvbhlUdaK4LswU2GzH6Atumthgr2LRnz09ug1vJTZvMj35uixdAiUHuXZxObOHgrheax
gIQtNExrJ4LFWYrnZW4yfdYkGAmB1HIz1bxyu+LkB2cv4aWENk5IcHvmzS84Cu8rSCFAEdsJCbau
66p5E0gwWrA9yr1TQmo1lttT2d5AKcyMtT7ErCrUbQMpx0JBUd9opDnXAg6WHOzo75bdmuxohdPo
0pn/nxn5mFWjqJfO/bd70ZfFSRVvUPfIQfgHHiYKAnYAd2Bwu/UedPGhZguXGWbfYlYGT7YFy/+u
n2u+6cCPofh0ujdUTdOCQxwDIWQMu+nLRwUEU4k0C0yfrZ/92K1i+livMoAatmMKwtaqOPwFVzCd
qpi2fENqpg1yhozrbf7A0uRa84vb5E3QzUz2M/K+6Q4xPlfzrFQqncCWHJbkRgqJm+desVZSFyTi
04GSF9Bib+Q1A6GESZwDSpy0H8VulyY7AZruWKiMprc+YuK0gR7F3ivekcPYRCGQQi2LC/Qfa4qB
f7R37uR+qUC1xP/BYOI3OGLRI/61xe4topGoaU2AJyb64JNKacCrFru4Q1/4+w8eaWY11SUkDnR2
kInnR37pdBcuBbYDeBdVx57kcuq8wgBa6kLxyqR6IEZh4n+1cqZvixFI+2xqeSerLctZWcBp82os
X23OtjJ6QABRFh1/sUuF+2S5R7TR6tBP10gPmqxQWXJT46H0I6d7vutcuB2tgKmLneBXJgF78Q2c
eypNDiIblm8ghxcrY8WATLq7k43xs/X70kKrTSFga8nIL4bKezngvIlLjM4jXSNPEXmnoyiK15Lp
RDuEUL0w3p7gODTkhOuLLrIWDpFTxP8aMM9kdg2jGY3hzPHJJZ/uvJ8UgnrTKC80v02DmyscAHk1
rm4nETv6c1bSV3LRfcOPLguBRuwlElGooU6VqgwzAFTk7Kg9gW8MVfiVGuUX1U6RsUbBMkQPDX95
Sc04Q0uTv9jnKN4j9libJ0oaOxb+9fx0q3Fd9TvSth2BhqgsenBAygnuqUGCWrJSG16dG4m5btbh
19IGiw10p1B/m66501vjtCqfP+gCy/+3+Lt1xNt3Pmnrlxiz4CJFUsTqmPcfCtqYT0Xut690uxh9
T5bBIbF77lm6un6Q8XF9Fc7ljzlloahTDBZZEGrcdi9A+im2Thz8KyTEdxAS/TSWDsHP9E+Gtz/x
B65OD374uG5nlH17N/p9nJaMwkWe3SUR+3v8CKBB9AhMzaM3wACrhER730WahE+BFXoIa/Vac7Tg
1D7lnRBoV0qe/9rJQpQGCuevlOwF2u7mMMUtdudZMwtSGdTPZAosWFzEm1zWdSis0ZxBHzd2lXqH
wmcHvycYzAO9n8A0+FivqPq27nPr/g9AbYitmoN82aUDrxQKKFIORgbttSL5TagZjlVEs/yCd3/K
OYV2PRnkH2kRCyVCwGQB6y3ixiHB5ig2zhA4N709Ygl9cNeiShKiYUL7a3vTDeD8jVxY/p0kj2GB
/I4B0A8W9tsfQ5Zu6HgXRj8cxm4tUzZjHYX314FG2SPZK3eS65vPXDMExqAeWC9JUVFnZ4qK16Ze
1T6HS+P5jLMWw6tfD4GPvHqffj2BQaU3ks8GLbwSiHLJ0YJH29TR8Za+OP5dol6H11iH5gcIIUIy
c2NiA5vLW9KBwftffY2uZKz8TSJ9O3T9SNQf8wE4ulDRLxWulZvnbzPkIIE2AFjfVY1R+kwG8XOV
YaKZF2RVM54hQRh0f5tBlsDaFMCcoZnHt+ObpbvM4Rl1hlaCRkyG+Or00PT/7VXZUbl74kfgf2pQ
RYAuTJvcLx9ROvZs2OCRgeC3I8bAo8j2PzhtocgeipLWdv3sr4JajzTUQ0oDt/H/HKjWGJtQUP1c
ajxMurWo99UehKWdvUODLjBNqecrbghpJiYXpsqvAaGdjAJwknbvLthjpLd3yf+GDyxxAMMy3GS7
G/uEvBpCdGbQZU1vRx7k5sZe3foAqNoB0cLZM7f/fuj7fKhiZtMwxjl8vCXyoIezNXLVUE8OCw1p
FCkWon6dOlgMRm6f/I3uh5DrBVYZqZW0gr92OrQKj6j8/r2xg81Y+WXEwq36Jm6b8XJTpZopGv/Y
I0O3n3fTajLu3GqTVfJMGdR7Bn/O7tPJYxbBsB9uVjZYsCP845hVDFEvsDu6Qg8o4AYt7iafcNcD
iRGH9sN/Yrp/CvwdD68B32uiVtzH2PmcpMQYRwArgjSJ0w3dzfni3+gnWa21F9sewdtJ4gFY5xCN
0hHsd8t73G48Np+g4wiIc17xubz7nFMfGpiCGrEDrhMGQwSacHc+aplz78brK2tDuWIGBJ7is7dl
zHXkkV1KpOinz/WbzIZCMei8M0mIaunj3yz/sxAjabFSjza91vW7TfOLduKhyqRDsngcFhZYfnZd
ZDFunJkI7BckPw1VbxrzXEvrDtMgRNoBBonIG8pZF8XQOvhDUdgVNuXi61AGw+DHVtO8Ii9IMfkd
JwR1Li/C90qnVaqnnGLS4lOOrz9aLMZ3W0SliGCDD619Md16IfW+ThvvlheeTwNs6cmWw4Qq11j1
LXgbeN2jmNX6d2lk8jkAdJ8+YUllQdXD/4tFBBuN8R7+CNGaql2yIlOUAAOVKLU/uXDo4ryG1w7w
RApCptrObhIdmmPw+kSvQXzRxFaFUyXzUUnRdpfcO12vq4NevAMVT/T64MS6jNcmArTWwoeUxBI8
rtPFgAhFkKy6lFXytqiH3g9dBj9ZdxElEt4d02zImDYuU0+J64L1B83RFypr1dtoVheaxKi3Rb+6
i8WHq2zRqZ/R7PBe3VilzzJTaQn1A1Y18mlMTD+VJi/gqGAaMcB3JDc50l4bYUvnVlmn+eYYVjDX
WKJ6l3kLbAIE5jxjpIFO5+AK/0tzMVhL2+2SGUZBWeAO8aEC8iW2TSWvGOTG9F6I1U03DMgWEq3J
G/yK1KO/SXrPygKYn0vhtUXLVOwbMECmZ4uYkUNQOmDIB/1ooqU9minQc7RldgFRYsx8e3izfojE
Gw4YxkfdTye5WARpBP5PMtCspNh18Qpaka9Vqtp4rfvObcXdZAhGR81axJg9l9oBJx7Hwj2LK76U
ZIIDcsAgZbMGLOzs3sReKm6KAGmwNrncCqYhMNbTOqWroNphHG5riWFE1elSqOTN8aDCYOLktMf/
3vpjzXO4geEOWL4R0OtDYM+VEJd6g6EM7mfhr8RXDRfMnVtGrvfcYGdy0ZyZzyrqgyTD9Ud1AIRg
PSDT7lqerSNdj2mpfSgZ8QXINWEoX2sTR/D5YLQx7DgtEGppkGzl5Dcuq1UGHtvpLWlda/BhbG5N
XQpmvL8Lg/fRj8xWp3WUBNWQnTLyNRp5IXnEhq6OozjhIYuCCDTyPz2wTw2/oU+A8G/eggqHuNnH
2XcT8tOJsUxuJZ1GGUA36YLDvNXyiXQYBFt4c10VcppWzrv0G4ynstiKbUWiHOc8Tv/dZVLzR+gs
6/yCzWaFZO4uPBJ9ifNor3n208+j89tOVDK3Rf5mUm924Pr7kjvUftlNDr/b10rP5eEOkqhOo33d
ryvJ5er9i5C2S//cn/fmMyPURg5MRVqDTExuBE8i2+g0nRsvD8mbmgLreryGoQKz6kHVQ+t0pcKd
ozkI71vNn0p3IzvR2lCpElVL8ROCW1JI82SFhvcXb+IdgzvRGy3NdhOjMs3iCVM93EkpvG6PsWkE
J9Ft6kG5o7QX56ElhlJOPm3Kace5cUKkEktkydUyeDOdSJKK9cbelimmJ1NAfSRvlwLmF9kH6Dsz
DddQtDozGXuQkfMeA+sHCJ+p5oWfvSdo5I3bXskcSEas2YYq92bg3200CkGxgsW41Kffd6z01Vxb
1U/pgPlTpUQdpXdCf/dj6DzOt49KtBLLnkw19+45NkeW/WzZBE6yPFHzqGs/gyO3ZhWnsBwOWOrQ
kHkQ7UtHNsFhlVMAG/r9hjnES7ec594/y5Ugyee7C2NCFwBbgj74cL2C8HZZmG4j8vCCvtLhwNb+
DQ71/ZbyoW3mBeJyaGnqQQHD+9Bv2OCOKll2RKv0S8V5HdAeLcjPcLAMEy3mCFkRuvNBicvMAKSi
DHzLxARGvFPDebG+MQCp3hDVO+KT8aYNnGpfEh7cBH40i3x6vMnt4GGNJk32zxfX/J4rwHUKVMoJ
0WhFV8xTr/SkNeuGSnH1e3yZvlUlmKPnVLPgQ2dAI6PmD1oVpJYFbj7SaFkor3C+PPK3TQ9aObPo
aLkI6E70sdH1kPja9Z7O7+ajXp30I2VlXSW04SOUwiNXl6HqTJaTOLPDpbBbcegMGH+zUb0w2tfB
ataLMFP9yPwY6bBar7BiANY6b6PRoImvD6tLjjUkUPIj9vM7S/mLXBt8HRz7VIFpy3iRvDAtbqXO
aQkBOIGmxCTXKX/nn4B2iON/LAvA5cuaC7UK3lF0Bgazkjh0dBUcuj+BeBGOFBG2Slwq1qQG3/+a
9lwqDsEM22Wud4dHlgE8gvYtjPtvWlg9wddDI0gcyR6ADyGrw/OWF88S6z4v2Z4eu6FFHYjJUSqH
6eUBj1slM9Nqr+8A5UhKg/eP1Ul3DUyBkkkdDttEMB8Y0YNJejrNtBm7pW3AdDzs+kKh/aPysdXc
Xzk7gAXA92ut/finoIulQE3Rd9nAMXBEOVwx5/VTTYAp7DBj2vU9vkYh3oBWgQH3zL1AGSk58M0K
sbAJjVka6vSH3s5i69i8ntE5nUISxt8AMnAfgayAziqZoQjVX6YP2hXYxb/7pY2pQ4nX2M5gSC8S
U6hidsMH322O2Zaq/A5M/zdW8ScWOX1K0msNk9BBbZByrQjPYStwiwOnofcaBGY0vW+p1n/FbyX7
TW1Th3UkiInDhOSqXJpUGOpVCBzfXyS4au4baso2dnCiBtQo5LvyJTyx1QQjXL1xIUStLzN1A6kZ
BYxeIW2SJRCh85QV4mJ9yL2LnPhUSPWNlye2VFM4I6fJfbygOOoBqhSxq6Tqd5f523MFF3jexEbv
Yh0PExk1Fzw3zZZaVJVUDxBi/UzvgqJpG1XFeUHKl1J298weB+faPzSxqYayAv9xC98vR3VkbFPf
HPpBtR8ku8krYSOXszM5NKAOnxsWKxA5mr9CAKY0b6nwGh3m9EOUg+nrvXKeYxJ9Z3MLOFuFR0V4
cWHzsxQeIy/CCxiPPmdVJS8AlaleZW1Dm5ke6S/Mf/5Xv/uwOq7wU4EDPyxEGrWMtQ4bqR6I8Nwd
292c03zzdAtgEzVYe0yTiNY1UdR3Fue/jSan6+LhCdF5lqRxD5msFBloULNqXpzTWU8rGYZWh2nS
I54C0YS2l7PR+zJT1flyWBAiUwXzpx8FjRGb9MzynmHkKlHAkHTQmwrfW8Xg7bGRdAwbeUNS/xxR
aCydOaiVuqaCLueu0dW68J5PBDxJEB9U7VMfphrgcaaKLs8t6o6Gvh9ptBw8F3xksA832ZFy9aoz
X8u8H9lYtFseil6T2ZoPkDHWZC8q4o2oboWYAmy1mi/uXtR+AuJNF/y3cRj8JWwRX7ho/LLVWjHt
NiddH3PCKTCg8wOk19Z/AqKhX9rqRCkIz7C0H0HJp6B9pv6cQ+tAjsu4ygce27JrmdmVx+LKbESs
DgKnZm0VKP+h/J3QqGODt4xhgREd+7+ZBrePu/A2oazku/XI7Sw0TS4jhyfRW221xWxmFfA279rm
jLAcxAS536lcYhBFiHHqo65drTsrnM3odYum2gDqwGpjNdtLCu4whIsT8mLukF+hRCvohpC8edrq
AiYqC9an/Eo0LRo2JYvSmgn3Aa4mqin8DT3lBWjbLSUR24fkjHFDWQTI/hqZ5lwFjDqnCbmQpr9k
roCegdDPwi9V48W7wB9ChD8hdk6/wNpS33MQubcOBbRpHS37s4lnQSPg4SNm7FbRreccRypYDG0X
eAw1WFcdjG5Uele35qIusq2Hz1hjdsGRD/R6t5oSaMEZ/bpwjaLipFabDZY7hYBSoukoFApu1EAE
evckJ8H2yG4Nxo+PS0bRuqXWXW8cwH3GOOyCMnW8vZdHoggCMHyO7SY6nmNm7+tFWCk53OC50h1z
GIAsCw60ZJ3NPpvHXTogqq6/XDCIS43FE81i2vIFyRqNRPRKiE5piKbnd8r8Y/YBRzBhJOoWtgqx
uPxQQ8ADcppfSpIv7fjv1gRi7UNkhFtYaQJWWN6aCvanta468XJFxbX6llcPjpo9dAdvAtCI7iX1
cw5pmzw1Z8klWnTFHsQwW8zBCFB8YzIiXg8rvd11pkp7i2TxKpLuf1KUBQq4IaIOiB1kX+KhVaYt
xkunoeOMQELn+iXRMpeG4cxeLbk1jxs4A5WbVABSKGbZMjICd+L8vaukEqEfMl0xgCV6ptbVlJoY
TBs4h4Y8Vxu7zjGWri4zXILg01eNPOKhIrrIkNXcaXtldsdGjmH59vg6WVOnK5fj9Utw1R9T3NGJ
XqdVHIM4MIbW7acEVQ45p3QxaWT9Ip8oNUkI3EWzD6w53f0an5s3Dol4Kvv47MoRcPy6BBOv751e
g9V8W43PWDklWEqihUZp+fsdDkszkUNLR2g6peJVSgVEwVpnPn5IJKo3DEpk4893zBqt260omycE
QUp6PCikoknrS2CJvGjJ5FzMRo7HrImMYu6cojB4ZWsyERJaZuRAJaCbdfQDZwQQtrPUvlboQUnE
sXGe+pz70aWeLpmsjl7HnQney1uUF1nN18zj6/D4tD5Feu12DGncAGkSeRMl0c4ohVtaVjTzlp+I
LDdFlM05AreGKOuuAPkp+/vEWaOjOK8T8zTB73PP5tYsYzOAwC182EnpCoEGmlfK2khQ+9X1ORwX
0Im+rXS2H2q63Jw+9BpFHugkLo/KEAdPkF9nddaTpGVNmvgYrpBlqDhcCZjPNRJhfj/YX/p7gW0c
YT/9UuNUSRPiJ4S4HLb0BiH4pZFqwmsHk1hQ+anBIoGYqy+jV1qahhc3B6p/Ixex98Y1VuGurFlI
8vQ0yEKUKRKNAEuVWdWGd3TtPJnYtIZTw1kHOti90Zwa77fAmDlKFvkwHneHJxN1pjzdOxelysL0
UZqVYX21FBqg29z6ZmLF31ERWdoq8odGZkcukaOVv2kBouC/mOF8UE1/g3IHScvV/zHnrPKXipkg
Gh8HzfK+rooHWvnijB5CyOl7dWYA1dbkGZeTfMmTDb/WWjBKswvHwsg6tjfU5PrUsQAqjRS3mcAx
fVY221rdec2WKU7EedOCpsmLCWnPLMbRc6umdaZNrwBZ10aL3azU1wCd73iVZW7iDHUcSnHmEBHW
Z2zgejlXbU+aPXuhK/rQIiZU1jEu/G5/zGEcf5jSmulK0mfIgMGLsWHXKVDT6ctT8WDxMvtr/q9d
g6lz4ofKUB/UuvIZf5Z5V21ehly0AtOYGyW0lZ9vWv0HqdKjvHFVqEicSMEJCgE7TYC6ds2rP2iB
u4Z4fK0cfMzrJZV4mi3QdsZBdalbg2UxMu9dsRskcJn/WLRbaQuYvNWrgU6PpjUSX0kYBTJi4A8w
08etq0XovC82T2PBrMZQJtNxA/DD/ZSdcqIzmnNMWfwqzYjKGqqCxRJX0c4+nd5lySOONPk2aP88
PDXKSSdnjNoin4uhBSs3i3B0E2utBNJZdGWcsHaMz7pgpo4i+9lyiDg8Q+YM+2WrKCm7bOyrQgVP
5UOlz5RWmYSCh4gOkSAETmbyeJhOMm8vGBkWny+hW8nnesdd6/d6u8ND+jKXU3Am/SFhE6OKs5EY
lO6ISdE1PFQZVkIplyeEBUDWT2DLPt9wgdUoFq71Tu/kcvhK+PkurXuf1uFJIo/hrCkxDCmdr6/U
urQVWSinegidDFmo5NeqAkO6a/lF4M/8fuxxVT91fwM/tkyVkRhIBmee9/MZHkRaFm0T2SM7P79i
6T4s7tSqg95COuLoUj61BS4kYnYRYnWQMErj9QJS1jjDnoTewIVzSLZyMsOF1tqOMdKnj4s9Nhg7
muizVa4dPEPYvPr8SMNstjqz8dfgAmKOYglNaasE5PcntghIOlF6bfhfl+wWO21knH4h8GgvLOJX
WFGMQE56o/wIrXUUMjXTTK3IcEXg2UAfcjkiYyP+kUVRQfz94kse8BV5G0GxXHPvV0aEwq8doyQ6
bJa+ejFWqxBKdgz3MJF5T2b4zFyGDhzfGkBsWgLLER7EJpD5wpzFDX9aCtapCXeBSAUFeQaXtDCw
8XE/1ZBSJ39kDl1EOTr4i4Z6KStBnDaZkJeHkR4TH0iu9F7GVG/jwHJgbwRz1flK+rB/LZY1rpYI
oumUOPMiRGzYLrvuyH2HO1eWqZVFLnpOuWR2c7PFjY2WyBqJI5XwC7AMTOHA0ZBsYX6dLdlWco9O
opUvk8zTWSHlP6Fz/98rw265tk1lhdOmRYptudlMvYHTaVxeVjQxr7w1LoQsiYth5F/m29hLHePt
qyEh29evZHjXoiOZVKtmgulNgdg+TTQ25MFQ7XLKl1x592re5AZC2NSQVNe6qTD/KG6ghH01YJeZ
8XW3FemUJjE0lcrpH8XC7VhbYv1uMtFwfCqYgBMIYgPFJktqz4yHBv3u0Qf0PRbyRwgbow/K2lb6
5EeBBE1fYaVG1NdYEqWJgIUWDxCCR3IunQbfzSrl+qcwERKbaxOQLCVzub0alp5BiyM9YGNdjnD+
Ll3Tt6NwkW8SC4LLS4pcDa59/sq/7zyPr0abEbUYZypLTGfAOOOERWdCo9LQM1oStBsvXDHyTFTd
afyxLOTIuye8try+Bo0BJB7GGoy6vTeCy6Tbw+faqVcaK1Zqijr2XHI6iR9gusWvLyWJ/9ZyoUo4
6tB74OUU/QXG5CxFFVlsfDoHMOtXN2icTrs/yQtCFw3ZK+a9uM/S+RBoHStWLZKuVtkqI5nNYqCn
PFu5mrWdQnFWiCW8tWcn3Bu28IDUuMoJRmVAT2VA+ri5cHz6avNp87uzj6vlTCZjGd/8Yl4bSL9Q
MJq0bBN9mn1ONlU+d/dKQC4urkOPix7ag07phycD/zhPZDa625ZMVfb0nz88fNhL514tFF2bkNmr
fOwwoBzDVG4Tu+O/RLOCr0+kLGcfsTaTYGMPuBCeCDMc5mdL0cr/KPc6ttDooWb36N3FcAGo8Oit
hOgZ91QUvE0pUBBgE9KIJxxE+qZPgrSdOv31ELno/DSB9JZoJ8//Z0sm25fUpX3yfNf0znWBLK2B
g4BjTCwTDuQ5jUTf7d9MCg5BLtyWvfjoJjsOt4wwR8o//bJEvmw7o/eHHvaiqVzbHcO3zggbTqqJ
eAQo1dkY+LCOnBYqwUR/3ClK8JhVkTfeBvclXu0RH+8apevWBm7aCDWUkRwKdn5zUdAiO/jv8s55
iNi9ZPL0XbvVB5kAbBQYCv7CamzjYdZME1Le+oTPIPv5pV4F0a+ayRhCXCS2SPM85wxs0gW2++yV
Rv5I6KJ/xtfYM9TCQI9AIvbmUzX/RQfHpCRMIkVZVZ2pZyfEB9h22goknt/CQ65Z0o+16hPe6kSl
rpEjUmyO6+tISyOjfXHXULPaPsp408v0DmwQ8py7bGKThZvU70UkqXyvGoMXy7XHQX3Qe8K2fikF
xunrxV1d5a6fCJ6T5vLA0y5CnJpUUuk3iyGmbnQi5QQ90EwSiTVxMmSZPcpsstggz+Bmmw11XxFT
hiR71gWo+sFhr4VOMeQsOA92MquzXXJSBca3c2xkOLZGGRD8HmpQbUg0JTaDreI9VY4cheUm/YDd
N5QQhBuGyZ8FJqdS2rts0W3beHD9fC7Qaj6+Sr2m/cyGGkvVc7lWtXb+9QK12qifczGWyCVkOjPc
D0/Yk8saASson9+juW1leOY2JX1E/K3z6hpxLfI3bvFL4Uako4YWK2xkjMjAS96WRD5SSzGOtCNL
KbRsXP0Yt8JhgUFZrmRb4Tz1JQalPkau+Aqz1Yj+SbX2DvfIKEdKzMloYD1qGNEhJKs4aLT3xDwD
PSSL6axCNcm7dae7eDphTXHzo0/v1xi6rLm/hlMAmhdwgH4u9e7hNu8bH5a8QhIUQlK/5LujQcBZ
BJrfIYDCzUfqCFzADN9+pJFpiZQfDjLucNruqwzGRf2lHAJhFmyIKZ9tSWbHbniR+/ISVvoIzIZb
ioG73Ds8Wnye3ke2Pf5zRgYj4N4GuNn4CM8YRpZLNPsUTydDmJvZ3yCAeJteQ6f/0KFNpN1KPCWd
w+XZVCisPMRmVeNZ2szcJNPFuovIB28RJeAapFovChjdWQSHuaFKH6XeV3hm4WSMG4P1sCIcsjkE
G1XubQ3PXXMboJHusWwMGUBQ/EAbEirNJiu8wXklQADxMuZOhBzVbz6w3fF3szU0lkVhmrJgyQIh
rRwEzbdhMKfEOStUutXocc/ljAi4H8iUzrFgrdVMT1F20160JBgbkVTIwETFaYLWmI3/We10NDJK
hZZGQwVQJ89UHfKwUojvjKONg9UPmtlnt4bQYB0KXCbwJsiFKY/8IY/8Brm0XrTrNgVJxc+S1O6U
fY4k54sN8NxW0aGfQx3km3tc6fuE4bX6XmNiPnc29U4gv/a5VJSPdR5JtRutJofhL3F4/Utx1P7M
jcftnqvVt9m67SmLKYGsXN/hWAd4OgBFmrpYDWbHfMohN1IzLwMt9psFRjz++XuAedLuXq9q/S/L
pm9D6biOebKOzizMnoxl6wx8pBwrj5gyjff/IvRuwHgXuaetReU0h4WnRdiNFcDbZim/jppa1Rc2
Fm7YeDSJe3ybf0xUNoQ5Er/40qv4dASBS4YIr8JxtTqKzMH5X2y/Zbil+NyWxh0AiLSwCiahUgLw
jR0bO9/ZuQhfoBtL8AlWsvm2pCWvwYYa11Vn4Vq+JOFwNsy+bpzRUHEmK8wyeowEyWLMt6q8hvB3
CIeG5QxlBvqEg9K0q/BB6Apt20XxmyIF3Yc8ZCVV4j9uBVKMxtSxbYFBksaGX0Gr5qJHw0c7ulSf
FPiwCuGNv1Ftnjod5pOYCGmLXmdNplOMMtkV1AOIlopJrY+sg8N1Wz3MSAaxErhbM3+7t+DH193g
lyFgeIbQsJ64QLpplSfbxxEbkZsL6cgWAjkSrSH5hgYsJ2QGT1CJ+ZRPWruBrTPQ13JzKuP19ii3
bK81ihgWMkuM3+o3OdHnMeLJbubHFquyZe654QkA5sk8eAKizxXLExf0PcFqBdFWQWpuLzTbZDk3
LQXCJPRspVyiRlx+QRhKUsjxZFh2h9kQ1uvQ0e65fFa/Rm1ZRuKGWpVIxYEElRcA2PmplMaXIVV+
CxPv3x44hcPdWKZaU4X3hOlL8gUIvO4eVzvNZjoy0D1CApEZsdxqg6350N9ojjxxL5qEkbRAQ4Zd
zd0U+NfU7DIoCfo0xC1kyeULz9cfoiiJGlJomKFsXpHobE/pJ/HPHl8V7rebd1a/05IlDroESxs/
AZTbIpyInOGayzGYhrH3p6ye/mteSdx0WuqX8QvRaVQ0qFqcSphV1/VjW4LbtPQtCnQyJUujVgc5
fV6gLN4fFbwcLzLNbcl/tELautwoMGsngn44ydenfYllURtmFaKuj90hNBpFgkIVwBQdErrXg44e
fITmCN20oPuorvWPijVvSw3d+6RgBy4xiKUVFj2hCdGihFK0VgYWN/Dm+mZFz0VCgQEo0Vl56+jc
D1LzOY1JLSx5AmdluJ7oyEcPEAlNWlYbK4xtmadJ1ijdhYGZFJ+AxPXsnukUGL+bhfhZ2vvncnjn
1F0j/yPpZO350QRo/gxZe4C/m9+AE6p335BZn8xDqWmxKw9z/XFUhA875GiTkp83le8UH+puc7sk
yDR/dXksr0aBTivz//4HMN+0/NtBwuLZqY/58QnaihYcn43UVnw4oZ+QB3xXvvr3XQMkQEMF1mwQ
M1xwSgNQRs4J39/70K3CVC9AOrjDS844gllVFSwZwlb9btM4y2wh+BvBy2uc5nngELA4shxuXma5
N90FX7JF0UWloETSxPQsKoxppGeLG8Gb/XDAeBv7dqYGBZhXxpGYYXgLn1dcQ0jqxYCH0MBBDxWk
JuYDQAoqq8VKmnOIbDWm9nh21ekh6+EMAke0wnQQXFS3wX5ISyVEpmuutJJOlpQ2uY4kayH/ZEe7
0BAEFy2qt6aIpIfLA53LG6T4Fn/Ghi9i6wzmbiD8eamQxI/sWxT0PSuGaOoohooJko75btGaJvJq
S4ahiYqwnn8fvhBnXKb7+ENa0Jn5TTzob8WOf8uMhu7prEJ6GRd7vQu5KCHZf/R3IR1jBHqYrrRo
iVodnwDnySpODczgEx94r/T6N5a47LfIaJD8nf9BBPPtojue3yK/q7tzYxjtVz+30EaA8qg90MQf
Yb3SxRYVyo/hC6uJSF8bYXj0si7hyRHUjzEji/qLbpsOQEz0M/CcEBf3GlcS1rw3VdiGmluvCAIA
jjXS3zJAditOP9JSdszuFhulRqgzensgtnP9jyrw0OnSDx6cfRW0bR3RVF0AbSiv+Mdq1rgJlbr2
U5KyaMSRkNWQ7AfPnOfzOLpFDsbDUXYVSSbs9qSJipGmBPFRkbD/ctEYYSeQJLcKnmSn/gMPALcN
rh5oHhDUnyh89DZgWH5t80lWjBooxQ28guKIIlOezsWZvP0v5UOT6gFGmBpHx7Nrn1HyCa35GEDv
NKU8SFJrtE51W9RW8VbQXlBn4NnPEU7OHO5fbxieWm3zlWKbmlNoLDLUa+YQB4LFP9jICzI96PBU
z4Bdnl3enM20GIEJ2CwGeA0hPwJ6xrTMp0EhyOXhjt5t2BNbKWCgLbaqwxsia0RmRCdDfp9HI7lP
94v4AwEnNHPgqJ13Vzfq39XwBLYQmwMOhgS5dJi5TpdJki6aldmvnrCXWaRDGP5Igzn3034oPOsa
Vck/6DU8pJNUlATD1Dt0o9u5y/CXHa0O7GGspe81CKK+vVxq3YmiRhtEOAm38U0MMSJAZyGUeniO
WSVv3LJXd1ssCgpCo7mV7N4/GJP37gosWE2ekr7mwaZvsUVaI0rgjHXIcLXTnpOq4xhJghRpnwkj
8LwsfxbNplLv2GH7ZAO2Q4vzvlXK95rRQ/cOTOR7i+Y80zQtjOZzX36WRPcMur+NxJHCuYIU1olW
NSyJrxTU7pz4gm4sxu/mKwKbEvuaOFd3Q9bPuagoREIkGRNoFKIayPEyFd+DcC6DI3/BLVAVmOGy
fDJwTm7Jcm0favyj7GDxdYjyfPX8T9/2ZnQ9rR596vNmHJD/sDKbYFHWBmZKUWtCaEEzBxUP1RHo
KfFCPweQGplbTNleA9vV8BSZw/b/0Gfhai7G+4xGkLPSRpolqEn/afTWTJRB49dQZBMSlq7Ugjoq
c1+vsVeqtkYxv6J3CIRyZxVFCBIpxsv1qiQlEUVcazLoKH6o8npcmt6lZe7RscMSz8fEA46CnthV
mldD59Y/FqnH+3bboj7qPR24wRCAtfJTMTPgPu4W8napNYOE2sMYIV+FjM8JDkJI7Q4n3cM2m+PG
WKS081kglXEXO4SPLzw8PcZe+Snz9I2ynveK+Z2cHElcdMLxtGdFlRnobgJolQurqYS4kaKF7fT3
FjeL+tKETrYzN8Lq+CmUBEZHxTzLF3Ir2z6dQO8yQlnhCL045jfiI9q+wISIl4HO4ldbyomRgOVc
SIVozP8mQTd3YkPujwaWMHaCMGlvnf1akjT/MPQe3ipjgg77F2fYR6+Ta0koR6Z5vWNj7mxfuMix
u+Yuch61NaPZZ53sJisv++uWpNXDSZY2bHDP3GiwUp4vs7VbDhxTDRiK8Bj9MVZ42iSUETcr/U2o
qb/gaKZeo20f4B8hSyoul4zu1rKpRQ+NM0eM9OQ7zrwvn8zK4kThLmp8H5XQBfcsCYxa9RdBmkpG
hkjOQu138BUKCwyShpH6qfm1Xm9e5ZsLabyEbfSBC6cjHHV7jrLYu225U+qfJ7G1KvrpoC5PpS6R
4ToM4rCtsC1PMb78LcLtHH54SQWOT+u+PXQXTiWQUsliB8U6N0DbQj4oKiY0m0xkx6ybRPyhd7on
Euc0W8TCWTVk87Tkl05rKeQjAl3dk3wg7BTuz8eENp6CTBv5vVXbSUOSzPUyIXCoHL299jBCP2Uz
ewnXl7vvnG82DjDD6w26MNWJP6fQQRpyKJL8mvCx/rG2MKsQmF0QG/b9w4FDtP4GH2nX2MGfzOlk
64J6LU82FDQMailF1ssNFGXCaiOJNLlF60BHmsBSDBG4IIzkrz8Wzl0a86wTRlbltWVDnkx/gdTZ
e0KI/VuJ8pBeo7lVgpziLsNFTJeulnI5Z0CeL9FW1CTUFbb6x4a0mWUwrARDxhB8ksCohUIp+b3p
3mNaY4A8IJasQ2G66TwictRnYEM3Wz6IFx7JPuWHj3d6q1xj+OltfeAeypGr3E7aJyGGf9V+V6Mp
J/01jyfRo7VG2g14MdfMrE5wETSARTMVKjaxSZSBdDdPbgzGTtBMUZWLVSwWq1D12BaVLfs8wB/g
tXldZAnSIb9R/0iK/bwdELe2GKvquj7nEPx4iE+qQJ7KSD1Ou/RUKWKplZkT6uuH+Yk6lNAKbKA5
z98FYi4Rm1M18lCWG5mfGc/Q2pDbCPuFXeXa93t1N/1/3d8KsbQSQEyHa7Sc2GPguT38hHpbKE10
+i4ptRcMSeebrsys+HAF3RliyGzJsj5Dju+lsqMudZTcw077DiV1ak20gTShb6KxkBmX4jQvflUE
AJVyD55b/7qcak3wbTIh4IW1Gt/P3x+TLXKjV4rphf/sKz6BexBxAMfKJFU1mgHV7AhVUREN0oND
qAzxhF1R0DTJSxQeO0YXiKyggqK98qWyYpfY/2df9J3o62tU7gnUPiVWDe1sC0r4M8XyePK+VeqS
iCZlnxOca79TaITTBlaoYqxr/3iktxzJbavVw2p/4mH5Wb8y36O9+MmR0z4T+2e5yrl3pffJFuQW
dH1A4ubpGHfrkiC5Qxbf+VRxuHGZ8HAA0KkqRbjZEoVs7NXJni8EjADBnN1Y3qJVCG32iAwggoar
mrrc8BhfoZOo8GQ4wVEUzghpM9r6cEL2fnTcmLKQpQmbpir5s6vZBPVL2o3dLTisi9KzJBoM7tTE
1xSU3iwlHBVu4ZykxaZYEq7wCAM/Xjwld5PX3yLKa0yImawpquPFhnqLcXsMFKe9vZ1/n9ZmjRt8
ssW6N3QfYmbMhV4pzMllXDcr0CYEBSoOvpSobzZaxseR9DF9nb3YhCKijhMLoBPonWxXGMmz1m6v
5GdtOKr+eKIDbwF0lNUQbwHTB28vnN5RxHssNR8t442PtlbsdZENzDBwM4i4Y1+6uAdYT5XfYqEN
Y/rSgzNCkCYmvGEUjV8BQapX9rJkBg1SsG5WyXlNL2GqmmrAmku/h3m0Fo0NfFQ50J8bQg40lM4K
2Jq8hFvKsq8gJdnGKPS8ovz03/a2C1iqGVQZVTo1A5DBhQP1Y3o7/GLc6mqowcLSdmE0noo8SVQ7
CzmcZcUMrhoFYw4/uXjM/dZr5EclAzJVTfaXnYQgsmEJHHdUh3HZXoAwC7ZHnDRSrxzPTexuxWmR
lNbi4hmfOn/zhgom6ta3F2QRqjsYGrl70E9DXItPCAa4DyxVhyi+OrYTB79Mf9Q+2wiKAN/zJ0Qc
lOdsBQwdsGbLmBmX9IaNe3tGX/C4Sx1unG05PyiWe1Kb8jCbkDEQN81um2kQcEmonURYNU5P6xLg
BXwtJ5V6iJREIFIxty7E7TGK2fFeVoddsvZq86n/IB+FrWxZzgHao8neMCnVtOegTYpPyafPdkgQ
XNgPsM97ogkDThHm6QbXt/CtS7cdRezNIzecMq2qTCFu1R8kYZlG0iQI+kKY11q0P4/Ho9HvCgiH
o+vo1clL33jR7XmiDgRMhydyopbQe/9p3SBhHFSb6kukHgRYameMw0i5PawFOXG8JL0xgi/CFimp
46w3cA0Edp+xPC/0VQrx7scRtw0LAx93FKOJPCyATXQNC7z6YfFxbyzevGqVZC2Q7S9AEm5E5XeI
IJfAY8PQCBt2VV2AZRQeKudGUcFnBKjUNMk8YakKo/F+WvQ5A0rP/410wjmzKugtEQUPhPNnwSWy
VRjY23Botqft9D95UaoIIAX0RIgMddy4O/4b2NXBRqi+7NzyCyqrTA6MVYrjXi8Ukpl75q4DUdyr
W9UtrfjVcQoMUKJLNrubGgS7X6ccOMpEJpGYHTDurn7UpgPUtYP2xxkEZEMe2tst4vr3yE4+vEdk
A5vk41B4tKjhoxqDWxdDB3y8JaBUjRDywbTpLo1vcv6E4n4vtYRhrN6tjX21SlW22WW04n/Ip8PB
fylt4JYvcL/sfFaN2855F6c5Y7enbCpBn8scNvYrYXaNawthLWtZOHJPqmqChfCgzqpGusSSq3+G
qZEP5G1JFsMeVojWfOvUTg0xKXLM/lXaiO9aY+F1jPSnZ7WrqisAxNASk9JH9qmF6ENzofkmbeT9
zFOgB+ntPft9L96my0taSt01k+d+vNJI5r519n5rBEMFIbHXHBu/vmE8u8zdv3HOsU/060MCtd06
R7xg2DkbDsAgDKP7CzUPtS4qx0yphY6L13krJhh8+Ucjsr71bHpNPhyrGdBXoP4QgTZ+knazTgOV
+2ulyD8Ku9jCBQjDRAaLgozBLoDB2AfjdWo2PvO6T54Ou8FtYymOeBBPJ4BQ5+wiLbgECuxS3q/+
OSFu3zYvEzA3rAJcsWPJF0h4mn9zGk5JDAx1obpvyPkN7vg6MBfYHtl65XFaHpOEh3aKpLYiwGIl
oKitCKsYeKbmF54ca9rspB69Koms+EPDP5diaZnaFJau544lWu6MTann8kWtRsWr59TnIhx9RYNq
eH07TItb7dY9EvKaoHQBU7JF10ZKf4D0n3lGTKbA9/IR+IrGbKYBsJ7ounZ31j1PHQKNA/YcRG0t
BV/8hCgeJiMKRJKQlCy9wac4hMvazbxdvOWZxi/MiOTCOoRWMQKqFaQasFPHCmFYL1Oi9CXsZzik
NXB004MmVbzqhwCkatd+z4jhUq0bKsdmpk0PA1YOyUJNXqnrbn8K2a+DAWZ20CHzWlq5c+bOrg9h
YzG1HOvy1w2Hh5Q1w3f1VmGzsfjEcS7CtUO7dq1wZjjtbVUKl39khjdnN5f+2bYGU5i422YKBH0j
m/HkVlfxDyi7QE9JwvwH0YLKze6b78jH1w2QOs4rIEk8ZpXtyAE2mg9vbCyfTifEe3Rveb0wOt8J
vhp2M9o2inPLGCeMIJ9Mmrf1zI2cX1g4pJ42d9qhd8wJaxpp5tJhNfbjCcNYY39r8N8eSX+gnmFG
KBvs7+vTtvHjmmYsNbLxWF5GvikZywaIGnUCOW5CzvVxfMLRJavWdYm1zFTE3liMdTm3swoVgQjA
Uk8xq5f4Yy68624ABozM8yrbAD7ysnDTbHe/HgpSK137Y7bozZcMUYUnrUCuG+iwYqvsGEDLCW/C
w0B1W5KHSbsAsu2ggKy02AV1HRVoMUy1KiLvtKeejdk9DrGN0oyjp4M/qikPQTFB9yiPEhi5TcbL
6Ri+11lEujsgp8hvA/Kv5Qvk2+YuieFFSyAKrZvpJv58xeVknQZUVG9KNGUktD/HMv71TsHVcF+C
eJm1c+jPvbPeENhCsrOyhdGTv7eQTQ+2QtxKZzeFOPJ7S9eK3rqyPiXTQKHLvBgNYICwHSlPsLNj
X0L3/l2vvgcNv8UTZsJCzH3beUlchB19d7NdJ0ZeKVGgV9SPA94pfMlHTztuqq/aDKF6WhOzAu2s
lH/phn4FGYBj9veD4DgBid5CCGctYGbreQATM6Usx8mKVFhXQARhBZPOdZCx4+me5wgi/WtcmbYt
A6AVTJX11exygkqZ18xXqun0UhLWyY46v1EGiRlwCPTjmmXex11/TXVmzN3ZtC1TBEo88x/x17Nc
5Pk93cFT5vwh7lN1tVTh4/QkZeT5WaSqIZ/4+mzse2lhR4Esi+06/GtmcJYplGqPuBCDOs7yox85
RDLihjF4LJIiSaLbi8g2lj7chvu8UdoqCwTWWyV9mgax8YK0p95I73qIbD81yXNRSiqvv4CRdqzd
3jaeQmeJMJ37oQgjaTCHp/XibaYR0CaAoBOPnMl5wHpD5xIVgU2Qdwz+ItcIPd+b8f+5nOhk4skL
8kePg+adTPFZ/thlboe3R581OmoCyOsb+zw6wbNcBO4cq0Nl1uLeLUITnAE5rQCsexCo6ZZIjJ5E
x0N7MOWcCmTTmU7XVLh96D25Cidr43v/wogHXaSDs3Iq3nLcqEGuOl28JeVhO+HT/WARr+4AEl23
DIHPyuDhf54VxCj2aXy0VNcOe2UIdemmuykAKUYu1e737z5r1SlTgWYNQOnkOo1Uid53Dg5ghzD1
b6LA2qNrnq1+pH9WKyPLB+6JWrrWu+kopOcdxKX//KpWitbiWog0ZD4DwlWVL0SiSm6YspAxTSnD
+lb3f0onOsU+ECERmc514f4e1A5afiCVEqRVTYhbhV9swc/hId9uEhSkswIs3CRStDYOigYxtned
eK97JypOvR/d9xOdlML+QeEXu482Z5dACo+xaWIfvJ8SP7ITeejNGDgfPc5Fh+Y+cqoSWIjJvo/Y
Kl0yIzxFNL7OPRpiXwvmO1qxI1vtkFE55XZc24YevpUNuybZV7o7yNykNOarkv0pK9R8FG+pI1yg
0oAzliWm61OV6CEPaD0PnM+TYsefjwGRB+sOS3POhHSZ1DfmKuUR3sI791vvxKFPFaOom77kutmJ
a8hJL13Ba637ngk2Y9UXivNnYUIBvUJAj6ljuErHV8CzmVxD+RZoAN+jAD8mqYKr5FpTnsM0bnVr
K21iz1uIyWVBIxJ8/rTSzQE5/TdSla5RYJVtkdpJOw4AQfX9f51UBkapE3trtmKRkxfWk4BZOmEY
unjM+WlfYX4utxUtr5g90nlf8NEs755njPpIFyM5oSCw0zdqotunfgKa8myAq94KE3syEugm8vZE
rP88dWX13jOq7Zitcaw20IAI61XIv9WHc3OMl2i6pFrl5DyPo/u1K9xaS5zlOEDWqdbAXV//+kAD
IwUoQzyI49WU6jGMpy82G8hR8BOEVQhE5ZM/E/iX1eUxL6UBpVAXfscgsEreaJMKt0I73y0GyKWl
STkxCOcM+szyn71a07Ot4+Y/7AYcQ1+DTBpqznFzaMpPdV4b+cmd0yJC3UQnSBxqEaFrSsudLX7V
tagcDkehpZlgz4ZxxR7dM0GvYsstqtHEepKHrI2TsR1pmcZ8PxRsQCAQW7URcf1HJE/vz4z6JE/X
canzcak7qihbZT8V2prZ66uvWiC7d1cjoXwuLac6aceYbUGsXOrlPl8Lm3ZuUp2ra7rSbtwiCi1E
so1kjIQNaxSokcFePZ/5vOGVIp+cjggIMAmbH0JDH1yfdx2AtDGZ16ZvXokqUGFL6gPT5L7OHb4z
doPGJIgzxwEe3Gh8ETcn6FjPxw4DgU7l/GQOmTPtyDLZRIs18RpTbspzrcSyz9V5oTV162M0cxcZ
D/3DOvJtgx2gEKQ8C9q+DVnKhuYb36kJTQwbCU2+V2NuCWHX7/ds98CQ3MBNOGvBT9b+zkFr+hKh
BcYh13Jj3aD3AsIomEJRdq0Cbz/C7jTJs9sEdFnmOEQQYT6s9HIYWgW6gKkqrAug96G935gWzTkK
eefCS7e6zJQlybzPo3qt/HOXdDafgg+eXHg/AE4OzMNfEZ3EF6ejrtiNjU4nas12J+6NBA8DnuN9
zgFgqmuTAI8AsY7GH5yJRKtJhh9V8QHpQzUXhJiFiBOcBNBOoE1lIS/veX7ERp4LczB6z4f6M78T
+d7mYOLQ+9hQm9nRL0TB2cHeoSGc7pjvB+UENrk0n4G4dGca6PMDNJqxCzbsPmbX3aQsFbqx87H8
Y/tdcLQ7O7FOh9R1K0RSCTCoExOqlTsSIyD29YaSTeUW3/GKUCtrDfKcxBAV46WILFnN1VMk65Ws
wkC/SH5eRvM+dEPbBzuY/SeKMCAlkkNcMvukIaAJHNvqmXtbKnEQKFEyQkrKNtvtygBPlY6yEyBo
9uCmY7MoJR3uJjORT1y2BfhPXqTgDx46zniwjspO0Ox+yZ25SOjxO/ZH0RKatwMmVynlD6QIPeg2
ajg3ZE/bf68pYXDggyHzTezt2DnEcIUxBkO4ajtiUdnomQxNaVkvMR6SPrF+cM/TWUh2aGykKMWu
nWyATzrdlktYmBUvldvW9oGAjWHF5FLAQBs2RpGronGG0TJVZbrE75t0FNm5OUOF1d1hd4TDkXpN
ZrmBLGqZZ0qy7mlKfOV+flDEcQrWEVq6jGjvW9bL0TsG9lg2enSTE+UmZLIXdzzqf4OcF9p3DK53
4U43FZXqgpZUJGyfumdQtHzzlgyuCIFYwt44LXyFk6YCnGx0/jQtcJfJpBe2QxipFwh1ZHWr5H1c
vDVqx9ZJ4edQkRDQl52am8qdqy+apJEVDpmqGmcys5vcGfz2rB6IjqQfHdyc1QiDdCKtLTOhKjPO
PlLbHNkF7VUkiP8zY5+oUnibJ3YJH9RKB8BYWyjxKoXmFkjp9uHkEbedDLben8vFT6q6v/v7FSMX
+SIdEvfQxSgeITaxkBjfMjWAXik/zDy9zihnRQ3Pwu022U6VmQy1vY5wB+ebhtFwMNcyXawZ9qBY
SNihMMMT17r+lcbUGgLD6ZwyaRCe123eoy4XpaflKCSaUYRfONh+WQgQzwcqdKw2nRG31ptfr4hJ
du95zQFNlHmOETCdtEVyiODswszjxJzibBHfDs/uLqToodgiQ8hb45SYHUy/XLbZ0vT/ShD/VNPk
y1e5zkQ10mNQeF6oQVmE7QniAP3wAVYZWd+pNSFipu0diDsWMMMEjmm5cwWX9FHNiz/Pzj2rTIvP
GY7SMVZYo5pQO4LwI0N/5D/MhCQS+ImmZJLRXqziHxf62VeLhPZz6uumylsOkh99yIPZc3YvqBjo
JfNw9TqR0noKUUKfHTrdwdoVCFWaPfewmCfwpcwCGpXV/g/iVmf2mm5EGScsrYnbuxqigIfLKodX
a8jRn6eLRaowz8WsbhjKBA7waARizMFiePTE/dRm1VuXSjobWeu3SrD6FtA/xgnzygSEmRWVHQUP
6TGChOeeUnVrYyeBtRCEaV1tzroqDmNIuQvc1jJ1xQLbDlVFQkw5vTES9AC9HDqsLeMKbPqn38rG
EVOg/8q3yACMzZgxu+hAUqeHEw7iXJ62FJmjqi00X+vmG5L6NFwebHoAExI3bjqAT631BYIPRJca
mF7KBINGy49ZwSllLsNyJnHkH6qWk4q7/1iK2HbG2pOPgueW7iYljjTIZJHrIXZXjld2WyyxdjmK
duvww7YVW/SWTKWBp3ECCYyMDg0nDjUnS67ehxdinwnmNgWrSyz5sAXk4hXgfrPhi8xdQJQKH9ld
8HOI+TMA4iFFdnYbnCf/VZsz3D7f5sAVI/lWeKojBo6bkw10b1g+yFolzQ+S5SxYDV2rSQKHKlzF
IGqRu+ssIhE8ZZcbwenSaCKI7x5o4GRHcz77gJ8GNjGebL5wrX7uBL8iYUE9PmyTTeJTwmh+L2gC
rsfbT9OcEUi6uVMgJJkvCmuEMJeL+/czUvvV/BaqYMjYxgdYtSuJk/zxdrpkZ3VzntDlCvc2lH4b
Z3ttdsJIRd0rkDWHVnnvjOFW0ZwvRUQZZ9oklAG9+LG9IuWyS20/bfqEXKLLBp9sDb3if9HRUeNS
U5gzYrLFM+ZWGuFA8+zIjcOl8uyWGBVcJwvup3BsYXHdRi8a9iNq0zZKpBTG0DPTMrG0Mhrvy398
sZ/acV9Aqa0z7b0CrkGjIL/gjRxjDRWQQHB/MByjHdNEAugXkXi3KC9ul+MNfPhcz4d8mmJ6gTdw
oD+nfllutfmuYdwH55/+YSSMzoI0ErfDqrMqqIo258oPKuvnlOs1J8oopC1NF80TYv0pV0QZSViV
Yhhx/PLta1e/iWRxQDDhfw50j+cjGlbM22utJIYvKPUvWufd7O6wFrQHILzzb91QDMQWGA7oPZz8
lcVjiUmXftlEXIhlpbHbCBTUHtNzBAVyTxRzr6v2IRaZGG0puEWWN91ac6cpp9qEw+sODJmFw8e/
TskElUoXADqBGtdVTfCS7ubeW6FpTYwOVtC6aK7JrIEfv4BHU/UFPdfze0dJaiIpEc4ZqZc/A5up
16rM6LlznncoOCAuHX3m1k7ljf9YbQYStBaT6kRrIcLJIqVGy6Kf3Rzpyvfgv3ObiC8EHoLVmnU3
/nVKpkOuW5OovXK1tVgQTaq3b2LPl8U3WzTrFhRRxWyzHRLoTktPr0U/RhpwVl+RFPjk7XJFx2as
fJ7YBgw9z7NRGvSIPLSsIOcNcXfUTdU+HEE47TeOzBtvmPlF5lTBroIDiyga8m93NPoRZ+p+o8T0
zIm+oBmrlvh/WYiicWoUMQW6Sl9swjmWjpAaPm3unaDO8rXE6gpzndoxBt5h03nS0ob0MiaBA0O8
bQhY2yoL4OgIN2UlipttQVOcqDQ/GLgDqQYY+2rlDwd3J1Ek+szwZYkFSLiOYCNX5fPoqsCyNFlk
tlp09Qwr2784+XPwZBrMBPIf19i0JTXSKoFFSC2n6D50Y+Q1QON+lZoIsF7nWObvgzVJr+JPXC6I
ROHTgqf2tTKxSaREAGZmzd+o/5lL2Hx4UlC16El2Ibr1u/hhTsZq9j5mShJb/w1o1MNgMrI+kOS2
SJhr/yZGvcKx1/rccClzO9TWW8/+Z2h52fBsADGaU4BcsiarE+hMMRdLSrQkH1F5dUXBey2aMR9z
GPcKNDLXNTgOdpft5ZKYTJAxhgiBAhqAFwWvZRcK7kyCKap2g1O8UfWU7bnzk4nDRx89c5UYTQSi
6y7diyVq93Y320D35QcyhYKw4FlsCai6VH1jwpbd9OyvYlaDO7GBhOKCiHbsRIzH4UtrdvtRcBLP
aDs/ABuIZzuAXV/XWuBiY/pTb6NWUTAuF4aBLGDLne5cj+hih8IQ4HlSjgYdN7O3lEUY3jDG0fk1
lJ441ZcYFuy9osOBc/+DGEepv+sYg0JmljOI1tjh8sRt6uuZ63iD4UJzT+8tEDvdQrTZTb3HGf9o
qFoUCTEP0cvgmt9hulnKvs5ujxjw+o+BIQm/uU8wT/wP9V+P+CSxYhhKpVGYjzdU+Il6sRNvleUu
NAOjdohJzuJC25wWxuR9QZfGpzA0j+WXmWfp0Thhx1sCjfxZI3vCXWgk4jbSIwIMn0rn7r0SGRRY
SlXUQI8JJHDzjqjZ7owUyXm5jk/V+M81Hu2Effy4ZE3zF2+UEbm+1OqWVYLskpW5E3h43Tj+eR4x
NbFdVJDiLaZoTdrflRaEJlv4nu9OP+cgvw7I8HYrko1gA7FaBa3+7vOGUTsVLg2mKSL0VNhN7f3L
6QqZaoVjqO6X8bMfgL9hvRYnH+rKcKyO1zk6UR7eLa5U2vIyM/uP7lX40jwJjgS25yjw4fbtyCvV
CCOPrWu9Ne2Cb5ySLuOVqviR1JQAzFMWeY+Rig3ml8uIiPBtPN8+uXu8IuB/DMTO8ePss8xXaYhh
n2I7xfcgyd3xzQgZfa4u04XZxUOWsWlsJV1t+R6WfqQuHt3Kt5kASXUDklVex5OGqHNe3NgcO1vr
448jKRaB4jeCL5unE3xMdauvEERPIh+inR34Ivqbi7TumG9eptgG0N9syRqk+0s5nglU18+XOnnE
BneGg0/ONOUizTCqzA2u4chnfxaXxHAPDtYgvdDZenUpx+8/naXi0gRyHoe8rVAPXXkKDfKhPusQ
4cgHjK1RP37CMa3668ADtFRVYXOUPnQNK0lN26SztRQb3ScTcLpDsy6xUZH+FrOl65W0K7eR2vS3
4/WxZZENLhaWkQiiSo7TU1lHacdAhDpRUfwOpy1OuvATP1+JrmSg2np4JRODEKJdWMB/nyFJ2RtS
d6FC6g4aAAm9m2s1dcwsDlgRYa7JOyiFXfz54ju61fqoavCvQcePaTVqekzfz7yo1OKXPDPrIvzT
JQMoc1Lq4aXSeEL0m3bhA3rWbJD4lsFFhYlYqqZe2HHnrub8pMUOc9FKnOAYEl+nqUHve8TReV9d
Q1ysxbPQarPcbheU6qRjyBvCsp2xWSIfiVZmAS2e/EsGzZKkBBi5xHo8yIWMaqPqvYHmJ/JIfA/I
TLuQEwGsYAxP3ywfYMpwMA3p+mtDQiXZHF27VZPTz2cJNAeH1PbO/ib2tot2ctQJeW3IIezW+9mt
oguAvDt3fLeD1/E0BQqG9mdxxP/NcxcrYQMoyR7u19Dfa/dYzdt+aIqe3CGmTBM+GdK+7BEyTZ/K
GKDo4vGwZk2ZRIziOjjUZMPAdUrcs4bNFmvBPLUSRVQ3ffCxkWaHw/VWBIvyyXqi3AQG3HSzGgFv
Mm7kwwO/+VEBVzFa6OcgbbxkFWjEyaFY99+D/K5wOM7LL4QaVn9DSy7apQLVK8SgBaeaUgUQ0Wh8
rZrcxRdajHV4Soe+o3nOoEGnDOhClitoWYgIMQi8t6GsJENDZ99BjEIziUwIxDIZ58SxK7W9W+z3
zexKUNjGYVYMnhLGMRM0cGjFKrrfN7SBRQSL1Gy2MNOelavDtWaNgA9fGVdGm9KoKu/u9jPoJIx/
4CWzRAm5SwyQdRleoAKMjf/qSXhQkYGf0QVjyMk9i4/VOmbc0XKGUHLSY7j3fEWwphc6c6MHN0rY
vlpaBoQa0//QOFJX6HDdp1OZ2e2Gwl20jVXWDfNB9rIcfmcYriftYQn/ZnB8+JOGwnpdgqJulsrB
X16+CTvIXWrG/N/bTIVlP6lcWDxRpWCQbA6PHR9yduJUbyyERFIBVy+zrdhV/w5fgA6gIusfcRLj
JMaKBPs5lELHtQ6AeO78FVQnFXfxehTPclthC8EiNKa9VRbhvea/EsDxRAoyEg0f/akQfvdVs8Gv
+2Yb9fQkke9d5RtxbjWViP+100E+H61e6ns98GVRX4w7RVDkVDOchpI8hREhxEITOWVxsTm5/RLe
jbfbO9yjHGA//wSPUvPRjoFplsaEngDdCWbhfwaGdEg4hbzOgGFmBz+o7MUFR1VkWxvf2f2N9tvT
SN/5rKeDKovk59tx8TonyUq4sJAoPC+YVyFP9UHDi8wWr4jiQnT/v77O0WSk1t4FJvhyVkAjz799
wOwWYpEI+J07+GUrKCptO7Wlw6jDBX/+oP5z7AxLbuqA0+zHvaNjlstpxw58sJ1u654u9Mo1TGdv
DLPy3xyhFqX/wZN/oSG87o3O08YR73IU0DOrKPJCTTKYwT5c/FphO2touQOE4hxVjNfh8wQoSQas
dHC/BN9okQJTVOHnJSK8zl//D6fcOqCn6es0jvCzHgMeCklqlVWAusFzyrgmhmBXKKmYRQzOs0aP
ds7PpKGDC1kz2nKC8iuhwGqywmRS0JqNy0KJT008szL2UvonGL6nShf0pShVpwQt9tg6YCDgG6wN
FgXILjuDWq63M6+dVy0D7jXlmswWQqiBnfFIbVtoZFfR1MBdHu5zO6xSmEBpbgfeXLUE0xXk5YhX
HDlj9SFb2kEa4vyx+mqepcglvj74N2rpbPKxTAyRyZ7ZH4JrClGhFhGAOHtR5AdjIq7o0MRUH3zl
C/11sOtciSpCJY3OZC1lHDAK9ziae4JZFecL3iSWcmLAGF4Q3HyfRIRK6YnRflpKBCPdzqlM+dbW
Ik3PFbB8lgMpSnP9GydyOpI3oQhhJTyjQWBlT7T1T91Pp5FO8gV0OzzBJ8KqgdygtqOFG9j8TTy6
dSAuYM9//QjYAclTiR6cvtkytxi+CZppMQAru9OfY4KFDydvA8/aIagdXsSf2Zf1YUOfPoxpo+bt
ukwNR0E9Ip3ufoGM/3g0Mq34XJx4rUppfl+n7BEfZ+hFKsUu6RH9WVlljlM57Wx4VrCEUOxmI8cr
7NLlUZ2SnDR/yJlW2F2L09KOGdglj8MhJ83CmLPB737i/vc4EF5VrbjpHfa5l6JB2jkfBrIOZmgr
S6RCJnsY+tUVSXa9dkuIuYN2/PHuAhNAAuX+DwhbEuq13dHF14ZxXD8qUJxgNdquVoNcsNgQ5QUQ
YWUYReDD5Fj7TxM2aRHdZRKCNa5PAEwd8i5drAg7jwV+O6KNL/CJ3j6sl9Rn0s3QSsu1+rkNGcbi
oNSa4zn+2QnKx5kyLC61eojWkjXYUSwjp6kXxoKo9SPzaToVnhs8RlstcDErLObznK5zb5yj8I41
g9Nc1+I/5CrGhLOpz1n2J47/i3ohpMugB8/52FtIF+x2DRmZ2cVn8slfVRLiqiTwvCBmaXMr2n1F
OxnTeR2Qxi85yDhszx1lRO6KZxQy2AZYmXmxQ83py+0xy9tWCblBdxE2EPqX388FaTfsrZ8RCgn6
W698bYdqTfGeQOIMAtaKF1rfsVolxtfDAu2K2o8v2XKtnrJq3d7MBCs6VnH/82PzNTVYKQLtC2aS
sPMA46WBLsAyIL4EMSfASDtX1xx8un+yg/bndvTov6+mwzB6XTklZjopYU5D81hVpPA5DgnRM+kD
Om+BEp2WhzQnaQ20Z884jjon2u2MtneUfneLB6BgbVU+BNEtG4VsmJefJFrf8oRds80a/n0ANB4Q
yCz7vzqXpXSwSZPqINfQeHRVZ3CTDCVFsYKvqrB0dXv3rUVBZOHQiF79F82vvheehNKlL1bipw+4
zv0BWsS+0DkB6AAxJ/8iTiZN7pd9kKfT4PsK9WkDK3ECwpYJtmjruZ93g4c0E5huhAhQqFfaYHIP
WpGVvMq2r/EoTSKUd6m/4iNmuGr3bo03kZxbDpMLefuqxMJMYsmDTn/zDmI8F9oeHrSF8alOZJ/B
JVJeW1hXXErmX/RCtutjuQDESUlIPRaL44WfdNsZiTDJf8wQB8QEjQC1lfQbpjZDoYk6epsHarNn
S+G3g1ECiBwWWNyjt+rBGycV45TaIKE2nC/gv0a730QDidr+ltyWC8DAtpVD8aW9uyL4/ImP5HMD
gIigDc4U7d7QHNLbWXpNrKOXGq7/hpiUv4jRmXwWbReWpE//DHjUY5Njr+cEAgfe5cQGy9cIR3IM
1jkY9lqK2Aht48gs29HClx/+3k44zt+bfe+FRe7sXR9Ac8wt1lxZBA8AZ8nAOUGQiJb5gNvBMTFD
WHtaN4t9kMVfmmTt1heRcCubhmjTJgmO7lhWxbMQBjfs7tZZmdQMNYanE/5l51YcYh/E3k7Kx0pW
oHmAJ93T7uytFFL3LqorUxUtqNbUkcfZaeRnqoOZ8cUHCixnginmg26PBESc4vu1KXmFulwZjbH0
q7QmBJ2kwXP2SuErVDbNL7hzEjXealOzBrhJUoxoR3B/PxyE227HLSV3U9f1gKyvTXkj8lj2sP+6
rxAWFwADsNxZ4CDV6ZX+bQiBbKvKcsK6N93ddWGjLo4D5NrKU1eOhQ7ESPqGw3kPF619LgHg13B9
E/FJpDQkFueeUsuEHRXgqFBMQIqZSpAW7I0ggdus43YUgiiByJnUT4Yd4+7L+EfMcgLuVsbZ/fGK
M4dMjAU6C+58kYvyJdbAGNWT4hKrwvJGvAXJcflQi2NJw8fcC/Ol8tEC1l9mYn+/eweBUhn70Dmv
++HzAVCuVXmRC/3AxIF1MOOqKbf1eqSi34YTmpOyhnNoZAMc9S/WpqKUYwOct4NXhHGuGQx9nRCd
s16V/dsQ2sEbf49xWKwgtdbEFAtzVz9vet52oWFf/RFtPmv8ZgcKdIMAG9jmRKqkTCcLEn0Hrbds
dl1A/5d/STVShDYV0Torz2GakWj/qXqOPceY4qfyWREduLwWZkUFD2oxFXFCls5l+g4jVnpweSoR
gl2N712FCju+gFEDCX+JmSzkJq7YhCXM2zRcFoTx2u77Rte6WIwn5RSm8shX9lWF6dwqi8KNKK+J
+Onz1itVNAqaAmrDkPOG8i+CCfBcTJApqcm2966QnsXN+iV7X0ZBjzZg+nP/GPnpSHcjmF5Wwu2m
CoPzyNhu4RF+VwGmA0u+AAC4GVFaWmiVetIpN83WDvZwxR/6spVKvgcUHcEv3Bl1sO75L1+dmpw4
YlrAToj7iHr9YvVKa9mrcIcApeKTzZKbqfqKJgQ5a1YfMZ+SgbaDgMOS8ojW9siXDTOjvkm8nYLe
NDky8nVEnzxSCvNJqGRmYKq/IQWWZ+RxtoaeUJp1RcaGtvnmO9klWPAs8cj5eDh7ynZwgvdFjeDn
OBlURgPuIBuokcw/kE4Qcy9C5kuTzP99t5lMjrVDHQwn5tcTQ6UAsdTxIFYUGzcSJnqo504jVGEA
pCedxqjXhCuwUZnHVqY16qR9KGWPkLNwg5fNLqN59l67vJEtrKsBwzYLZeJueCHPA7HOIXlwAd8T
+eNCChbRoDlwdFTPpvLOZZ5fkJXDZ+vkFxiFY6GIvcri99uYlZNR9G3JNwkupjVcEzVn1X1vU66I
HUX7GIu0hCmr57x2scq3U48xdYQ4a4wJulVrxJ6IfjV2f+rTcdUxVAMqnAP39lXadahK629mVl/h
IE+6vATQZGcl6dF1eQrI+RvxZZuYW4duCAiGd6UWBSH6SNLVCQ6cz8XW2rZUatHhvJQ1yDPbUtYO
IpCOVh/vVh7eTGINf2J2GEVZwGKA1ELD3dYkYTHa3unN6exaghBXmg1YOVIYIt4+83kEPmVsrxE/
ClQzsYE+MWVHugZ2Fq3okta3ihjunY9NTyvlH7boIFMnUTz9+2vXxhFRDT1uThsmf5L5uC1plmGw
4jdZrB+BKtKwibYak88FY6gNhwDd9gpSLh/egzddpFvxMefAr9wwidYVY8T4q8cGfEKn23YjMFWj
QeW5A/N1gGqK41a0xDuhgjMXACfw/NHAWXHwi5BIOTLFRtVNaGqP6F3HPFUhDLSX/s4sqMSjVyRv
S6BXU71KfHh3YycDe/Eh6RTU7ZwshSZ+gaPSeEvy8dGZbRSxSK2ekWk+XC5dnpbxP+2KyNwSFj1Y
xx/Ht6jNoYku83ReSFIPeOlK9JfeGjFqSUjllEVZew4pjpoKqLQcIJd1vAA0CAx6CAahasiisy3t
uI+ZQFj0RiS/zzuD0yRWPGGULBHdoUJGwJ8fB8FQgymRUH8MGBGPUs63KOruGHvozV/ui5rCMDuv
qGD0sNJfodjUVJzIJVspAafiTCaV3T8sAmAKHhlA/dZj/1RnwdMB5Y8uoC9aLhShpJAjKwSlAFck
aFHxqTi+Ur2slY6+3NLNMctbhqamVDBTuAK2McBExEBcNoRi2pftppuPr9IVfXeeRq0tIa1KdCLZ
PGgnb7NhqueTp/876SSOwgSHOTi5xdcYfrWrjGhX1q6NN35+6Rdp2NRz+EHiVZoDnMrlysNhQjg0
okB81i4plRNcbyJ+0pj7KTzYpvSA9k6bG97rxu80zF6C4CU5AaKkw1mtJbCJ5s8HAF33jSY/RNrt
BvziVxdwGlcddE9Zene87IEc0Gb/sYbreHJHLFLVyKG70K5wcDV8mGu1FzXrheHCjU9kgfYXwG0U
BNinMExl7vXXPAsGSXfEt+9YcZDsUjgC283F1xnnGwiQkd05k8Z6YBjiRa9OTzQi8HhOLGPE0/nq
E5in9Qf8fFBPyaJzUi/EUGyNwsXe01+sWqk15VANGwRzDfRi4U0FV6O5kvqWb1QY/Jw21UgzMXF3
xMZDI/gBuAsncjp8+Oe70QVtiTk/rljhPUv0ElUEZOMvU3SfWUCs+gotbz5QcoAHYh72oo3DXWlP
41Tve7ZS1pYPShd+p4by83TNNmH/mal+Nq6U1vFaLeD4Zo3ScvXvdwWsumcams8tfocxgusAMx7y
qva+SjLt2qAV8BwshNgYXvbPHSWS2+bceWrAcn2iv2vmtwMz5nPI+bFgiY9cK1NIvM2oIMkmkMm8
YAqzjpsjz8eoIuQwQZvohUY55Td7Rdxkw6wDR/ONi/1TPmpUtJVCwVKPU+gWpGdgamBXcuCuw65I
lx+0arubOte8q6b2dNKhLpZm2/Sj1aKnvozMsqKQjCFWPIJfmSiLp722ruQp0iDMSk2oLfpEaomq
JuS0MlZlsk93xn6HNnhRGhhRR4uvp0lKbyENe5iVXPeWa1pSdPzM9u66ZxtN/XCjJA+jcWBrtPPe
HlXM1/FPARwVf0aXvNx6pRTgDIiFbR9CtmXfTYx+X5ZXcsCx9Z0js1I1jAlj3NcCGxylKF+kV1Dq
0HpVwCVOrWkt8G0ulQLX0mJAjvbGGItAT5mHfVSb8R59L6Zl3uNWDNvYkm17wUvo2vVcb3Su65Wm
6BX+DekcUYL515wkEUumjwv+uL0suG9wJV+WKJ0kZBaikSm60ohwPvz1Q3kScB8TvDI95kyJR2UN
53JrvWwvvGQc39vCAkVAngT5P0JNAP+k8pKwASotYxXrq0IfcEukFsyeNGVmpXPbD87ix+qwW2jR
3qZ2WqxeKekZceU+2noVabrIxmE1J55ysozWGEmqnAa/wLt59WU++mQFqR1pXT4UsZZqxPRHQVaF
pnDgM4B2nhy5wxgDCYr1ZYFY2NFSCGERZeyTuoKPx/akCCdEwxGUINIfUYAelVO/YF7xupPqc0U1
pl3JX//yR1PZ4tvgE58614Nw/Vi+47nnyrt5vQgdR2gFMdpppEyzoIwNfdeS9J58sWG/qYwA/6Fx
wFrc7TANPeXCvksSr0gVQVR9XFrbeAOPSO2h3PQz25vKT83rP+75L1pMgxSsIWs8cF0q310ch/4i
OFwRhoWGlI+lnYe/y6Swy66J0er/ncxbFO5uBwpFsk+si4P4tFCRYRgEt2HXpOD6j8p7tZ79Nahe
QUfZFIsK1GwJGzLEFV8MpfiddDReeQKl7euRMxPmI97526lIO/YXazopRg6aA0fS/D1lddtKNn9o
Q3tg0/lS5uH3TU1JJSp8TyP6UE9VUVufDEcZPyYtH+V+MuwibzoTzqPSqJ53TwV3lLJ5t5titmTS
T8nXhRw0ahW3mjzhSNezH5IeTHKyzn9NKiyJMwrxLEzaCfk5faTFbL2mY1Lj7KJnLJXgQ43yrehK
DyCTVd+VhzNvFTUDuPMFpgj3vRXMmILwDGbNB0+XzO1eT9QyDSeLhHlUGTqIJEWHoYPehPhHfRwv
taCPD0ob8loMyUE3Glxsg/DxlRqcJtARkovTkOPjaGFbqq6H9hPeIwMVhoNATE4VjUr/8/XSe7xl
GzpzisL9Aek6x3hppjmuyIEw4o/uBGsIatLT846sHXsvSLMnYgojGvtzl/Fjfd5F11LnMYhjhfvb
0fILR5xVD/is6AUrG+piykeBoe4tzpGjcp4bPZ/C0d5huyYvqlz/FJSPtTcs3Z8GyfgeoHBoGh79
Y3rh0G/BfLkSYx9FowpmTVKxcDJh8Xytpo8AYbBYTjlDeeenD513UOqhIwl2AbuzhoAhOE9wV9K8
5EpuuMbChANCJlD/NpPWHL2/G3gjF6BWH908/PfB5laO+AhfitbC2/U3uj2TBvqdMa0nHt+IFqhz
/vV8RuwOnu27IfGEVP0OpttfSmLxpys42Ucq6IJ7/hvVxIo8rBIxP6Gy3EftVpxY8lJ3a8plnB6M
GhuTlvGgpBuKnUc7XOohIIAMWf4Sz/UU0hhEj53XuKiwGl4fBpO7Drwh2cVpJanHsauUMDgVgVkK
qUi9p7JhhQfpKPtyP2iraikU/qyKs52G2qz0rIrzj7mZ5vBP9buey/39eBKDa7DvyHOMRchyK6qT
U9Kt2zXCFreKbuOg9vhFHxa4PFC95wMsMXEwf+1/55CB96aED4a+n7Mj8nUVeGEezit24DTbjucl
65B1zKZ2+RswQwpoZunpyL7ydQIalOVSI5ilQzHR+EJPUqOJjBGkeHp4wSLtcLKXTIx0HJjBXJYR
cOccS2cJc/+is4LRK59H474OmeoaXLarBzEuDuVXAsPXMco5Pobi3mmf6XDYJoFUKwoMBor8CrLp
DrGxHO45ioUc82ac2cDaOgjnebT7bfKZ8ITV+ReyLxRSgtfhSmrtpbbZDU9EtvTa3QNWTwq+L1KA
/Y6PQA9Qn+7dB4qBGR6jOUr3I5cu/y4HYkkT969h/cB5NBOWquctYa9DKj+QoVndORDjHexOHalB
KvA5iBWXB2M+lq+RQoQvvAUKRSiAudwZw3e5QbdIE1i2Kz1ydX1VzH1/DT6KlUob7Ntyd5gNndXT
njRlguYkkhn2QdQZz0oP9H1rMczpv05Qnjl8PePMReRV7OHrdclnoiCyCVQ/+rLyql8CppPWaCSl
RCD8lswbq4KqNGp3dbSvwcoiP+lh1SM7UN4fepomPxL7R0w6Q9Mcbwj+TGCBCdSfR8bfux5ODFiS
dUDqp7RRESdT++LxOmS9Bnswz7tej9PdkfLDsYBZ/PslaTsiMJ/6geJ60nrHav46bacpE2pL3e/U
160aCEGiU3eSEX4eqMi1vQvxwCF+IqujAxP33hKLxEiBHLHMyclQdpwuic8uSwdf/8fY94EhUPku
Y5uI/frh7nDKMvOcjzrGihD00g0JOKdzB7SvQS/kWW2ChorO8WWqAblStbEpJ1WoecAvjb7VU4sI
+lv/282FQL9KS1k+1OqfLOVe8M6x7S+Fo7FJskjM3QC1ydqTrz8Y9tK7vojzhkbf/4RpawZTW+o8
x0zi9yUquZQOiuT0UbkxQ3C9FzmFaho6dHuqN8AyVJU5QZgH8CVHAQFWHuDMPlgypyrBtd89grwP
9hCK+WC70m6iOO9hzneyRzbkHyeh7nTWlPaljaREPTZocLYUINxGoGfH1NsEz2ccrkIs5fQoPKt4
ljAUlKZVAAm5hIOlG0pkeRPY58hOLaLOFyM8hvYoKGtq+kf/N8dTg/ZJZ6WmDbOBX81ZMOGnSAK5
TBOeo5Y3eoVkd1BNGszt4pBMv3C4qRz/jmNLztJMLtQsnSnQZ3CHELNqhenWz792KDD1JEksavG0
sEyQWkLcDISyWfna/DMoh1v6UUJLEFxSj3WLu61pdB+f3d3WOX1qP4i1WaWDjqW6G6pG5gqGeMV5
WixURS7IQwOcH7WGYm/lg7pS8aK0U+E5cXXMBFl/EQeqvUvizJseNhFgspfKJz/4q38dRyMJGxKq
qpJptW5+jBteeiWWt2os9Zmx23/Pz1Vg+5eGV71y6cDXb/Us2PwT++0xGi8qsYtX/yP33+5h8umL
ljLlKB+M0XBYU3m7+duDCltj84w3Sdg3IIeYP0IxYQSyCpb1ungUBPPy3O0aYuA4VlnGRL9JFfId
SKkSUrSK2ENs7jxEb7/tCYelnKNbFx6lD18L/n0iEaxPoID4m+DKPc2Pm2oy1mQARUqKft1zD3wr
Bs2WMGcCxHoaIboy6suuoH++bVtjSpUayrN3Y6h863YhdV9XeBvSMP4vCeOdy4A6rpFdzvtOCsMA
lSQo6YPKHWQCAH52wcgWyNQCweZgPzqO2xBAzCOozHEA/K1UWQNcPWQehBim6TxkMe+T9bx8OZv1
SdLSOzK4ogii7UZCfGOcpfbtBjkAg1Q4jKPdXvl0dbDLukI3qmDoJEoKtICfsVD64LRGS+s/of8h
qKbaKHqVXGiUXfnrhJa6P0/UHFfQyK9u5mHt32K8A4/Q81qOyNKm849uR9VkM5+q2vuvWbS2qxK9
e5XNx2fQgqzDHYox5R7bdHp2mv/CACBMcGjBZ6yNk+dZXijVJvWpep0IJMfbCHT7XdYUiKsrFsDD
Q6e33/+fY3CI/YwWsV/ZeoA0dyBEjh855bl5EGS7Xk49eeIFrlDZL2RWzkU+n1nE92wAeKA+dI9J
Lkdwi+RfbYJAtJO+uFHvdkNOm5BlYWARnGs7SxZk6ibTfceNvzjtfdCWRasfQJMXOsW1L9jWTlXD
SQOum8FO51cqui1KiaAnzzulORaYpjIA7+jPLsr46jhff/okgT06+BGt5WGfQ1Htg4K1XYqBwtdl
ovyx9Iawy5vGfvCQhXgX2/0d7bWbVU7iCZKBhOEy7FMJjw2ojZLL3wzpiqZxzHQGMZSTjvFXsn/J
ermO0zZD5C4yybIHGMB7/dGEbcc/pPd9ul1/YpFAt8ixHiFskOCHnFwNCe1HutxcHKAYPgJ7kA0D
dHDUGEgEttr9SiqXA9uP1Fv/GnqJ9/fojM+DZzaG6mUTsl1Rgy8/RYW29P0SFs7hvitW+kCgg5mi
VUuGB+i4WPdQ4eN3QGN2iINP4p66r7OWnshspOa7ZdYwmS/46pRw6L3r2wDgbNKWAHW632L05UeE
dTjKjGuotUHDO/YAcr8+1/XK4HLZuCCGQQo+yp4kV2lZBss1kJVw5LIMkg428g2EnSa/rRX3MQ9x
EgU3pQSZD2eMA6zgzLNRyb+lAPl3U/4Yz3bO+3blHV1I/CktFw1aRV4hHwCyiQYwpKg5klC5S86P
k5QCl3CGxWtWaEoIkEpuJ7GbjYfdwUouLeKXpY3ot/MKqRaF3WMobStTwXorl6t8rjxH5CZfF2z5
kg4MxUBnbWCaXghgz+V+BdJoxgB7FImtpIj6WTRQ9lUdegJGR27Vm95CH3PbU9zxWTpYn9Bv/wWd
SwM/DbfmzTv7DeddGt3p5LgYTfuxRRH3yLv6Y19nmGHmCRO687eOeZWy3Zpd6Mn7h6WJnwDIvlXn
p0f7veFErSZRebT+8dCt4l53j0QHEFTb1B3LZ97ueesA1hrgs1FDZWPWm8YSP541yKkDmDkO5wRj
7geHsxw1HJBsro23qVKx9TTxqmDzKaLc+UvpkUwVo4XRXC03r7o5mZhkcEmDzzWarHou84mdvMme
hwrm3SMgrhHneYn0GIWEyTIPzcpRW2jPxeye3pdMwn5oB73MuJLUOnbhUyOYDmM3viJXi2trGJSi
AdnNbePIJF7wdwQVt1KHb3yI5L056tx6a1rXEd9x0EpLDH7AdJ0ghKHx5qO+4UcXdCV5iQHhZrVc
oJemLYnGeIhafVFvouQgfRIQuinf7h3YA6Vw5dfxNep8bYNgmbofPpIpSmdXK8aDaSDGDzRm+T04
TF0n7//chKcGdlQB/yp1ZJxiqQpK81w9xPxb8r2tVLWZ8X39Ip1fpL+mSoc1ooft4lbglpTwk5zE
mYwNpTh84JnhrvnOFnzWaPXk+XlToUP5tZ9Rfe3BN8nP1omCclY97Sye6ilRhBTyB8Vk9DgUh1Gs
+6VYq9EwGt4pQ5TNGOExmrI0/Jf8yC3xv8z+VPjMdQvdP76OYQb58XarrMQq0gOcdbaWnwX8PF6+
5e2E7ThvjkLexiuFaOO24CWc8uJQjEbCpEVhEN7UdB6912+o8jxrY27hKqQchsTQ6tobJQ/sJ9Nz
sm5g+w48izeq5v/mkWZsC21If0sSzrhYu1Sy9ovlVJIB39ItxY6fkRsIeQFiStB/Qj0ZZ3RCqPup
ouKupMFL6QwWsRnJgZp5z7C4eB9bhZ4Mw/38AQnEyPCNIzOFV7Q1546HFLIoRycfhDP8fpZKS6sw
0+oJaNNg3B4P2hOHSvNffznS2FqICdOpLyUm9Xl/xfJ3M1W6n66yq50x594hUUoMZtGuEUvYjQC0
/ZbqPaFEL6U2vsJAXlxw8mL1EtoaFJyq5fiGyZpWEBl+VSYgONMVj2D9tLm/kdj3i1X0WYTbYEL+
zb0mAuO9IpDBo3din0JmjiKp/6tmrm8qY4UynGZ0ytUadCLIrRgWFLinLgcpcpN0AMom39SG/v+E
OVrqBvg3AfbO15xDSHDwC6BDmruyJEX9AaLJ9ZsD6bxgQEA0hhDYiZC43rNOyrcWeBJbcTUfzIeS
ybEAHdMgau5ozwOURMv85lJXtguGDUISiG7qdoj9UXXQ57pigLVH42JBlJzHzDuqrF0JCYeGnYbX
DZ7CjNYiB487WUCZVnKRdIchL10nauTVQ1PFeo1oHREG5ldymINv3u1dhYS6YnnUX13Qp9cM5r9o
39TyBX2VOgIaBQsf8cRYEIXS+H9go9GcBTXdnljs2vnCkch2V0sLjjqwiul73M2amiW+nAi91P3w
0tapcjgIZ+Y4UXbV0RFQJbSjAjcD1YRRc50w5BOiYS0JiDO7OHv8LKDe+1Idi3w++AMUnCTvkUsM
vqVJ3GzeL5OrLrufw/Y4hrIpO5+j6z6O2stTQfVvQ4d6fvnXKRkhxzU1NFVfgBaKoaRbIO5ZrG1/
Tx1uNKYhgnRe9nPZ71OjRAAscEHsOkpNWS2HCVz9ZabIyL76EzO8BT/P4Zx4jqTGrfMXKUszEfPm
ZEfiY4cwijeWlR8WwkGkWjEYQ8QBpfXeYS/lRrWo9sycZyLt5jurB7wCRQw0UaxbUJqUbLJBzF9R
4T7LYVrPnDTk3YEUfdhm00S4ulQpm7GhunoPxo7zYqty7W1ImacUmrKnf4bM3f/xPn5MK5tdiPPv
cnEx6a90O9g9bvnGBeuk8aiBiagMn0odQBuD3NJ4I7JFbpuLSPc5otGViSaUusvw9phCjhr2EMp6
QjLm5X7EW+VClc7icfKe/B2siBwPMIH7RDZ6AxoyZnyaMMhT8+j9ezajaWAoQDv8CgN6UF20wZRh
nBwZqsBFNtTAVhkrFP7WdwZ9jd5luE+oKA2RYQ/maog7ixGl5XmiSDzGpJDoUYtU0nui5+XglZzM
r2pTLiyImjnV9y10PnFonHBBlhd/2n4ppcPiPGL1rU5ROcz0CZ4SBIMzZgz1xP8zPAOVl5C3BPiL
drhWVEZQBnpguHE7kQIFTFvUfcnAHMg8vzoFPLvum6+8BUSKL8SQneeRyL7LXHGP0phNBsOsYaqR
hfY5w+GdzFOztKw89duyAMsBmEE2qINHCRkYcAY1xBWZVHzRxjDofdSbBYCq3AFzlQy+HhcLMu37
9uiJIPhyncceACvOmZyuI/Xhh8Bu6fHDpkCsjeloDDsZPTlp5LzgrOmuAzNMTFCvvbNOQqzB+9+2
xjggGFzGTigG1JLfiSJqf5al1gLB1X0cXe5XlsWMOhP+ZX2CGYPYuc3eiClxF8vYrDgKeJ7MGMXH
apfxSMRLpWXMyiZ5DIy9/vitRobbs5CyY0UVNfysUg+b4HPCk0nwq6yNQy/+9lOtsWjlhpuShfz9
YWvcfawgAL2yaEXLZxPFo94CQcd8NRJpFemkaw/QXrYUT3P7Vg5RHvN35BrQIEZTk3Xwjc5Iei8f
Rbvsgjbcjl4sLVC/ANLt8A/Xc2xrp8p6yhYx3qMQDI1L9XLrqqxryz8e+TIxSUaKxjls2hswwEBo
PEWnnxlVqVVXqKxzvtnir+dSXHylBbqPGQw5MftMeN0/Wpgqh8zWxxiXLbT9tYwfL1SKyyiXVLke
c6Yr/GQE7NyVKOoMDmzdQMVcbmvWnDYjzemRBVY4o3CmSJC02C8sPAUEnSFpRRBn53gQTrRah+LM
jkQvINuqu9VF3otlpqkI+UDD7n2vRtCCpDLN3qI2gNzBILj/0b7KFJKprWOTOv71V/yXZmU0pgDx
7QRbMW2ojdpFVAgkRMB3JvZZ/HrpVv/vQIx7KX7n5AUHOw1cfaYA+EZXaq9njRzITW80HN8dLmrH
MZTaPY6yvQEiKB6e4ESMkPgO5VetAtkVgViHMlhCheI8W8+oA34KeCcWSJZ9S4cQVG50+/dlw1PX
bUCSoCc7RBtizmbFelYIT2/YJ7JcaZf8ZdIh2Otgnx5A3vL1X5gfDQghk/9eWdjfIiMjEorTS0e/
Jfs0Zq3Sf/gLtaSVh7bD81JzXu5EBHYj92MdpWmE4sFIOPsE5qM/MLY1NGelZQMc+YjANy5pYJ8o
knFYKnsn04CJtnIN6uRsnczq4zPz0YPpGH48/4HSJMycBJ3dNmLXaVs/kGhHSyqqoZkJEDv0qhRw
70MrhVuK2sXmZ7Y1eQkHbHk+LduBV4gFQ7CVVY9vrJAWVf1lAv2MKIW4VgsmtWE606UENbo7GR1e
1t2okRKWxrCuzzQte+2ju0xUGpCsu3/ADVpJkLiUeEBYoYSyI+9xUqxsPWAuUa+WRjfqGjxViTVQ
S7uMzYvOlFVtprRR0iodiFux1R24pwpMG8FzoAKW+9vJOsjLiZWT/Bbu8saqnq3oBZG2Of8cglcn
gahkkKIkkfjWAu+gs2CILt3iv7H7by9ZWB4Rf71hvEbXNp1JrWo30Ykf/ld8uz9ohgZ2FjFdDirS
g90UEvkiZZLF/JBkUIXKmG+A2vwVLgxnA8XiH2mG/LtGrrNvggEeeNpqzB1rmhajDyDye9f1Gb7m
SsNb5FwF3MGR0XiWvIw00MY0JPCtJylwXhZrmiSU0VYSVrx10BalokYiHO/BHralhVwy4m5zeBpU
FAq1bQslQ2eeDqCDhUH4RjFnAk2XjHRugFApspq9HDJ4WgpFW44rpaHfmuZCGcAJKJ0P7n+MXJ/5
8tb7pEgwSPuPLqlWg/b+PXuWJjBNakZT/zKcsP3C2xqM/kIeghP+1cLgjFRfbOVx7lZsdJYHtgcn
F062AYxXn+8MxqBXDXDcInPdO+DECfqA/K+8/KxAkmHSXPC5mv0bHTtU2OAg/unZ9fJIsFqs3A32
GEDTGzNIVeeT2P2IX6E+CrBD3GFQOQKglLCVqa2aha6jP4VJ2IWF0nC+mq9ETkmaw2azOZiHosRr
wWtCsYgB3tL7/tJWUdxS58HfMlt2wqqNKh/HvUeeEcgSwGPL+66N7iwQkRp+uT4QQSUHQhzBw92D
BlG4WMIOoK7w1+LCwYdnmMp83mr/PyKbNHoXMlgxKwuvmbItvBt1uKGUsbXwokc7r5DAs60rrKmI
hsS4wF4OPdZBBOug7owWGBm7cFB4JPFy1jXBSOSUZMQS0i0/oNroSmkGC20/UtaeW75gxIgv05Ka
4f5CIhpxXeELXV6g6cAm0dsmXY1v4j7lrvj9P74JRVF1mKi01C63qQnFyG7Ye0qPdIe6RiPlCW+W
GLoshdIAo4MCDQpC1dTbJjAm5X6y/h7Oq2Gjg2Lt6UWacEuS8wmQp2dnj2QAWU7hHXdpfVsDU/kV
C5oK/eHqTVADlNzDU4MdTIIOjZy9k0OLpzOx2Rl/YS8uPjX6IBak3Q/sHrAdvm1KvfT/EhcbURMT
YcZoAMl9K3aNtpwKRe3RnPSRh5Ps/brNyB6H2Mzmcn6DECWYfdqjsYOOyTbIr0wB3Z1eVwnpBs5Y
C38GggQ4Zn0uUg3bouRJGGVxCb/ExlqRyRivA3ytZDX1477w8eThqPhBAZ+/8R1GHq+eA0oHPg4+
prYUTTe4UTZd5PMwKyLdbRAvjzQ23x/+LwsX1cgdHCV1ex538JL1swJUb0/wCeoFqZ8Xm02osI6E
utlRMjND0864EKdgwac7MQPdDvOg+DHhG2M2+gdKz00wjpLx6oJwUFZ4mFNRxeGawLHH4Kj/EO5z
rzA15bCcdp8497O0DU/Lw5Kgq2oWUdx/sdeW6lrpFXygMAvTXFD0VXure2EPfuMWNFCTIxWJETIN
FpTArvDL1p7qudSwRGzhsvmwM6M6mz6XintBx553vuJWF2D4K+6SL+H1MaEjAIo0m4W8AnO/dJt4
dmNwt2VvTc5eIoPKPA2SpNVxaeHN7G1+WDAb9KLoVRWuNJNYANER77+d20IzrNvmz4qqoCatrvC0
m7RouNJvFy9WpJaauhQP6Vee3k8IRpJG8+RONwUHnnBXlZyz0B1Q8o9/ZMHvbxsjgDoim5VM0x1J
I7iPQlmpvTXAP5nsKBLV4HOoClNhC8/TxpU9K4R/gWpBhi5kZUss7/lgcySjp+PEGBQnOpLPfUQK
fQuDg50sxwVMvIq74nAnQNUlYxA2FR4xIFHvnl3yO6aM/DBRNjf47VvKuMv3XzNeyfN8XNkM+SMp
lt95ZfBvG9io33OzrS0xkXUXO6RnKZBAGff8DZo/pD1rqPTZvah9Sjhry9c5O+XJmq6/x23qFQon
/if+g99gK/P9A1l8ruTFruxLq5iIpZ4gmypK2YV39wDyW/oBcz9U1p3ipLjtr9ZTt/0RfZKRI76C
ZsTZX2tSe+Zaborzu8XhJJNl33S45d71K52++1pMJA/Uk8rcGJub/n7sxh4WONXqQicwb71YL1gE
CLT6MnyvX+XxlqAePvSpF+7l2oMrrExUbgE6bjnXcL0kHjUGbzZr8oHQQ5ERYBSRk++4M7qqrmtr
INzf15j7173lG0DJGMZ8d+tMMk4RrtVn1XDfIE8x1gD9ox7onUqgGR47PK3b6GqO+9bhw4rBiPdV
xe22lfu5t2k+WYM7Lt+zDh3QqnLTuiSPz/xpxjeWtbDz9hvNibgeGx2SJgOMs2n/ofKNzBll7cEN
kjUzES7QvVWQJ5gAMPXjTMBXkMZxqY3kwD1ZY232V9S7VBXs5S31FBNy/RuRy0kgmKC5a3sKK2UM
NIos0EAWZa3zpXXqiwa9G2LwrzHW3OIBsSSSQja0tJrJnaU5oV3Q2uVwVJckpCoU3jYK10jNnwYD
YkS6GzRVIpRWHhMrkHEpJnIG/Bu4S3Bb4RW3kA9TsKbk2SSQybVO3KmzIbZ2KmkBmmFJcXt4aADD
eBnKMaR8ZJ4XocKu4hvaNmf/Afg7aTFahF3jqXNKsjzMRttaSYCVEI1lmVs3abiRY2I/IKcmUIJc
spxW364zWIBoecFOZC6+GTZQ6OjtDhjhMJG9nUqxfmKDk+Kl6ETrlF77oL1N/AMDAKL52zSDB8Mi
PCp6K0z0CDJb3zXGRCnfOiBq4tDN5tJkbTwJULj7fsOa9L/JjQpRPRCfluIQ+jEGEXskg7K3/U2+
yhcgzv+l0GtmxfYpLLQZ4IqYhp3Zmg5NDkReoktP4+p4uF3dKlDmnZtU7k7/SAtCMsDRPX5BtYat
OgzEpfq/c6wOOu7rp9n1wMO7trb4P2F4sjXWoILgCcNWe1YKjvFDs7ZKmwhu6acotYv9ExRRfgZD
DOe/GQRzeBBzMM1urpCTJ5LHacrQcMuHm61QfuqMCi288ReL2JRDcUS2c5brFsHgckWExX+WGdNu
2AmDEoHV7LLaUBMM6KK34ZSnOm0dsr9A5L4z66c7YdWaN3LuDPOD4j/ik1nurDuLbnGeQdjIVRjn
J508iMN1QwI1eq74npyDaApgTfUFtNOnlAkWkPruyr3PobelPZ4fiqugKk/IpfizKR8THT/zAAu2
Dn2SsJr3m2jvfcKC9uRe2qksw4RHKa1GyQK9HeXv+ktwVNK5LSL4f9h2bM/HgeFAjvwWn2YMGgEv
npz9sp5YnXGo4L7NizWd0NIzkH3FP846JLlNWu0ot2O+Yijo1o2dfQji0lAprGJt3P+M+yg0pVhe
8z7Qtw+o387qwS0umzEM7PVfBRBnPENFBQbqpqzM+S/blfRzaYjelDwc+rwrOTgiEkkxoFtUBc4x
6lbDegjE0SsuTuCZGIrAYbmaqsYQOHNcTE/GfJk5o1CXLYgfwN9s+7gIrJ/pvNshtwD/oz5r5AMG
t1+v+m5R26SacMg+tXEjk2qQbn0lQj6AKomSMzfCTfJChaUPp+mKeFrNSEPY8AgsZtpKC91P3LJe
8E8uAu9tyqDXb6Ir5iDWoL2QSqHCtrGizGN1JUTLMXddhUolsLvmVsSmiSObk3BA4iEHicrC5juo
TD0SskassgYWRO+9rYeVh+ObDYaOnb2Gaz7/46LKe6oYb387fiAqTE3v9IzGwcPIvePfoFKbkD7m
pC8vuEQhUjt+UZJ6byCPDceMfd/sYt6Ep5dOzIuPAf12rO/U43ybb56/wPkmLX+TBxJFXoletHV3
ENQgiAQm8TXnF8oCNJTDhHyIesMEVKuSs0or0Y9bRGsfAGOTSg+6WIDY96dYcpbqBac6Zz6kgebD
7ySJu/kk4swws5nWSOWthTCLYbz++bbP6SGJeMKCO6EEXWNt5TZ3ixcbJz42P/HfjMcZdDVnGonn
Qtyj3/9B7jWjNr0G8aIWuys4N/kBNqv/ULypz+5a+SyakuFFWEoJI099OBK/nE/DVhste+nWP4mk
u1cfvhL4K97eQeqrAJ840gcZ4rzCRFPtmreoe3tSV29jrOrtcgAuAt+zU0Bcx4PRnPxgoLJqfeUP
lU3IJS/F6l8yvFWUAQGL5uWGE/5DTZlKOGEis7mF0REFy9P3kTbMv6uSMTcOJjooE7wGZKN6NZJj
ZO/Gvauhn8fnnyqsWZd9RlHuX6MwkGd+UYF/IQ8022B9kPJtP/3DyIJcMD2wwaQJe3xXBL6XZUZb
9wfPM4NVnG5yTwV1ULnkRAVOugTxE2wjVwkdF5Mltwh4Cg61PYqxMcbI0sh1yMBskzUQzjQ/GISw
CfGpLrkb+HY6vB7uHNvTE52JncUnblYs60PPgnARMS5Q7ZORhtWDDXhm/Go4fJ205xNkd8KbOByx
4e53wHXQIzpEj+X1JMuinQ1ejPStRJByTWe5yHQykBRkcov01WUUDs191oTxCSWXiaATR4Pfbzow
21kwk8JVXZONAaqxFQR+f4qmYdN6MsPNFpizy+gQe16KvXGGtXmw36wkUifeCDx7iOo2SBcFLziZ
AcLkkZ3pDIM8Nvz8f08D6CxKzSwxyE/xX8N1xiQVs+/g9LayrN3lJI4P83OTRQikzcMl0Vjaf49P
bnh9Ii/1APWs1v3ZwujMqiBDqre85ihFpC1ubXGfpqmSCOC//gb07NOPLjqI+a4F8BXzADHWnfar
Plibb00tV4MulY/hmUCp2PlkeDicVYQA1X+a0dTDyMTPZHwF4VO98nvdwhk0WVhmdGdEeOrsoS+N
YmpD0nJRZAaxtdCVaLGz45f79bWFUvDFhiIdyQQfy3e2wc8e9cIWSiW5xE/OQ3TfwF/MAP2qujoq
zQZcmQYNSvxNfmxmeloxBydZdx4gN2Q81eAt+GF7bgNtCEqMUL5Ud+fYyhlvZlIcghv2P28SOGKf
c4LQemJz2aL5demxvKWhnaOx+1BuSJiQ0wNbgKfP2qhVFHcJHbcB2U1laRDEHUH74EFpRhFbKnIy
G09FDdQNvzXYxJilbmR0d+F/4GwKiCDI1XuVYnY0GXuPzPgpV8Q+omsqs/kI4wD+7xDGehGqzshf
xRyg/oXwI8JkIoZpx6T0pYhNt3md/Q9aEV3x05/dScbDgpMPJVKtEIxsJ90a8WJp+IAQcJCGpHDi
9AhRzOYaASGCfprUgxtsr0mgnPaoOLDhUNyP418LmRUdfFv8l1xUWN3LO1upUKUx7OuqQMJXwleZ
IoMha9G21h0zN19LkgSYQo0efE1OpIT4oDSMCVCq+Drsi+y6cPUiXVSjmmm8PS2bxdLVC5odcxVm
gPAUEkiXDWxDPMDShH9U3FuxfVRfgkMJNy9FQJfmgfSO9iGFfbPWOw59NpIAahxRVlpIIuE7J1j+
Bci8JwNOuw5mo1YVDwlVXVuQZ+NoAV4I3nzs8fu59yMCSBdAWjRtWjqLCAq9oWRUHthvaeTF2p9f
aSN+Pb+1zb01egZNAdNwyPdM44hQRpMz+0SuM0MM4VxbWrKzzTeCQnQBBja+EJ4AhEyy737JvnYS
tVGitUmtM25dUNQ9sqh/dSsnrAxMPv4VEozm2A1af50tGGOBDt5KO3KBtqG5stzrhPfAJB6b0oZq
o6x7lL9FNgvjcNI+bePZB69uHOtrmRpkngTUMybQTIaJ8UA1Cu8VcxcRu26yQtql4nJvKNeDB4q+
smtItJWJwzODcSj9PwVzsiQTeVAqzkyLsXE1NhMIMKuN6tnDDkw9w3Zv5ByTxodeJk3iVCz0GMlb
le/J0qMKqFikwbpWVgt1Uyce93houvqIeiz0WEnKPsA0t1BXf+zzI7KTUeqgr5gUGjkidhAN5XAo
yw05OCPpeQJiOw67iZ3QX0/awTHsktF0T4399jHfc+KkQhYMO6sWLNM8nDwzp2PKmzHNW2kXn3J/
8ehMDEJM5PAGddM6gZMbNd255xzyhR6unBGLa7IPA5/BvfBsxACnEx9TR6Xlu+G7tUeATyDK9y8y
uMNueD9qkXx0jqEssbg0a80UMDWU1P64vcNLX8qlH5qHV2cDjWbdl3Vf6IJAUNOCe3C3NYO3zCRn
nW50AwlxO1MsRB6nPDAjBTTodbjIMr6TFZMhZQcyc6PmXKumJMzUipjlhW8rHRM5tTpsP4nSP7Qj
oHiqr1+aX6GIVTanKXMoi9uxmegxh00itjwtaztnHCj5Q5GHK3//w3W2/jBidsJwXNr1dhlK1ihf
5xcdDIViCyUjVCOdHZ+rIwRYsTC8m6xLY99wlsGEyhnWiHJaBw+o6P/XqlPUefwK01014U+u3YKX
QUQFW2s/la+6LJw9WEk6d8ZflX2iI2K8vcFrpKgj9D2N7W80xnUGJbw7v8xeeowOf14ZGUciKvWB
eJi0ghvFk6KIuKTQIpIrssZmIAAJpPpf9tDnIv7DnZbSkM4kjM+6rR9A5Q3StIxdvpu3EY4GjLW/
DatX8umkDPlicrqNnawBg1+emJ0lkjt6ttB2DrS1rNF5DXHJGWv2kOQspy72X6FT3GLRpWzZFRdS
9CDfWve5Zap4EufZW4OhU5/7WgaF6YvrLYIHXLPk+j0L+QHDy20ak07pZB8MnbCj4fQpxzCVZi32
fceZ4frMqp9L5BnHl8R1drwG+/V3VYn9DPnFMurF0/VO//+DFRfs+dObkp1kxcLpwOs0RkI/j48A
S88BJew6yHjLZC1u7Cv65qJ+0H0i21QTrBAats6Pn3Mbgbr0khREb9lO66Qonaskdmfv0vgfyKb1
nGLu9YavvANl2+biXTmUBLYwlah7pqQlz7tONg+KYdDRcRv7QwdEtCBNbxo8C2AEHhitoU1jeuKz
yil3MKmaj3jlR++1X/cjFDTjj2lzgAbXRx9ZF9p/tiWepZUmLDeLq8yb23B2ZOHJ7ltTwIZzrjh/
WPDHedMTtj6ua0UMehtZOahUJDuXoTugUIlnLN4HastAO7EuFCkeh5XG/DNaKCGuGjC+ZTfYQcU6
hTAPHTmUat1CAM5Oq+4SqA8YMkfIYO3MtF9fvN1f0cvZsurysZxcC2Q0lTs8Fb0TF0WC5PWMhC47
X3N0w1KhCc/Nkw2UQvzqR9vgiOI00cHWpzL/RI9tQDPu+WOSJ5W8fKRS1PCiHnxhQYLxhmMlGd86
zGIO7oe2fE/V5/MTiBEjMCnjnwg/4okYx5Rbc9G4w28u8u7ijOJfZUAy+sUFoIVJkEZk/Kq/922a
SbH4fIHoA6U/1hX6fY0nuBcc1w1zYfdFo7pQQXgo875S8vB2QQP4ggp7ayoFMT8Vq33idI1lTs/f
hJu3ndBLZv5SiNJwXU41KW5Kv0HodCBobncGfHMbP3rjsORkMIw7K9jbfdGOMAiGcqBS71CuLvHZ
hWcUwPILa7YOJnLQ3RePLZWyAcd+B6NbOGqhZtQ2l9Dt9bU0y53CmnCljxOpYgo+KqUFc+wTBCWT
Ubp/Ue5eHxUQ0O8S82vU1FnJhGGvUazBjdyL2cKFiGflNMK65rAO0klE25fr9DiXMecbfTolVhDN
yHW40jgRE6i3M2kVi+rIT/UTv6harMs83yt9pXAkIn8kqf4XqlVFokKuM6d1LL9uuFl6VSz4esSP
WhdC/i0CA3EusWnZBwSme9f25CvnaMVeVI47GFlnZnNq71jzvFzyNV6chBJ16mqpkRONbVGNaWRG
VYq7f7G3rS7myJmdYKxD0Q9LDkBD2hTXw476ACEaXJREl5uVxXj90OZIswI+upLM3mVTCmqRr2Bg
4bzVh5nuOvuZHh0RHpk6m3h1FYtUwYkMVjGPIoq4dVxJ8/VCZWg53BjVOhFAwMnaN3fVJjkarNqf
XPlYFj5myhRrWS9KeRjXi/e1OmH3o22sCESkhaoOjosQJIzdfB4F+4ygbHlDr6fsplao1jOCMiiH
soB8Zqy3qGX3pnoSCGFi7mFcdYXqo5biW+eHWdK10jkyKv/xj2N7P2O8giBVvLI0jmzRt0kEfA22
z4039QLKB25/JNvHAsHubb9ERLBAVL9MwXhquK/PeVwe1OBo5vFiIZ4uW+9a6UemrvfwlrEsfXJw
Xr/XkqbM2uyXE51uPXHqdV5UgkSuIyrhMg8UF2ScWAwWUndaN4vFb5Sj+41eJ3+lE5JRY6oW7oIa
rYUBXgAyR4YGEaIKUFZ8gU9N8hmuxGXOd5Zkt4ECAqBkmTysqFmXt+Wf/WNZXuRpxqHXZyTU99rz
7HJf01jkeEdufTjOp3zup+eloF7+r58yh6VrVfLCJChl/WxSHPg2ihu2VajNSwkO1JmdSA40LcWa
CS1IWh5Q4ob7+jmRqfq9b1bGVnUmjdremFH8MjJJmmjjPd6uFTV28vMj8J1bRcMTsOpckVSqtj38
hKHoT914ao5DuKAywFyc1Txk+vhfE3uViZo2cnS+76UGJskr8Q9TrdprkA77Ydidf1JTHw82R7Tt
zWaNPBAdbG7CuTASonUMXS3Uhats9E7XehmIaf7DHPX3sNPuHIDgXxs+iRmZETO2LFvWNC5N7ac3
+237AFfUN5TRwFP5iplpOaz6P/+Kh+qvJ9g+wqIGWMET/fcZGa8o57lmhnJqVxxose4UfeAKaOzE
R6zxcQUMDZXxLrUt0mKALMw4xaacNzckRComPYd5JEcCKtktqaCIDoWNjYbWo0eDItcEk0Bg/u4M
JZd7Ro4hF+Bpx8+vpZFIol6J7GjZM1cWXXhf8mt7jcI+7uqb1X78x9bZfDUHTdjKnSgKTH5iwKKI
mDslDXH7WKAQ6gcbcAZH0rFEQgj5LVa5syIT53uo66S2Fpi7UxtxiioySwaGc+301uDG1yERkb/8
sXIcIs6S/Km0zjMHsBbKzKvoM8Nrxq1yC1wQTJ/oI4R5QVuDLiYptFQ/7xiY06iuJzIHW4nZPM/u
6txUB5ZMa/maW0lvwC18VzcJfpntk+2bq3N0BiY+BVMkU2ixiiSbYAPGEDcfuY9Bjkcj+GHNdzQM
vBDF+Cw7KKeC8FtQict3XiBrhYcYOyo/tA1LGtC9e7AbWa3c1zFTR3I3DD7WSKxOPmYfZ+LTyVJu
dENZYYv6P1m+tYliUt1d0Qp66Oiqao8CUDbD6ZszKgLtQ14T/Vxyes+Q7xSEoL6yiyTESmxuY6iq
5mPAwmYccgDAhiwrZPOAvdfXlFBNbw4HWYujdf+eUwxisRU6tptTqgub96QfFqDKGP0yDjsBaE2g
Z66lPKP28WkHj5+FxHAEwAsmEdrAvUBe6HoE/aFiSjrTaqJS2fsLftQYyKaS/xHQlMCgAEwZKNBa
gJjZtgdQyhSHA5sfohTD4IMs5P2wDZogE/7wi4v0UY7nNx0SW+5o05WG3UIme97CJRTMa+OCX/Ja
B/Tt56fhGx/4yQhBfzUEBRbjNMPWq4WgL+MO2XNiporfJMaU8S74b9RdiKME8S4tX3UJvrN6+zup
Wact2DP9vwkQDm5EJZBjPk+K84tbGXEDMLbwXYzb62uzL+A982lUmo8stwZFFkeVotv4SKs13W69
9d3wCuEmwR3J/c9+Fj3eAQXlmcD3MOTz0KG8No6r9otaKYV2rmOulQKaQzfJYhcBJgihC9jWGlwE
naFdcCAcms53ZIY6reAAw1WhPlGSdYt9ftUwEcPj34dfqnddgSpE7hIuz5C1IjyjmVNNWx89DdZ4
MA0SNSirdte4W0JdnrQMZiNe+i3cocaTQbNnJt2Yqn9Mxzc+Myaw9jZ+dyYpIioBHDjzHJcVRrae
BIH5W/kcL+l5WYInzfHFvo0yH8IL3n25Ss49TQxBNLf0+SdGDhRJZexUrK8Fap9WQv6KuQCCU/ZG
kUWS5kNGp47vrZG19R8s1kl7AhGJqxftQf/pY+L900HZPOe8m/3tPWq0+be/0rg8fkxHDjjgnU/h
0JD5yefd2wcjGrpLziEi+E10yExlrtIq4HgNXbqWeH4DtRQBNYIHHhf+ZvkwFfVBmyu255B6ol+q
ej5EBwR9yMwyvK/cquERQkgL8jfMRe2C8HTYU9Evr93yh33rPZtbmha3TllYIHEC2a+MF5zdgDAQ
dLUyZNNxme6efqQT5fJQm/McFsPogHm0QpP5H0+RAZuWquNWS4aceqwd1OMG2faaUPX77DWQ7DXl
HuTn2PD7Z+VP4FDIVI5b1WGawMEa3lrUXM7srytgVMpTCj9gRApM+cyXAWKvIWjeiI34CwlPaiRb
WgVe9J8Rs3Vmg+Z4lLJvWx9ianblLEEAPLRCi1V89D6ZLDXJZNTSHF1l8wrV15z+K1+5u2Nz4oQn
JmPeSFSCvfvn2XJ5CgpCXN9xciFwsXPIyYseNM3OYqVGAn84CnD/Haafw+MoX1nH6R0rSr9bpLzD
/o2k07xfjtUYtjrrh5OSzRt/0FtwQg7mHjDrllfL1lUZD5bRGwuM9mdYVz+P7UP/clkHTigiCj5o
PPrSHZyo8fN2O5z1vA2oXixPYZDAAOhlqNG8MwgAmLqlDFqm3tjFUKvyv5REsVLDW0u1qKca4pOB
9rOklFw+/pSp+2MmwKuqDDrYgkwKenSLMOJUYimnrC4BC6ZhDyG15/vEdXWbdE7shQonypmEigcf
FRGdzoi7ZbIMe+O4UiAHoYUbWf+F6c0Ya81GbpG5lQ+eC31HPPn3acCpwZIptMiN/MUOiW3w8Gus
5sNH7/yre14xihFFkwMDh/BLKuupf/LsiGvBMbjMvjwE9dELdDtKc+1yfPjooA77RamgDvP2MelN
/uJxFYW4MEwuck2rASo/RD782AeRZNN5ioKMzcLzcY/Chd+GwrJ54dC/krMbbyss9kSNSgZER5jj
QhZss7K84ldKiviv79VT5DjH8C0h0+UaTtjM5yvo4IZO8MJdh82Lz+J6jmf1MMEY21hE9TODoI6T
ZmnWVr236/gY/pvwwIvCPL1av/1FGt3fdBnzmJj93/oEqcvV5MfZiTpyUJSjTYn3qa7LwPVKf7z4
NcicSdlvpeTHMqnWWSTkZ1R/YjbWXU0WiMaEYFbj48U0EoT9fkp4aiQzkCxJsx+ma9MyEoHAFMXM
OSWWUH0yr4SeCzW5oMgFFdu0/MIfmW8vX4eNWDcLRpJ9awK23ATk9p7P6yq+rAdviMO3zIBS5bm/
hX2LkApmV0qxFxaCFFJsatRZJhtw7JS8NAitFxWnTbMcKd9nBx3LMsRM97F+fCEz6Ez44bXE59yG
OA7+TGBH2HSRTDp9BzFs624SVYB7T6i3JRsfLUQtb022lUAXf18+vC9GZo7pg6S15C/sfq1b2qCV
sQagcJkDUjaH3rMGaCxODSbtr/uEIYdO46Tb6uRVbFX3pv9oneICqQI1cWRQhAA3TPU5rHUJJQWL
b4eKRD3mJlGLs6JnOXmRDR6Y5uI1MPI0QG94KbIhfJDjtX4wK81C1qG5h4HIeR7HdH8lGoWGePKl
Cc1mau1YahC/T8jhLpAkrlzFLYeq47NDdye1LECZ+bgQZivWf8LIV6wtdjJgk3og1tGQMCtrtS4J
wOQEKwoMO4n8AqV4snOdNOcBKbAgnvV+zJlvuTK5oT28NBCA1mcFlHoTg64IOPHdfKHf5M5jvM9m
lX11BnoPxmDyPZbH3BT9VqX6nZrRmDnf8rbhEJVXsxXpj18PvgMhawRYFXfaZ0h6y54E9Tgpmt4v
8H9KOrjaGuWyxJjMUvPtbvDKwUgwhH7nhHxhFUnc+jhHf4veHBnokVuXW4CpGd3HvDlr42+gBdi3
CNyA9j3jaArgqQtwZBG8yoFFWLJkY9A9s97DiXE4z+V42PAQFftdbNUn8awvhpAnidha/CpWYGhz
XcNmjFxT+VmGLGX3bDhujLiEbLxZVTb96ImFzPd/d1/z5D2kigxXcAI2DCjzNgtJ0Z9LiAJN1lzA
dJg+XCyb2nhreuHbEjLPsSB/2HPZqMzsLJbHviURZj3k9mOHFvH725w0BjsKxRdMc8Oqz8vqe+DF
p/pMpEoZJKk3zy3NLfDE1TEJ+/DuZVUFS7QbjMyKNRCa4NIuB12QExH4RdO+Dm+/X2mytBWOe1dV
9B8O0ZPrLggl5LTXKDaVMbeRiVV7W7rQBjVSFHdDB0iDjCYltZopFsALjftZiCChDqsiBclayoIF
cFkdb+y8d10wgO3OpJsmZdImiL921C40Mg4SFUOymbcn+1apYZToB31RulGAoIN9yuhyKcfe+Fj+
JbihoP681v59jvlskXg6imlQpNPPAB8ohpdOfvaFvLPWXFdh7zMIV5FP31hAqH/tQ8+NEj5yVR29
ap+/VbaFB3rwdlcUWo8fDYqu6AOl9oFQTjOhwEVMpI3T5Br2HTqURIl6h9ZVS/z8NQfKWfDSUFcy
rBrQavNCyI1TI+nTcNfL/vfWAmSN0IagyxhXnMBTsxNhJg6r7bh/tshfJHxatv4aRoO4Wa1ayhu2
JVgepJyWfXJV4oApSV9tnNqOL0iwPSKRKuYbRMrVQ9Ia/5Ze5UcZ7ZEiZYIhX5jg+2F7TiOpxEMz
Q1RGwd+ASr0dB0Lb8TyHZKfCr0iJ8oKbXrA/2Wpbl6T3rAHMEnSSIaMMXtfdCdD7p92ekJVrghJP
WupEf16ABdPZgJDrhrZi5Urz8fyKWJODcj1SjcAdlYj3pIaVChpyMVbzXDxeFZMWoBSTSa1pKPp8
kBTiGPCfgkBuiP5/ME9Piwi4hajBwB3fGcdNgK3HNmlGfckzAneVOv524fI/z4Nz0tJlYCHOb4qn
9OBBYm+W59Ouxe8i1GCuadhROrEWzR/zIPkcpMREm75SfYUumFfCc8DvbptGcbfmOOIHY8aiNzmD
3BAMXlD46MjcXWqwT+2IPWFJ56bBaSLllT6OMt7hixFRC5ceunBQJAg5qSeT2aaDAmdKRK6vYc21
z//qKJpDa9vhhqXYG0ZFjxENfQPhYIVHcoeoWYQY8ZqNOPjSov+7vcPVfGQBzE5bSZvCbprStBx6
PwOjsDlPKhgpWklWEa4ThXXdioYsSj9rSaYSSz6NsEORAq1vblG3NNv2QAqLk4SFi6wUCtacjUXh
BYDe0RPbDP8TzpQVoYZNMjAExNafE8Xq6ZV4/EjTzVC0ZZWAEmFXFIPimBmX0Xo3VUWIJVPEKc0F
4DoiR3QqQh5IwtMUZtKjVHS/i3Mru+YtQ89aXDBfo1WxhMs56QuVXyQUtOkHRMJVG7j5m+4aYdb4
Nj+9ph0taUF1erd2s0vDZYgDmrKOhPbuXC/GNRv64Aqc6CTkTtymOymfia4rOp8/IJm8sUlD9KKU
rJRE/zFpoODOBcHE01I185hBhEm2gSQi++Sg2rpLnjehQ4Cd39eeQsKvZXuUyly1gw18TZ6YLVOA
zPAgnSNgTFbLJZagVyO0F8qMASiEdzuJL4KepQP7/mH6NbFkMKvzaITNwnbQIOrB31C9eIF//cj8
o8VcfT0ell9LDCyvxR1lMfg8wTUrKiyvLGtAqxP4Zz3SqphBwW1J/kPNUmuMxiCbVZ4g1dt2pegL
U3AQQuf4GYMiygfmg4SrWACuVSBVhSScF8GmrNezLvdnDk2yDXNPSjzPWf4IH0TsCRs9OVaHuUd0
F4ZCoS6+v8MwiAHFXKnM1SZp9wj32A3FUYhw09tjMn8fWUdG2tXULE7weCkdHVWkY5RaP5DUFnOz
VMXtnT8VVlcscFPITa+v5iwz7O6gbzMKF3p2KXN6HEsN3QH3Y8Iw1hh6dfr11Xa+3ZaJBPXzbVlm
mDIQHdlzpqaZ4y6s2onrjo8C9v6YuBH41Behc8GNENiFtk7mQSofCRYp3XIhWW+kQZvyBZwXeFBS
XJw28SAAvtjZc+Z0YSNwkzn41AFsWT6Tmn7rmC83yHCf9sy9AKGtCXHbIoFJOkEH32G6B2gFBQKk
6LM6uaZRP6IE1oMZg7SWqmpxtj5lBTv2xab94pwZ2F8Uct8P6nOn7mQparb4k1kj1FBw5ztNU6uF
FMlpAhSN6ZCVfI7JlADo5YIeDrr8pEmMs0aIjQMTFxfBxqeFCB/EVoSREYvyC4Q1/EU9orPmIQbB
Q4nq7Utu5/deDUjcQ1moHngwZeSkYLETjJJp7r4gYFu8t9rYOPh1StoTTm6h4MnvyfWhlsb6hN4s
0JDHLmW89fT7sDp/h9JX0TgTkEf6J+pkB7TP5ilKAJKCd881NPXG1NmEFTXv2JjLwUksv1s63dQe
P3MVVY6bL4R3fDSMst95HuGrw3BXQyXj0uwMnHbKR74f/xA2GLhxrt3XnJEo/8jNOBwVTXh05RCW
AxJ9fbLNYE1rsQTvZ3B1ibXTiIpfI1WDV6N1B7qqktl8kD/QzozHJj8yyCh/Dc51DVQh1WGYamnV
eiCMw/vFqXNylnlM7eeoQgK4z1x8K6c9PPz0BRCWyLgobG7KbrhfWgro/FlHhk6vm4XoYdThPRwB
Q5jwJlN7D5QiWqNvoLDe5kXJl4HVVfL6jFrzXkoZxq8upwsaqStKX3KcW0oKaigkdwv+dthfyP9a
ccI6KpfMDmcpCcDYU1/Nziy7j99D5TyeC7rBVN2VBO9clgEZk6fMTAqLD3vyk9Yst55R1EP0oOfm
5Sy02D+blsmwfcAyeFjGYTgoF+/rxaXbnmfQU1RRiV+Vr1w6uO7tmDC9VjwPqp05RBGdhwqqHjju
7a5K2ZxntzKlRcpiLiJyZb9vH+hkVQ/J2Gt7OS0ap88Dan9+OLEobN78/dJ/9U3pZcluC36HB0Q7
2B+Tql+om9UlqHnMYHHLCi6AM5+PzdRqcOihNX+kTpW1ETGScvVgBdrePr7HkI5wZCzRbTvQUnb+
Kr2QSCfSuJ1M7LMNPvlFrpGihDDmALzLTN+dOTZeOZjIR0uJBFwEUO26qnpm+pTv6mh44JpCmDNr
Za+7607WQf8zUUIOk9Cel7ZHsxFvXG+ZW9vnRGT+Bn1ESSjHWnuz2HMnNIUVFbs8F5yqsw5YzVEM
96Ve3vcvxLVT/fozwEjoSGMw9jcah4GenIcVnY0HaoLRav87BNYvZQbApEsxfiAX5siZN2wntEOG
MX5OexoeWlnbjjsqeaonDfKxKmXNERntojUN8pj3SMnBzNAlpc5B0HWShDJbIlmjlnnSz9CYjkKp
ZqWLrYvh5kGSGAURbrse6FPkiPMlpT6EeeB+19dYDs//EH3cYDTPD0jQUULWPtv42+09/oI27Lgq
IwHtS7hPb8KtN1Jt6eI1scKQR25T5Pess2wxwIfUQ73e6+w2Oe4fP+xorokYGcyF8GOVNneMKMg6
TmBn5WlrGiPz1pcfWx21ip+UnGajDjj2cpustk9JlaEvWYtDNfW+0JZJrm7L3Fuz4AtMOJ9uS5OD
zcsMzCL4c0k/xBz86cMikuHxfCjM6AM9MUSO8ee/0daLRBAKv0lcQSyVA0S0utFLx3w/QAIJjOgL
zZP0HtQG4w4tmWMKj8Dsr4qooDxVOq1AWauvRrhHilwHDDKKyHjMI7+tc9X5UocwKNNDF+l12G3e
EpHyXcmFfKannIEjLArBA08ZVKDixlwcgTbdphNhEp/gtW5eqbvpZZejAwFjj6WedAxRqSgVWAIO
cOWvYO9HHMnY7oCBjVAd+J96o5/Ouu8aCo032o62TmQdckDw7Bfgr/s0STygaPQ4jIBhb3KZMyP3
CLusSvUT/wkhdA4+RPeeo8ciCHOmGmOW0a156aHTVaqiRi9YHh3JGgvwLdxl08xp2HKkaHqYR+Fp
umMRLSBux27U55tioA93XS6mllS/vnEhhdaGy74JdV7Ug4zo8+hxWp1gdogfOZom9D0tUe2T7Z6N
jN6sjQ0sdkq246N0rdrZ7O03BTRzEaeaJ+ZGReuoXcPpfOLZElbCiexNhUGB61suabksLdg4t0kp
Z1rIc1UH0lt8khsdlXh/iguVf7XYiwRJfjzwlsfuBHX3fw4arTDpBUoT2KVv3GpieMQI0EP+iKLG
MfgXZVK2UVwaoEYHW0NXLZUUGs7qW7qn6cuTL1CLn69g64PwmNhlemQcmV5Lr1YS1PND3NkWO40Q
M/jFwfS0EkByZgIGFBVonEfcEWs+ADVCtOLYliPkcWAj2Zr8DnLAamYEyfQQibsgU/vOkc3y8RiH
+4a8oDA9gZiWqmtDBPudVjQ32c4SATc1YyWVXpkcL0E3DTtkoPQAqZf2eYfTzVcC86IwipmFj8zG
DMJfIngJ+fAns9Upi8iDb6tnpGfP38g+66jEcvYGIhAmtsAAiv8WJ/AaUSqZjV/XoGSagnMHx1tO
uWoWioEHFnzW6KVsXax70rnP/VNENmE9rqV3gM99Bz2o70p1WWVF0591n0Oyq6Ig4nK56IkSc2ht
uNMQlU0sQoXMMNTEArUQhAamhkyNKeEfOptOzJTKWhhGSELHoee/f7gqK5rUWcALFOSXC06I5ra4
LwOwzvRAUrykQeP717B5aVGj/x6NyfUpAowppbpSmnoq9zai6LoeIcyKZMYpmUa9nHRVzhIccy4Y
WvoWAGjAV/N2wX/Rv5WL4S2a05HQG3CHU0fTGuPQQYmXqNQiZVi9U1ywPR6WcnOLtcrkNvAmL/ss
RcWfBrkj2gZjW3Meilm0jw0+o12PeAGNo1Ejq5Yy84o9wwFOzcyGC6gyOdLVSgzV447JKQJZAvVN
/C8xgxIYPRxeq5XDTUALgVE9BDq1irMclrVCoATiCqZYdfpm6fk4NuGvSVvzJLLmUDUlVNjIyzfb
LnHCQr+/Nv0+4WN4RAJoI3mTC1AOXKCG1ou+1wPWe8XeYXtLvVoxwpZIMZ259Ur2tDukuOl+8f7T
EFcn2pMUHf3lvyUlkKOmLIhLvaaQ2ZKHU3NglMmk52+GUbpB/4U/bYNCITUfHQglpQHqZHFVM7rY
H2AQ9tATyaauEOcRQGiZikKYf2NMP9KXeY9Vt4Gc4Zt3KC2ZUCZN64uj/fdRurEmUq8m4/xMK+4f
nyD8mBbyyEqfxoi1Dw53o+pZWXq69OV6j7eFbr7m/E7r3pRMd9TIybHm72H4q3KWbSCYgpx17ORe
pcwjCWyBuRBejNjK/x6UQ6Fa3T1fHazoGf7W4/JMR/sAMdJ6FAY51WHz8zBun9gXV69qfvJcRGjP
Vhu1io2xOp0cgi3mD7cEof5DjguQPOaSWGVC9dzzRAWbVjxnffZj+QUKtGnxwBX4e2PCrLTpFUMI
NazqXmCJt1XLoguOa+A1Qo1c/6PuzFXdtPVPim82qLUKbGjiqF3Mj1VFp1vjA4071HBkC9S/D7y6
VYEsBss=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
|
-- Copyright (c) 2015 by David Goncalves <[email protected]>
-- See LICENCE.txt for details
--
-- Signal conversion and debounce
library UNISIM;
library IEEE;
use UNISIM.VComponents.all;
use IEEE.STD_LOGIC_1164.all;
entity io_pads is
port (
clk : in STD_LOGIC;
clk_i : out STD_LOGIC;
lvds_p : in STD_LOGIC;
lvds_n : in STD_LOGIC;
comp_i : out STD_LOGIC;
reset : in STD_LOGIC;
reset_i : out STD_LOGIC;
adc_fb : out STD_LOGIC;
adc_fb_i : in STD_LOGIC;
dac_out : out STD_LOGIC;
dac_out_i : in STD_LOGIC;
test : inout STD_LOGIC_VECTOR(7 downto 0);
test_i : inout STD_LOGIC_VECTOR(7 downto 0)
);
end io_pads;
architecture RTL of io_pads is
component IBUFDS is
generic (IOSTANDARD : string);
port (
I : in STD_LOGIC;
IB : in STD_LOGIC;
O : out STD_LOGIC
);
end component;
begin
-- Converts difference between LVDS inputs into a STD_LOGIC signal
LVDS_buf : IBUFDS
generic map(
DIFF_TERM => TRUE,
IBUF_LOW_PWR => TRUE,
IOSTANDARD => "LVDS25"
)
port map(
I => lvds_p,
IB => lvds_n,
O => comp_i
);
-- signal pass-throughs
clk_i <= clk;
reset_i <= reset;
adc_fb_i <= adc_fb;
dac_out_i <= dac_out;
test_i <= test;
end RTL;
|
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library cycloneiii;
use cycloneiii.all;
entity aclkout is
port(
clk : in std_logic;
ddr_clk : out std_logic;
ddr_clkn: out std_logic
);
end;
architecture rtl of aclkout is
component cycloneiii_ddio_out
generic(
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
lpm_type : string := "cycloneiii_ddio_out"
);
port (
datainlo : in std_logic := '0';
datainhi : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
areset : in std_logic := '0';
sreset : in std_logic := '0';
dataout : out std_logic;
dfflo : out std_logic;
dffhi : out std_logic-- ;
--devclrn : in std_logic := '1';
--devpor : in std_logic := '1'
);
end component;
component cycloneiii_io_obuf
generic(
bus_hold : string := "false";
open_drain_output : string := "false";
lpm_type : string := "cycloneiii_io_obuf"
);
port(
i : in std_logic := '0';
oe : in std_logic := '1';
--devoe : in std_logic := '1';
o : out std_logic;
obar : out std_logic--;
--seriesterminationcontrol : in std_logic_vector(15 downto 0) := (others => '0')
);
end component;
signal vcc : std_logic;
signal gnd : std_logic_vector(13 downto 0);
signal clk_reg, clkn_reg : std_logic;
begin
vcc <= '1'; gnd <= (others => '0');
out_reg0 : cycloneiii_ddio_out
generic map(
power_up => "low",
async_mode => "none",
sync_mode => "none",
lpm_type => "cycloneiii_ddio_out"
)
port map(
datainlo => gnd(0),
datainhi => vcc,
clk => clk,
ena => vcc,
areset => gnd(0),
sreset => gnd(0),
dataout => clk_reg,
dfflo => open,
dffhi => open--,
--devclrn => vcc,
--devpor => vcc
);
outn_reg0 : cycloneiii_ddio_out
generic map(
power_up => "low",
async_mode => "none",
sync_mode => "none",
lpm_type => "cycloneiii_ddio_out"
)
port map(
datainlo => vcc,
datainhi => gnd(0),
clk => clk,
ena => vcc,
areset => gnd(0),
sreset => gnd(0),
dataout => clkn_reg,
dfflo => open,
dffhi => open--,
--devclrn => vcc,
--devpor => vcc
);
out_buf0 : cycloneiii_io_obuf
generic map(
open_drain_output => "false",
bus_hold => "false",
lpm_type => "cycloneiii_io_obuf"
)
port map(
i => clk_reg,
oe => vcc,
--devoe => vcc,
o => ddr_clk,
obar => open
--seriesterminationcontrol => gnd,
);
outn_buf0 : cycloneiii_io_obuf
generic map(
open_drain_output => "false",
bus_hold => "false",
lpm_type => "cycloneiii_io_obuf"
)
port map(
i => clkn_reg,
oe => vcc,
--devoe => vcc,
o => ddr_clkn,
obar => open
--seriesterminationcontrol => gnd,
);
end;
|
architecture rtl of fifo is
signal rd_en : std_logic;
signal wr_en : std_logic;
begin
end architecture rtl;
architecture rtl of fifo is
signal rd_en:std_logic;
signal wr_en:std_logic;
begin
end architecture rtl;
architecture rtl of fifo is
signal rd_en : std_logic;
signal wr_en : std_logic;
begin
end architecture rtl;
|
architecture rtl of fifo is
signal rd_en : std_logic;
signal wr_en : std_logic;
begin
end architecture rtl;
architecture rtl of fifo is
signal rd_en:std_logic;
signal wr_en:std_logic;
begin
end architecture rtl;
architecture rtl of fifo is
signal rd_en : std_logic;
signal wr_en : std_logic;
begin
end architecture rtl;
|
-- $Id: tb_cmoda7_sram.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2017-2018 by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: tb_cmoda7_sram - sim
-- Description: Test bench for cmoda7 (base+sram)
--
-- Dependencies: simlib/simclk
-- simlib/simclkcnt
-- rlink/tbcore/tbcore_rlink
-- xlib/sfs_gsim_core
-- tb_cmoda7_core
-- serport/tb/serport_master_tb
-- cmoda7_sram_aif [UUT]
-- simlib/simbididly
-- bplib/issi/is61wv5128bll
--
-- To test: generic, any cmoda7_sram_aif target
--
-- Target Devices: generic
-- Tool versions: viv 2016.4-2018.2; ghdl 0.34
--
-- Revision History:
-- Date Rev Version Comment
-- 2018-11-03 1064 1.0.1 use sfs_gsim_core
-- 2017-06-04 906 1.0 Initial version (derived from tb_nexys4_cram)
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
use work.slvtypes.all;
use work.rlinklib.all;
use work.xlib.all;
use work.cmoda7lib.all;
use work.simlib.all;
use work.simbus.all;
use work.sys_conf.all;
entity tb_cmoda7_sram is
end tb_cmoda7_sram;
architecture sim of tb_cmoda7_sram is
signal CLKOSC : slbit := '0'; -- board clock (12 Mhz)
signal CLKCOM : slbit := '0'; -- communication clock
signal CLKCOM_CYCLE : integer := 0;
signal RESET : slbit := '0';
signal CLKDIV : slv2 := "00"; -- run with 1 clocks / bit !!
signal RXDATA : slv8 := (others=>'0');
signal RXVAL : slbit := '0';
signal RXERR : slbit := '0';
signal RXACT : slbit := '0';
signal TXDATA : slv8 := (others=>'0');
signal TXENA : slbit := '0';
signal TXBUSY : slbit := '0';
signal I_RXD : slbit := '1';
signal O_TXD : slbit := '1';
signal I_BTN : slv2 := (others=>'0');
signal O_LED : slv2 := (others=>'0');
signal O_RGBLED0_N : slv3 := (others=>'0');
signal TB_MEM_CE_N : slbit := '1';
signal TB_MEM_WE_N : slbit := '1';
signal TB_MEM_OE_N : slbit := '1';
signal TB_MEM_ADDR : slv19 := (others=>'Z');
signal TB_MEM_DATA : slv8 := (others=>'0');
signal MM_MEM_CE_N : slbit := '1';
signal MM_MEM_WE_N : slbit := '1';
signal MM_MEM_OE_N : slbit := '1';
signal MM_MEM_ADDR : slv19 := (others=>'Z');
signal MM_MEM_DATA : slv8 := (others=>'0');
signal R_PORTSEL_XON : slbit := '0'; -- if 1 use xon/xoff
constant sbaddr_portsel: slv8 := slv(to_unsigned( 8,8));
constant clock_period : Delay_length := 83.333 ns;
constant clock_offset : Delay_length := 2000 ns;
constant pcb_delay : Delay_length := 1 ns;
begin
CLKGEN : simclk
generic map (
PERIOD => clock_period,
OFFSET => clock_offset)
port map (
CLK => CLKOSC
);
CLKGEN_COM : sfs_gsim_core
generic map (
VCO_DIVIDE => sys_conf_clkser_vcodivide,
VCO_MULTIPLY => sys_conf_clkser_vcomultiply,
OUT_DIVIDE => sys_conf_clkser_outdivide)
port map (
CLKIN => CLKOSC,
CLKFX => CLKCOM,
LOCKED => open
);
CLKCNT : simclkcnt port map (CLK => CLKCOM, CLK_CYCLE => CLKCOM_CYCLE);
TBCORE : entity work.tbcore_rlink
port map (
CLK => CLKCOM,
RX_DATA => TXDATA,
RX_VAL => TXENA,
RX_HOLD => TXBUSY,
TX_DATA => RXDATA,
TX_ENA => RXVAL
);
C7CORE : entity work.tb_cmoda7_core
port map (
I_BTN => I_BTN
);
UUT : cmoda7_sram_aif
port map (
I_CLK12 => CLKOSC,
I_RXD => I_RXD,
O_TXD => O_TXD,
I_BTN => I_BTN,
O_LED => O_LED,
O_RGBLED0_N => O_RGBLED0_N,
O_MEM_CE_N => TB_MEM_CE_N,
O_MEM_WE_N => TB_MEM_WE_N,
O_MEM_OE_N => TB_MEM_OE_N,
O_MEM_ADDR => TB_MEM_ADDR,
IO_MEM_DATA => TB_MEM_DATA
);
MM_MEM_CE_N <= TB_MEM_CE_N after pcb_delay;
MM_MEM_WE_N <= TB_MEM_WE_N after pcb_delay;
MM_MEM_OE_N <= TB_MEM_OE_N after pcb_delay;
MM_MEM_ADDR <= TB_MEM_ADDR after pcb_delay;
BUSDLY: simbididly
generic map (
DELAY => pcb_delay,
DWIDTH => 8)
port map (
A => TB_MEM_DATA,
B => MM_MEM_DATA);
MEM : entity work.is61wv5128bll
port map (
CE_N => MM_MEM_CE_N,
OE_N => MM_MEM_OE_N,
WE_N => MM_MEM_WE_N,
ADDR => MM_MEM_ADDR,
DATA => MM_MEM_DATA
);
SERMSTR : entity work.serport_master_tb
generic map (
CDWIDTH => CLKDIV'length)
port map (
CLK => CLKCOM,
RESET => RESET,
CLKDIV => CLKDIV,
ENAXON => R_PORTSEL_XON,
ENAESC => '0',
RXDATA => RXDATA,
RXVAL => RXVAL,
RXERR => RXERR,
RXOK => '1',
TXDATA => TXDATA,
TXENA => TXENA,
TXBUSY => TXBUSY,
RXSD => O_TXD,
TXSD => I_RXD,
RXRTS_N => open,
TXCTS_N => '0'
);
proc_moni: process
variable oline : line;
begin
loop
wait until rising_edge(CLKCOM);
if RXERR = '1' then
writetimestamp(oline, CLKCOM_CYCLE, " : seen RXERR=1");
writeline(output, oline);
end if;
end loop;
end process proc_moni;
--
-- Notes on portsel and XON control:
-- - most cmoda7 designs will use hardwired XON=1
-- - but some (especially basis tests) might not use flow control
-- - that's why XON flow control must be optional and configurable !
--
proc_simbus: process (SB_VAL)
begin
if SB_VAL'event and to_x01(SB_VAL)='1' then
if SB_ADDR = sbaddr_portsel then
R_PORTSEL_XON <= to_x01(SB_DATA(1));
end if;
end if;
end process proc_simbus;
end sim;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1940.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b01x00p01n04i01940ent IS
END c07s02b01x00p01n04i01940ent;
ARCHITECTURE c07s02b01x00p01n04i01940arch OF c07s02b01x00p01n04i01940ent IS
BEGIN
TESTING: PROCESS
type array_one is array (positive range <>) of boolean;
variable x : array_one( 1 to 10);
variable y : array_one(1 to 5);
variable z : array_one(1 to 10);
type array_two is array (positive range <>) of bit;
variable a : array_two( 1 to 10);
variable b : array_two(1 to 5);
variable c : array_two(1 to 10);
BEGIN
c := (a nor b); -- Failure_here
assert FALSE
report "***FAILED TEST: c07s02b01x00p01n04i01940 - Operands should be arrays of the same length."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b01x00p01n04i01940arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1940.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b01x00p01n04i01940ent IS
END c07s02b01x00p01n04i01940ent;
ARCHITECTURE c07s02b01x00p01n04i01940arch OF c07s02b01x00p01n04i01940ent IS
BEGIN
TESTING: PROCESS
type array_one is array (positive range <>) of boolean;
variable x : array_one( 1 to 10);
variable y : array_one(1 to 5);
variable z : array_one(1 to 10);
type array_two is array (positive range <>) of bit;
variable a : array_two( 1 to 10);
variable b : array_two(1 to 5);
variable c : array_two(1 to 10);
BEGIN
c := (a nor b); -- Failure_here
assert FALSE
report "***FAILED TEST: c07s02b01x00p01n04i01940 - Operands should be arrays of the same length."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b01x00p01n04i01940arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1940.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b01x00p01n04i01940ent IS
END c07s02b01x00p01n04i01940ent;
ARCHITECTURE c07s02b01x00p01n04i01940arch OF c07s02b01x00p01n04i01940ent IS
BEGIN
TESTING: PROCESS
type array_one is array (positive range <>) of boolean;
variable x : array_one( 1 to 10);
variable y : array_one(1 to 5);
variable z : array_one(1 to 10);
type array_two is array (positive range <>) of bit;
variable a : array_two( 1 to 10);
variable b : array_two(1 to 5);
variable c : array_two(1 to 10);
BEGIN
c := (a nor b); -- Failure_here
assert FALSE
report "***FAILED TEST: c07s02b01x00p01n04i01940 - Operands should be arrays of the same length."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b01x00p01n04i01940arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY DCDPRAM16x1280WC IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END DCDPRAM16x1280WC;
ARCHITECTURE DCDPRAM16x1280WC_arch OF DCDPRAM16x1280WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DCDPRAM16x1280WC_arch : ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=DCDPRAM16x1280WC.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=1280,C_READ_DEPTH_A=1280,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=1280,C_READ_DEPTH_B=1280,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.7744999999999997 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "DCDPRAM16x1280WC.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 1280,
C_READ_DEPTH_A => 1280,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 1280,
C_READ_DEPTH_B => 1280,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.7744999999999997 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END DCDPRAM16x1280WC_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY DCDPRAM16x1280WC IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END DCDPRAM16x1280WC;
ARCHITECTURE DCDPRAM16x1280WC_arch OF DCDPRAM16x1280WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DCDPRAM16x1280WC_arch : ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=DCDPRAM16x1280WC.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=1280,C_READ_DEPTH_A=1280,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=1280,C_READ_DEPTH_B=1280,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.7744999999999997 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "DCDPRAM16x1280WC.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 1280,
C_READ_DEPTH_A => 1280,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 1280,
C_READ_DEPTH_B => 1280,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.7744999999999997 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END DCDPRAM16x1280WC_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY DCDPRAM16x1280WC IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END DCDPRAM16x1280WC;
ARCHITECTURE DCDPRAM16x1280WC_arch OF DCDPRAM16x1280WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DCDPRAM16x1280WC_arch : ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=DCDPRAM16x1280WC.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=1280,C_READ_DEPTH_A=1280,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=1280,C_READ_DEPTH_B=1280,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.7744999999999997 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "DCDPRAM16x1280WC.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 1280,
C_READ_DEPTH_A => 1280,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 1280,
C_READ_DEPTH_B => 1280,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.7744999999999997 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END DCDPRAM16x1280WC_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY DCDPRAM16x1280WC IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END DCDPRAM16x1280WC;
ARCHITECTURE DCDPRAM16x1280WC_arch OF DCDPRAM16x1280WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DCDPRAM16x1280WC_arch : ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=DCDPRAM16x1280WC.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=1280,C_READ_DEPTH_A=1280,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=1280,C_READ_DEPTH_B=1280,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.7744999999999997 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "DCDPRAM16x1280WC.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 1280,
C_READ_DEPTH_A => 1280,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 1280,
C_READ_DEPTH_B => 1280,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.7744999999999997 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END DCDPRAM16x1280WC_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY DCDPRAM16x1280WC IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END DCDPRAM16x1280WC;
ARCHITECTURE DCDPRAM16x1280WC_arch OF DCDPRAM16x1280WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DCDPRAM16x1280WC_arch : ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=DCDPRAM16x1280WC.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=1280,C_READ_DEPTH_A=1280,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=1280,C_READ_DEPTH_B=1280,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.7744999999999997 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "DCDPRAM16x1280WC.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 1280,
C_READ_DEPTH_A => 1280,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 1280,
C_READ_DEPTH_B => 1280,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.7744999999999997 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END DCDPRAM16x1280WC_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY DCDPRAM16x1280WC IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END DCDPRAM16x1280WC;
ARCHITECTURE DCDPRAM16x1280WC_arch OF DCDPRAM16x1280WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DCDPRAM16x1280WC_arch : ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=DCDPRAM16x1280WC.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=1280,C_READ_DEPTH_A=1280,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=1280,C_READ_DEPTH_B=1280,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.7744999999999997 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "DCDPRAM16x1280WC.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 1280,
C_READ_DEPTH_A => 1280,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 1280,
C_READ_DEPTH_B => 1280,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.7744999999999997 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END DCDPRAM16x1280WC_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY DCDPRAM16x1280WC IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END DCDPRAM16x1280WC;
ARCHITECTURE DCDPRAM16x1280WC_arch OF DCDPRAM16x1280WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DCDPRAM16x1280WC_arch : ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=DCDPRAM16x1280WC.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=1280,C_READ_DEPTH_A=1280,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=1280,C_READ_DEPTH_B=1280,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.7744999999999997 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "DCDPRAM16x1280WC.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 1280,
C_READ_DEPTH_A => 1280,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 1280,
C_READ_DEPTH_B => 1280,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.7744999999999997 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END DCDPRAM16x1280WC_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY DCDPRAM16x1280WC IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END DCDPRAM16x1280WC;
ARCHITECTURE DCDPRAM16x1280WC_arch OF DCDPRAM16x1280WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DCDPRAM16x1280WC_arch : ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=DCDPRAM16x1280WC.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=1280,C_READ_DEPTH_A=1280,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=1280,C_READ_DEPTH_B=1280,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.7744999999999997 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "DCDPRAM16x1280WC.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 1280,
C_READ_DEPTH_A => 1280,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 1280,
C_READ_DEPTH_B => 1280,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.7744999999999997 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END DCDPRAM16x1280WC_arch;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Package: components
-- File: components.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: Simple Actel RAM and pad component declarations
-----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package components is
-- Axcellerator rams
component RAM64K36
port(
WRAD0, WRAD1, WRAD2, WRAD3, WRAD4, WRAD5, WRAD6, WRAD7, WRAD8, WRAD9, WRAD10,
WRAD11, WRAD12, WRAD13, WRAD14, WRAD15, WD0, WD1, WD2, WD3, WD4, WD5, WD6,
WD7, WD8, WD9, WD10, WD11, WD12, WD13, WD14, WD15, WD16, WD17, WD18, WD19,
WD20, WD21, WD22, WD23, WD24, WD25, WD26, WD27, WD28, WD29, WD30, WD31, WD32,
WD33, WD34, WD35, WEN, DEPTH0, DEPTH1, DEPTH2, DEPTH3, WW0, WW1, WW2, WCLK,
RDAD0, RDAD1, RDAD2, RDAD3, RDAD4, RDAD5, RDAD6, RDAD7, RDAD8, RDAD9, RDAD10,
RDAD11, RDAD12, RDAD13, RDAD14, RDAD15, REN, RW0, RW1, RW2, RCLK : in std_logic;
RD0, RD1, RD2, RD3, RD4, RD5, RD6, RD7, RD8, RD9, RD10, RD11, RD12, RD13,
RD14, RD15, RD16, RD17, RD18, RD19, RD20, RD21, RD22, RD23, RD24, RD25, RD26,
RD27, RD28, RD29, RD30, RD31, RD32, RD33, RD34, RD35 : out std_logic);
end component;
attribute syn_black_box : boolean;
attribute syn_black_box of RAM64K36 : component is true;
attribute syn_tco1 : string;
attribute syn_tco2 : string;
attribute syn_tco1 of RAM64K36 : component is
"RCLK->RD0,RD1,RD2,RD3,RD4,RD5,RD6,RD7,RD8,RD9,RD10,RD11,RD12,RD13,RD14,RD15,RD16,RD17,RD18,RD19,RD20,RD21,RD22,RD23,RD24,RD25,RD26,RD27,RD28,RD29,RD30,RD31,RD32,RD33,RD34,RD35 = 4.0";
-- Buffers
component inbuf_lvds port(Y : out std_logic; PADP : in std_logic; PADN : in std_logic); end component;
component outbuf_lvds port(D : in std_logic; PADP : out std_logic; PADN : out std_logic); end component;
component hclkbuf
port( pad : in std_logic; y : out std_logic); end component;
component clkbuf port(pad : in std_logic; y : out std_logic); end component;
component inbuf port(pad :in std_logic; y : out std_logic); end component;
component bibuf port(
d, e : in std_logic; pad : inout std_logic; y : out std_logic);
end component;
component outbuf port(d : in std_logic; pad : out std_logic); end component;
component outbuf_f_8 port(d : in std_logic; pad : out std_logic); end component;
component outbuf_f_12 port(d : in std_logic; pad : out std_logic); end component;
component outbuf_f_16 port(d : in std_logic; pad : out std_logic); end component;
component outbuf_f_24 port(d : in std_logic; pad : out std_logic); end component;
component tribuff port(d, e : in std_logic; pad : out std_logic); end component;
component hclkint port(a : in std_ulogic; y : out std_ulogic); end component;
component clkint port(a : in std_ulogic; y : out std_ulogic); end component;
component hclkbuf_pci
port( pad : in std_logic; y : out std_logic); end component;
component clkbuf_pci port(pad : in std_logic; y : out std_logic); end component;
component inbuf_pci port(pad :in std_logic; y : out std_logic); end component;
attribute syn_tpd11 : string;
attribute syn_tpd11 of inbuf_pci : component is "pad -> y = 2.0";
component bibuf_pci port(
d, e : in std_logic; pad : inout std_logic; y : out std_logic);
end component;
attribute syn_tpd12 : string;
attribute syn_tpd12 of bibuf_pci : component is "pad -> y = 2.0";
component outbuf_pci port(d : in std_logic; pad : out std_logic); end component;
attribute syn_tpd13 : string;
attribute syn_tpd13 of outbuf_pci : component is "d -> pad = 2.0";
component tribuff_pci port(d, e : in std_logic; pad : out std_logic); end component;
attribute syn_tpd14 : string;
attribute syn_tpd14 of tribuff_pci : component is "d,e -> pad = 2.0";
-- 1553 -------------------------------
component add1 is
port(
a : in std_logic;
b : in std_logic;
fci : in std_logic;
s : out std_logic;
fco : out std_logic);
end component add1;
component and2 is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component and2;
component and2a is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component and2a;
component and2b is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component and2b;
component and3 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component and3;
component and3a is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component and3a;
component and3b is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component and3b;
component and3c is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component and3c;
component and4 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component and4;
component and4a is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component and4a;
component and4b is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component and4b;
component and4c is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component and4c;
component buff is
port(
a : in std_logic;
y : out std_logic);
end component buff;
component cm8 is
port(
d0 : in std_logic;
d1 : in std_logic;
d2 : in std_logic;
d3 : in std_logic;
s00 : in std_logic;
s01 : in std_logic;
s10 : in std_logic;
s11 : in std_logic;
y : out std_logic);
end component cm8;
component cm8inv is
port(
a : in std_logic;
y : out std_logic);
end component cm8inv;
component df1 is
port(
d : in std_logic;
clk : in std_logic;
q : out std_logic);
end component df1;
component dfc1b is
port(
d : in std_logic;
clk : in std_logic;
clr : in std_logic;
q : out std_logic);
end component dfc1b;
component dfc1c is
port(
d : in std_logic;
clk : in std_logic;
clr : in std_logic;
q : out std_logic);
end component dfc1c;
component dfc1d is
port(
d : in std_logic;
clk : in std_logic;
clr : in std_logic;
q : out std_logic);
end component dfc1d;
component dfe1b is
port(
d : in std_logic;
e : in std_logic;
clk : in std_logic;
q : out std_logic);
end component dfe1b;
component dfe3c is
port(
d : in std_logic;
e : in std_logic;
clk : in std_logic;
clr : in std_logic;
q : out std_logic);
end component dfe3c;
component dfe4f is
port(
d : in std_logic;
e : in std_logic;
clk : in std_logic;
pre : in std_logic;
q : out std_logic);
end component dfe4f;
component dfp1 is
port(
d : in std_logic;
clk : in std_logic;
pre : in std_logic;
q : out std_logic);
end component dfp1;
component dfp1b is
port(
d : in std_logic;
clk : in std_logic;
pre : in std_logic;
q : out std_logic);
end component dfp1b;
component dfp1d is
port(
d : in std_logic;
clk : in std_logic;
pre : in std_logic;
q : out std_logic);
end component dfp1d;
component dfm
port(
clk : in std_logic;
s : in std_logic;
a : in std_logic;
b : in std_logic;
q : out std_logic);
end component;
component gnd is
port(
y : out std_logic);
end component gnd;
component inv is
port(
a : in std_logic;
y : out std_logic);
end component inv;
component nand4 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component nand4;
component or2 is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component or2;
component or2a is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component or2a;
component or2b is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component or2b;
component or3 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component or3;
component or3a is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component or3a;
component or3b is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component or3b;
component or3c is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component or3c;
component or4 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component or4;
component or4a is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component or4a;
component or4b is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component or4b;
component or4c is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component or4c;
component or4d is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component or4d;
component sub1 is
port(
a : in std_logic;
b : in std_logic;
fci : in std_logic;
s : out std_logic;
fco : out std_logic);
end component sub1;
component vcc is
port(
y : out std_logic);
end component vcc;
component xa1 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component xa1;
component xnor2 is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component xnor2;
component xor2 is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component xor2;
component xor4 is
port(a,b,c,d : in std_logic;
y : out std_logic);
end component xor4;
component mx2
port(
a : in std_logic;
s : in std_logic;
b : in std_logic;
y : out std_logic);
end component;
component ax1c
port(
a: in std_logic;
b: in std_logic;
c: in std_logic;
y: out std_logic);
end component;
component df1b
port(
d : in std_logic;
clk : in std_logic;
q : out std_logic);
end component;
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Package: components
-- File: components.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: Simple Actel RAM and pad component declarations
-----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package components is
-- Axcellerator rams
component RAM64K36
port(
WRAD0, WRAD1, WRAD2, WRAD3, WRAD4, WRAD5, WRAD6, WRAD7, WRAD8, WRAD9, WRAD10,
WRAD11, WRAD12, WRAD13, WRAD14, WRAD15, WD0, WD1, WD2, WD3, WD4, WD5, WD6,
WD7, WD8, WD9, WD10, WD11, WD12, WD13, WD14, WD15, WD16, WD17, WD18, WD19,
WD20, WD21, WD22, WD23, WD24, WD25, WD26, WD27, WD28, WD29, WD30, WD31, WD32,
WD33, WD34, WD35, WEN, DEPTH0, DEPTH1, DEPTH2, DEPTH3, WW0, WW1, WW2, WCLK,
RDAD0, RDAD1, RDAD2, RDAD3, RDAD4, RDAD5, RDAD6, RDAD7, RDAD8, RDAD9, RDAD10,
RDAD11, RDAD12, RDAD13, RDAD14, RDAD15, REN, RW0, RW1, RW2, RCLK : in std_logic;
RD0, RD1, RD2, RD3, RD4, RD5, RD6, RD7, RD8, RD9, RD10, RD11, RD12, RD13,
RD14, RD15, RD16, RD17, RD18, RD19, RD20, RD21, RD22, RD23, RD24, RD25, RD26,
RD27, RD28, RD29, RD30, RD31, RD32, RD33, RD34, RD35 : out std_logic);
end component;
attribute syn_black_box : boolean;
attribute syn_black_box of RAM64K36 : component is true;
attribute syn_tco1 : string;
attribute syn_tco2 : string;
attribute syn_tco1 of RAM64K36 : component is
"RCLK->RD0,RD1,RD2,RD3,RD4,RD5,RD6,RD7,RD8,RD9,RD10,RD11,RD12,RD13,RD14,RD15,RD16,RD17,RD18,RD19,RD20,RD21,RD22,RD23,RD24,RD25,RD26,RD27,RD28,RD29,RD30,RD31,RD32,RD33,RD34,RD35 = 4.0";
-- Buffers
component inbuf_lvds port(Y : out std_logic; PADP : in std_logic; PADN : in std_logic); end component;
component outbuf_lvds port(D : in std_logic; PADP : out std_logic; PADN : out std_logic); end component;
component hclkbuf
port( pad : in std_logic; y : out std_logic); end component;
component clkbuf port(pad : in std_logic; y : out std_logic); end component;
component inbuf port(pad :in std_logic; y : out std_logic); end component;
component bibuf port(
d, e : in std_logic; pad : inout std_logic; y : out std_logic);
end component;
component outbuf port(d : in std_logic; pad : out std_logic); end component;
component outbuf_f_8 port(d : in std_logic; pad : out std_logic); end component;
component outbuf_f_12 port(d : in std_logic; pad : out std_logic); end component;
component outbuf_f_16 port(d : in std_logic; pad : out std_logic); end component;
component outbuf_f_24 port(d : in std_logic; pad : out std_logic); end component;
component tribuff port(d, e : in std_logic; pad : out std_logic); end component;
component hclkint port(a : in std_ulogic; y : out std_ulogic); end component;
component clkint port(a : in std_ulogic; y : out std_ulogic); end component;
component hclkbuf_pci
port( pad : in std_logic; y : out std_logic); end component;
component clkbuf_pci port(pad : in std_logic; y : out std_logic); end component;
component inbuf_pci port(pad :in std_logic; y : out std_logic); end component;
attribute syn_tpd11 : string;
attribute syn_tpd11 of inbuf_pci : component is "pad -> y = 2.0";
component bibuf_pci port(
d, e : in std_logic; pad : inout std_logic; y : out std_logic);
end component;
attribute syn_tpd12 : string;
attribute syn_tpd12 of bibuf_pci : component is "pad -> y = 2.0";
component outbuf_pci port(d : in std_logic; pad : out std_logic); end component;
attribute syn_tpd13 : string;
attribute syn_tpd13 of outbuf_pci : component is "d -> pad = 2.0";
component tribuff_pci port(d, e : in std_logic; pad : out std_logic); end component;
attribute syn_tpd14 : string;
attribute syn_tpd14 of tribuff_pci : component is "d,e -> pad = 2.0";
-- 1553 -------------------------------
component add1 is
port(
a : in std_logic;
b : in std_logic;
fci : in std_logic;
s : out std_logic;
fco : out std_logic);
end component add1;
component and2 is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component and2;
component and2a is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component and2a;
component and2b is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component and2b;
component and3 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component and3;
component and3a is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component and3a;
component and3b is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component and3b;
component and3c is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component and3c;
component and4 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component and4;
component and4a is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component and4a;
component and4b is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component and4b;
component and4c is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component and4c;
component buff is
port(
a : in std_logic;
y : out std_logic);
end component buff;
component cm8 is
port(
d0 : in std_logic;
d1 : in std_logic;
d2 : in std_logic;
d3 : in std_logic;
s00 : in std_logic;
s01 : in std_logic;
s10 : in std_logic;
s11 : in std_logic;
y : out std_logic);
end component cm8;
component cm8inv is
port(
a : in std_logic;
y : out std_logic);
end component cm8inv;
component df1 is
port(
d : in std_logic;
clk : in std_logic;
q : out std_logic);
end component df1;
component dfc1b is
port(
d : in std_logic;
clk : in std_logic;
clr : in std_logic;
q : out std_logic);
end component dfc1b;
component dfc1c is
port(
d : in std_logic;
clk : in std_logic;
clr : in std_logic;
q : out std_logic);
end component dfc1c;
component dfc1d is
port(
d : in std_logic;
clk : in std_logic;
clr : in std_logic;
q : out std_logic);
end component dfc1d;
component dfe1b is
port(
d : in std_logic;
e : in std_logic;
clk : in std_logic;
q : out std_logic);
end component dfe1b;
component dfe3c is
port(
d : in std_logic;
e : in std_logic;
clk : in std_logic;
clr : in std_logic;
q : out std_logic);
end component dfe3c;
component dfe4f is
port(
d : in std_logic;
e : in std_logic;
clk : in std_logic;
pre : in std_logic;
q : out std_logic);
end component dfe4f;
component dfp1 is
port(
d : in std_logic;
clk : in std_logic;
pre : in std_logic;
q : out std_logic);
end component dfp1;
component dfp1b is
port(
d : in std_logic;
clk : in std_logic;
pre : in std_logic;
q : out std_logic);
end component dfp1b;
component dfp1d is
port(
d : in std_logic;
clk : in std_logic;
pre : in std_logic;
q : out std_logic);
end component dfp1d;
component dfm
port(
clk : in std_logic;
s : in std_logic;
a : in std_logic;
b : in std_logic;
q : out std_logic);
end component;
component gnd is
port(
y : out std_logic);
end component gnd;
component inv is
port(
a : in std_logic;
y : out std_logic);
end component inv;
component nand4 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component nand4;
component or2 is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component or2;
component or2a is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component or2a;
component or2b is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component or2b;
component or3 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component or3;
component or3a is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component or3a;
component or3b is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component or3b;
component or3c is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component or3c;
component or4 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component or4;
component or4a is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component or4a;
component or4b is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component or4b;
component or4c is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component or4c;
component or4d is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
y : out std_logic);
end component or4d;
component sub1 is
port(
a : in std_logic;
b : in std_logic;
fci : in std_logic;
s : out std_logic;
fco : out std_logic);
end component sub1;
component vcc is
port(
y : out std_logic);
end component vcc;
component xa1 is
port(
a : in std_logic;
b : in std_logic;
c : in std_logic;
y : out std_logic);
end component xa1;
component xnor2 is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component xnor2;
component xor2 is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic);
end component xor2;
component xor4 is
port(a,b,c,d : in std_logic;
y : out std_logic);
end component xor4;
component mx2
port(
a : in std_logic;
s : in std_logic;
b : in std_logic;
y : out std_logic);
end component;
component ax1c
port(
a: in std_logic;
b: in std_logic;
c: in std_logic;
y: out std_logic);
end component;
component df1b
port(
d : in std_logic;
clk : in std_logic;
q : out std_logic);
end component;
end;
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Wed Apr 30 22:30:36 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/lab_7/part_3/ip/clk_adc/clk_adc_funcsim.vhdl
-- Design : clk_adc
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity clk_adcclk_adc_clk_wiz is
port (
clk_in1_p : in STD_LOGIC;
clk_in1_n : in STD_LOGIC;
clk_250Mhz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of clk_adcclk_adc_clk_wiz : entity is "clk_adc_clk_wiz";
end clk_adcclk_adc_clk_wiz;
architecture STRUCTURE of clk_adcclk_adc_clk_wiz is
signal clk_250Mhz_clk_adc : STD_LOGIC;
signal clk_in1_clk_adc : STD_LOGIC;
signal clkfbout_buf_clk_adc : STD_LOGIC;
signal clkfbout_clk_adc : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufgds : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufgds : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufgds : label is "AUTO";
attribute box_type of clkin1_ibufgds : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_adc,
O => clkfbout_buf_clk_adc
);
clkin1_ibufgds: unisim.vcomponents.IBUFDS
generic map(
DQS_BIAS => "FALSE",
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_in1_p,
IB => clk_in1_n,
O => clk_in1_clk_adc
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_250Mhz_clk_adc,
O => clk_250Mhz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 4.000000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 4.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 4.000000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 236.250000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_adc,
CLKFBOUT => clkfbout_clk_adc,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_in1_clk_adc,
CLKIN2 => '0',
CLKINSEL => '1',
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_250Mhz_clk_adc,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => '0',
DADDR(5) => '0',
DADDR(4) => '0',
DADDR(3) => '0',
DADDR(2) => '0',
DADDR(1) => '0',
DADDR(0) => '0',
DCLK => '0',
DEN => '0',
DI(15) => '0',
DI(14) => '0',
DI(13) => '0',
DI(12) => '0',
DI(11) => '0',
DI(10) => '0',
DI(9) => '0',
DI(8) => '0',
DI(7) => '0',
DI(6) => '0',
DI(5) => '0',
DI(4) => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => '0',
LOCKED => locked,
PSCLK => '0',
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => '0',
PSINCDEC => '0',
PWRDWN => '0',
RST => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity clk_adc is
port (
clk_in1_p : in STD_LOGIC;
clk_in1_n : in STD_LOGIC;
clk_250Mhz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of clk_adc : entity is true;
attribute core_generation_info : string;
attribute core_generation_info of clk_adc : entity is "clk_adc,clk_wiz_v5_1,{component_name=clk_adc,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=4.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
end clk_adc;
architecture STRUCTURE of clk_adc is
begin
U0: entity work.clk_adcclk_adc_clk_wiz
port map (
clk_250Mhz => clk_250Mhz,
clk_in1_n => clk_in1_n,
clk_in1_p => clk_in1_p,
locked => locked
);
end STRUCTURE;
|
library ieee;
use ieee.std_logic_1164.all;
entity test is
end entity;
architecture a of test is
type RegisterNames is (
LENGTH,
PATTERN_0, PATTERN_1,
COLOR_0, COLOR_1, COLOR_2, COLOR_3
);
type RegisterFile is array(RegisterNames) of std_logic_vector(31 downto 0);
signal Reg : RegisterFile := (
LENGTH => 32d"4",
PATTERN_0 => (
1 downto 0 => "01", -- line 18: can't match string literal with type anonymous enumeration subtype defined at std_logic_1164.v08:89:32
3 downto 2 => "11", -- line 19: can't match string literal with type anonymous enumeration subtype defined at std_logic_1164.v08:89:32
5 downto 4 => "01", -- line 20: can't match string literal with type anonymous enumeration subtype defined at std_logic_1164.v08:89:32
7 downto 6 => "10", -- line 21: can't match string literal with type anonymous enumeration subtype defined at std_logic_1164.v08:89:32
others => '0'
),
COLOR_0 => x"----_00_00",
COLOR_1 => x"----_00_FF",
COLOR_2 => x"----_FF_33",
COLOR_3 => x"----_CC_99",
others => (others => '0')
);
begin
end architecture;
|
architecture ARCH of ENTITY is
begin
a <= sig1 when b = '1' else
sig2 when b = '0' else
sig3;
process
begin
d <= sig1 when b = '1' else--This is a comment
sig2 when c = '0' else -- This is a comment
sig3 when d = '1' else
sig4;
end process;
-- Violations below
a <= sig1 when b = '1' else
sig2 when b = '0' else
sig3;
process
begin
d <= sig1 when b = '1' else--This is a comment
sig2 when c = '0' else -- This is a comment
sig3 when d = '1' else
sig4;
end process;
a <= b when (c = '1')else d;
a <= b when (c = '1'else d;
end architecture ARCH;
|
-- #############################################################################
-- soc_system_top.vhd
--
-- BOARD : DE1-SoC from Terasic
-- Authors : Antonio Carpeño and Mariano Ruiz
-- #############################################################################
library ieee;
use ieee.std_logic_1164.all;
entity soc_system_top is
port(
-- -- ADC
-- ADC_CS_n : out std_logic;
-- ADC_DIN : out std_logic;
-- ADC_DOUT : in std_logic;
-- ADC_SCLK : out std_logic;
-- -- Audio
-- AUD_ADCDAT : in std_logic;
-- AUD_ADCLRCK : inout std_logic;
-- AUD_BCLK : inout std_logic;
-- AUD_DACDAT : out std_logic;
-- AUD_DACLRCK : inout std_logic;
-- AUD_XCK : out std_logic;
-- -- CLOCK
CLOCK_50 : in std_logic;
-- CLOCK2_50 : in std_logic;
-- CLOCK3_50 : in std_logic;
-- CLOCK4_50 : in std_logic;
-- -- SDRAM
DRAM_ADDR : out std_logic_vector(12 downto 0);
DRAM_BA : out std_logic_vector(1 downto 0);
DRAM_CAS_N : out std_logic;
DRAM_CKE : out std_logic;
DRAM_CLK : out std_logic;
DRAM_CS_N : out std_logic;
DRAM_DQ : inout std_logic_vector(15 downto 0);
DRAM_LDQM : out std_logic;
DRAM_RAS_N : out std_logic;
DRAM_UDQM : out std_logic;
DRAM_WE_N : out std_logic;
-- -- I2C for Audio and Video-In
-- FPGA_I2C_SCLK : out std_logic;
-- FPGA_I2C_SDAT : inout std_logic;
-- -- SEG7
HEX0_N : out std_logic_vector(6 downto 0);
HEX1_N : out std_logic_vector(6 downto 0);
HEX2_N : out std_logic_vector(6 downto 0);
HEX3_N : out std_logic_vector(6 downto 0);
HEX4_N : out std_logic_vector(6 downto 0);
HEX5_N : out std_logic_vector(6 downto 0);
-- -- IR
-- IRDA_RXD : in std_logic;
-- IRDA_TXD : out std_logic;
-- -- KEY_N
KEY_N : in std_logic_vector(3 downto 0);
-- -- LED
LEDR : out std_logic_vector(9 downto 0);
-- -- PS2
-- PS2_CLK : inout std_logic;
-- PS2_CLK2 : inout std_logic;
-- PS2_DAT : inout std_logic;
-- PS2_DAT2 : inout std_logic;
-- -- SW
SW : in std_logic_vector(9 downto 0);
-- -- Video-In
-- TD_CLK27 : inout std_logic;
-- TD_DATA : out std_logic_vector(7 downto 0);
-- TD_HS : out std_logic;
-- TD_RESET_N : out std_logic;
-- TD_VS : out std_logic;
-- -- VGA
-- VGA_B : out std_logic_vector(7 downto 0);
-- VGA_BLANK_N : out std_logic;
-- VGA_CLK : out std_logic;
-- VGA_G : out std_logic_vector(7 downto 0);
-- VGA_HS : out std_logic;
-- VGA_R : out std_logic_vector(7 downto 0);
-- VGA_SYNC_N : out std_logic;
-- VGA_VS : out std_logic;
-- -- GPIO_0
-- GPIO_0 : inout std_logic_vector(35 downto 0);
-- -- GPIO_1
-- GPIO_1 : inout std_logic_vector(35 downto 0);
-- -- HPS
HPS_CONV_USB_N : inout std_logic;
HPS_DDR3_ADDR : out std_logic_vector(14 downto 0);
HPS_DDR3_BA : out std_logic_vector(2 downto 0);
HPS_DDR3_CAS_N : out std_logic;
HPS_DDR3_CK_N : out std_logic;
HPS_DDR3_CK_P : out std_logic;
HPS_DDR3_CKE : out std_logic;
HPS_DDR3_CS_N : out std_logic;
HPS_DDR3_DM : out std_logic_vector(3 downto 0);
HPS_DDR3_DQ : inout std_logic_vector(31 downto 0);
HPS_DDR3_DQS_N : inout std_logic_vector(3 downto 0);
HPS_DDR3_DQS_P : inout std_logic_vector(3 downto 0);
HPS_DDR3_ODT : out std_logic;
HPS_DDR3_RAS_N : out std_logic;
HPS_DDR3_RESET_N : out std_logic;
HPS_DDR3_RZQ : in std_logic;
HPS_DDR3_WE_N : out std_logic;
HPS_ENET_GTX_CLK : out std_logic;
HPS_ENET_INT_N : inout std_logic;
HPS_ENET_MDC : out std_logic;
HPS_ENET_MDIO : inout std_logic;
HPS_ENET_RX_CLK : in std_logic;
HPS_ENET_RX_DATA : in std_logic_vector(3 downto 0);
HPS_ENET_RX_DV : in std_logic;
HPS_ENET_TX_DATA : out std_logic_vector(3 downto 0);
HPS_ENET_TX_EN : out std_logic;
HPS_FLASH_DATA : inout std_logic_vector(3 downto 0);
HPS_FLASH_DCLK : out std_logic;
HPS_FLASH_NCSO : out std_logic;
HPS_GSENSOR_INT : inout std_logic;
HPS_I2C_CONTROL : inout std_logic;
HPS_I2C1_SCLK : inout std_logic;
HPS_I2C1_SDAT : inout std_logic;
HPS_I2C2_SCLK : inout std_logic;
HPS_I2C2_SDAT : inout std_logic;
HPS_KEY_N : inout std_logic;
HPS_LED : inout std_logic;
HPS_LTC_GPIO : inout std_logic;
HPS_SD_CLK : out std_logic;
HPS_SD_CMD : inout std_logic;
HPS_SD_DATA : inout std_logic_vector(3 downto 0);
HPS_SPIM_CLK : out std_logic;
HPS_SPIM_MISO : in std_logic;
HPS_SPIM_MOSI : out std_logic;
HPS_SPIM_SS : inout std_logic;
HPS_UART_RX : in std_logic;
HPS_UART_TX : out std_logic;
HPS_USB_CLKOUT : in std_logic;
HPS_USB_DATA : inout std_logic_vector(7 downto 0);
HPS_USB_DIR : in std_logic;
HPS_USB_NXT : in std_logic;
HPS_USB_STP : out std_logic
);
end entity soc_system_top;
architecture rtl of soc_system_top is
signal hps_fpga_reset_n: std_logic;
signal displays_ena_n: std_logic_vector(5 downto 0);
component soc_system is
port(
clk_clk : in std_logic := 'X';
hps_0_h2f_reset_reset_n : out std_logic; -- reset_n
hps_0_io_hps_io_emac1_inst_TX_CLK : out std_logic;
hps_0_io_hps_io_emac1_inst_TX_CTL : out std_logic;
hps_0_io_hps_io_emac1_inst_TXD0 : out std_logic;
hps_0_io_hps_io_emac1_inst_TXD1 : out std_logic;
hps_0_io_hps_io_emac1_inst_TXD2 : out std_logic;
hps_0_io_hps_io_emac1_inst_TXD3 : out std_logic;
hps_0_io_hps_io_emac1_inst_RX_CLK : in std_logic := 'X';
hps_0_io_hps_io_emac1_inst_RX_CTL : in std_logic := 'X';
hps_0_io_hps_io_emac1_inst_RXD0 : in std_logic := 'X';
hps_0_io_hps_io_emac1_inst_RXD1 : in std_logic := 'X';
hps_0_io_hps_io_emac1_inst_RXD2 : in std_logic := 'X';
hps_0_io_hps_io_emac1_inst_RXD3 : in std_logic := 'X';
hps_0_io_hps_io_emac1_inst_MDIO : inout std_logic := 'X';
hps_0_io_hps_io_emac1_inst_MDC : out std_logic;
hps_0_io_hps_io_qspi_inst_CLK : out std_logic;
hps_0_io_hps_io_qspi_inst_SS0 : out std_logic;
hps_0_io_hps_io_qspi_inst_IO0 : inout std_logic := 'X';
hps_0_io_hps_io_qspi_inst_IO1 : inout std_logic := 'X';
hps_0_io_hps_io_qspi_inst_IO2 : inout std_logic := 'X';
hps_0_io_hps_io_qspi_inst_IO3 : inout std_logic := 'X';
hps_0_io_hps_io_sdio_inst_CLK : out std_logic;
hps_0_io_hps_io_sdio_inst_CMD : inout std_logic := 'X';
hps_0_io_hps_io_sdio_inst_D0 : inout std_logic := 'X';
hps_0_io_hps_io_sdio_inst_D1 : inout std_logic := 'X';
hps_0_io_hps_io_sdio_inst_D2 : inout std_logic := 'X';
hps_0_io_hps_io_sdio_inst_D3 : inout std_logic := 'X';
hps_0_io_hps_io_usb1_inst_CLK : in std_logic := 'X';
hps_0_io_hps_io_usb1_inst_STP : out std_logic;
hps_0_io_hps_io_usb1_inst_DIR : in std_logic := 'X';
hps_0_io_hps_io_usb1_inst_NXT : in std_logic := 'X';
hps_0_io_hps_io_usb1_inst_D0 : inout std_logic := 'X';
hps_0_io_hps_io_usb1_inst_D1 : inout std_logic := 'X';
hps_0_io_hps_io_usb1_inst_D2 : inout std_logic := 'X';
hps_0_io_hps_io_usb1_inst_D3 : inout std_logic := 'X';
hps_0_io_hps_io_usb1_inst_D4 : inout std_logic := 'X';
hps_0_io_hps_io_usb1_inst_D5 : inout std_logic := 'X';
hps_0_io_hps_io_usb1_inst_D6 : inout std_logic := 'X';
hps_0_io_hps_io_usb1_inst_D7 : inout std_logic := 'X';
hps_0_io_hps_io_spim1_inst_CLK : out std_logic;
hps_0_io_hps_io_spim1_inst_MOSI : out std_logic;
hps_0_io_hps_io_spim1_inst_MISO : in std_logic := 'X';
hps_0_io_hps_io_spim1_inst_SS0 : out std_logic;
hps_0_io_hps_io_uart0_inst_RX : in std_logic := 'X';
hps_0_io_hps_io_uart0_inst_TX : out std_logic;
hps_0_io_hps_io_i2c0_inst_SDA : inout std_logic := 'X';
hps_0_io_hps_io_i2c0_inst_SCL : inout std_logic := 'X';
hps_0_io_hps_io_i2c1_inst_SDA : inout std_logic := 'X';
hps_0_io_hps_io_i2c1_inst_SCL : inout std_logic := 'X';
hps_0_io_hps_io_gpio_inst_GPIO09 : inout std_logic := 'X';
hps_0_io_hps_io_gpio_inst_GPIO35 : inout std_logic := 'X';
hps_0_io_hps_io_gpio_inst_GPIO40 : inout std_logic := 'X';
hps_0_io_hps_io_gpio_inst_GPIO48 : inout std_logic := 'X';
hps_0_io_hps_io_gpio_inst_GPIO53 : inout std_logic := 'X';
hps_0_io_hps_io_gpio_inst_GPIO54 : inout std_logic := 'X';
hps_0_io_hps_io_gpio_inst_GPIO61 : inout std_logic := 'X';
hps_0_ddr_mem_a : out std_logic_vector(14 downto 0); -- mem_a
hps_0_ddr_mem_ba : out std_logic_vector(2 downto 0); -- mem_ba
hps_0_ddr_mem_ck : out std_logic; -- mem_ck
hps_0_ddr_mem_ck_n : out std_logic; -- mem_ck_n
hps_0_ddr_mem_cke : out std_logic; -- mem_cke
hps_0_ddr_mem_cs_n : out std_logic; -- mem_cs_n
hps_0_ddr_mem_ras_n : out std_logic; -- mem_ras_n
hps_0_ddr_mem_cas_n : out std_logic; -- mem_cas_n
hps_0_ddr_mem_we_n : out std_logic; -- mem_we_n
hps_0_ddr_mem_reset_n : out std_logic; -- mem_reset_n
hps_0_ddr_mem_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); -- mem_dq
hps_0_ddr_mem_dqs : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs
hps_0_ddr_mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs_n
hps_0_ddr_mem_odt : out std_logic; -- mem_odt
hps_0_ddr_mem_dm : out std_logic_vector(3 downto 0); -- mem_dm
hps_0_ddr_oct_rzqin : in std_logic := 'X'; -- oct_rzqin
reset_reset_n : in std_logic := 'X'
);
end component soc_system;
begin
soc_system_inst : component soc_system
port map(
clk_clk => CLOCK_50,
hps_0_io_hps_io_emac1_inst_TX_CLK => HPS_ENET_GTX_CLK,
hps_0_io_hps_io_emac1_inst_TX_CTL => HPS_ENET_TX_EN,
hps_0_io_hps_io_emac1_inst_TXD0 => HPS_ENET_TX_DATA(0),
hps_0_io_hps_io_emac1_inst_TXD1 => HPS_ENET_TX_DATA(1),
hps_0_io_hps_io_emac1_inst_TXD2 => HPS_ENET_TX_DATA(2),
hps_0_io_hps_io_emac1_inst_TXD3 => HPS_ENET_TX_DATA(3),
hps_0_io_hps_io_emac1_inst_RX_CLK => HPS_ENET_RX_CLK,
hps_0_io_hps_io_emac1_inst_RX_CTL => HPS_ENET_RX_DV,
hps_0_io_hps_io_emac1_inst_RXD0 => HPS_ENET_RX_DATA(0),
hps_0_io_hps_io_emac1_inst_RXD1 => HPS_ENET_RX_DATA(1),
hps_0_io_hps_io_emac1_inst_RXD2 => HPS_ENET_RX_DATA(2),
hps_0_io_hps_io_emac1_inst_RXD3 => HPS_ENET_RX_DATA(3),
hps_0_io_hps_io_emac1_inst_MDIO => HPS_ENET_MDIO,
hps_0_io_hps_io_emac1_inst_MDC => HPS_ENET_MDC,
hps_0_io_hps_io_qspi_inst_CLK => HPS_FLASH_DCLK,
hps_0_io_hps_io_qspi_inst_SS0 => HPS_FLASH_NCSO,
hps_0_io_hps_io_qspi_inst_IO0 => HPS_FLASH_DATA(0),
hps_0_io_hps_io_qspi_inst_IO1 => HPS_FLASH_DATA(1),
hps_0_io_hps_io_qspi_inst_IO2 => HPS_FLASH_DATA(2),
hps_0_io_hps_io_qspi_inst_IO3 => HPS_FLASH_DATA(3),
hps_0_io_hps_io_sdio_inst_CLK => HPS_SD_CLK,
hps_0_io_hps_io_sdio_inst_CMD => HPS_SD_CMD,
hps_0_io_hps_io_sdio_inst_D0 => HPS_SD_DATA(0),
hps_0_io_hps_io_sdio_inst_D1 => HPS_SD_DATA(1),
hps_0_io_hps_io_sdio_inst_D2 => HPS_SD_DATA(2),
hps_0_io_hps_io_sdio_inst_D3 => HPS_SD_DATA(3),
hps_0_io_hps_io_usb1_inst_CLK => HPS_USB_CLKOUT,
hps_0_io_hps_io_usb1_inst_STP => HPS_USB_STP,
hps_0_io_hps_io_usb1_inst_DIR => HPS_USB_DIR,
hps_0_io_hps_io_usb1_inst_NXT => HPS_USB_NXT,
hps_0_io_hps_io_usb1_inst_D0 => HPS_USB_DATA(0),
hps_0_io_hps_io_usb1_inst_D1 => HPS_USB_DATA(1),
hps_0_io_hps_io_usb1_inst_D2 => HPS_USB_DATA(2),
hps_0_io_hps_io_usb1_inst_D3 => HPS_USB_DATA(3),
hps_0_io_hps_io_usb1_inst_D4 => HPS_USB_DATA(4),
hps_0_io_hps_io_usb1_inst_D5 => HPS_USB_DATA(5),
hps_0_io_hps_io_usb1_inst_D6 => HPS_USB_DATA(6),
hps_0_io_hps_io_usb1_inst_D7 => HPS_USB_DATA(7),
hps_0_io_hps_io_spim1_inst_CLK => HPS_SPIM_CLK,
hps_0_io_hps_io_spim1_inst_MOSI => HPS_SPIM_MOSI,
hps_0_io_hps_io_spim1_inst_MISO => HPS_SPIM_MISO,
hps_0_io_hps_io_spim1_inst_SS0 => HPS_SPIM_SS,
hps_0_io_hps_io_uart0_inst_RX => HPS_UART_RX,
hps_0_io_hps_io_uart0_inst_TX => HPS_UART_TX,
hps_0_io_hps_io_i2c0_inst_SDA => HPS_I2C1_SDAT,
hps_0_io_hps_io_i2c0_inst_SCL => HPS_I2C1_SCLK,
hps_0_io_hps_io_i2c1_inst_SDA => HPS_I2C2_SDAT,
hps_0_io_hps_io_i2c1_inst_SCL => HPS_I2C2_SCLK,
hps_0_io_hps_io_gpio_inst_GPIO09 => HPS_CONV_USB_N,
hps_0_io_hps_io_gpio_inst_GPIO35 => HPS_ENET_INT_N,
hps_0_io_hps_io_gpio_inst_GPIO40 => HPS_LTC_GPIO,
hps_0_io_hps_io_gpio_inst_GPIO48 => HPS_I2C_CONTROL,
hps_0_io_hps_io_gpio_inst_GPIO53 => HPS_LED,
hps_0_io_hps_io_gpio_inst_GPIO54 => HPS_KEY_N,
hps_0_io_hps_io_gpio_inst_GPIO61 => HPS_GSENSOR_INT,
hps_0_ddr_mem_a => HPS_DDR3_ADDR,
hps_0_ddr_mem_ba => HPS_DDR3_BA,
hps_0_ddr_mem_ck => HPS_DDR3_CK_P,
hps_0_ddr_mem_ck_n => HPS_DDR3_CK_N,
hps_0_ddr_mem_cke => HPS_DDR3_CKE,
hps_0_ddr_mem_cs_n => HPS_DDR3_CS_N,
hps_0_ddr_mem_ras_n => HPS_DDR3_RAS_N,
hps_0_ddr_mem_cas_n => HPS_DDR3_CAS_N,
hps_0_ddr_mem_we_n => HPS_DDR3_WE_N,
hps_0_ddr_mem_reset_n => HPS_DDR3_RESET_N,
hps_0_ddr_mem_dq => HPS_DDR3_DQ,
hps_0_ddr_mem_dqs => HPS_DDR3_DQS_P,
hps_0_ddr_mem_dqs_n => HPS_DDR3_DQS_N,
hps_0_ddr_mem_odt => HPS_DDR3_ODT,
hps_0_ddr_mem_dm => HPS_DDR3_DM,
hps_0_ddr_oct_rzqin => HPS_DDR3_RZQ,
reset_reset_n => '1'
);
end;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
--use IEEE.STD_LOGIC_ARITH.ALL;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity router_credit_based_PD_C is --fault classifier plus packet-dropping
generic (
DATA_WIDTH: integer := 32;
current_address : integer := 0;
Cx_rst : integer := 10;
healthy_counter_threshold : integer := 8;
faulty_counter_threshold: integer := 2;
counter_depth: integer := 4;
NoC_size: integer := 4
);
port (
reset, clk: in std_logic;
Rxy_reconf: in std_logic_vector(7 downto 0);
Reconfig : in std_logic;
RX_N, RX_E, RX_W, RX_S, RX_L : in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
valid_in_N, valid_in_E, valid_in_W, valid_in_S, valid_in_L : in std_logic;
valid_out_N, valid_out_E, valid_out_W, valid_out_S, valid_out_L : out std_logic;
credit_out_N, credit_out_E, credit_out_W, credit_out_S, credit_out_L: out std_logic;
TX_N, TX_E, TX_W, TX_S, TX_L: out std_logic_vector (DATA_WIDTH-1 downto 0);
Faulty_N_in, Faulty_E_in, Faulty_W_in, Faulty_S_in: in std_logic;
Faulty_N_out, Faulty_E_out, Faulty_W_out, Faulty_S_out: out std_logic
);
end router_credit_based_PD_C;
architecture behavior of router_credit_based_PD_C is
COMPONENT FIFO_credit_based is
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
valid_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
credit_out: out std_logic;
empty_out: out std_logic;
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0);
fault_info, health_info: out std_logic
);
end COMPONENT;
COMPONENT counter_threshold_classifier is
generic (
counter_depth: integer := 8;
healthy_counter_threshold: integer := 4;
faulty_counter_threshold: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
faulty_packet, Healthy_packet: in std_logic;
Healthy, intermittent, Faulty:out std_logic
);
end COMPONENT;
COMPONENT allocator is
port ( reset: in std_logic;
clk: in std_logic;
-- flow control
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
req_N_N, req_N_E, req_N_W, req_N_S, req_N_L: in std_logic;
req_E_N, req_E_E, req_E_W, req_E_S, req_E_L: in std_logic;
req_W_N, req_W_E, req_W_W, req_W_S, req_W_L: in std_logic;
req_S_N, req_S_E, req_S_W, req_S_S, req_S_L: in std_logic;
req_L_N, req_L_E, req_L_W, req_L_S, req_L_L: in std_logic;
empty_N, empty_E, empty_W, empty_S, empty_L: in std_logic;
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
valid_N, valid_E, valid_W, valid_S, valid_L : out std_logic;
grant_N_N, grant_N_E, grant_N_W, grant_N_S, grant_N_L: out std_logic;
grant_E_N, grant_E_E, grant_E_W, grant_E_S, grant_E_L: out std_logic;
grant_W_N, grant_W_E, grant_W_W, grant_W_S, grant_W_L: out std_logic;
grant_S_N, grant_S_E, grant_S_W, grant_S_S, grant_S_L: out std_logic;
grant_L_N, grant_L_E, grant_L_W, grant_L_S, grant_L_L: out std_logic
);
end COMPONENT;
COMPONENT LBDR_packet_drop is
generic (
cur_addr_rst: integer := 0;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
Rxy_reconf: in std_logic_vector(7 downto 0);
Reconfig : in std_logic;
Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S: in std_logic;
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
packet_drop_order: out std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic
);
end COMPONENT;
COMPONENT XBAR is
generic (
DATA_WIDTH: integer := 32
);
port (
North_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
East_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
West_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
South_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
sel: in std_logic_vector (4 downto 0);
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end COMPONENT;
signal FIFO_D_out_N, FIFO_D_out_E, FIFO_D_out_W, FIFO_D_out_S, FIFO_D_out_L: std_logic_vector(DATA_WIDTH-1 downto 0);
-- Grant_XY : Grant signal generated from Arbiter for output X connected to FIFO of input Y
signal Grant_NN, Grant_NE, Grant_NW, Grant_NS, Grant_NL: std_logic;
signal Grant_EN, Grant_EE, Grant_EW, Grant_ES, Grant_EL: std_logic;
signal Grant_WN, Grant_WE, Grant_WW, Grant_WS, Grant_WL: std_logic;
signal Grant_SN, Grant_SE, Grant_SW, Grant_SS, Grant_SL: std_logic;
signal Grant_LN, Grant_LE, Grant_LW, Grant_LS, Grant_LL: std_logic;
signal Req_NN, Req_EN, Req_WN, Req_SN, Req_LN: std_logic;
signal Req_NE, Req_EE, Req_WE, Req_SE, Req_LE: std_logic;
signal Req_NW, Req_EW, Req_WW, Req_SW, Req_LW: std_logic;
signal Req_NS, Req_ES, Req_WS, Req_SS, Req_LS: std_logic;
signal Req_NL, Req_EL, Req_WL, Req_SL, Req_LL: std_logic;
signal empty_N, empty_E, empty_W, empty_S, empty_L: std_logic;
signal Xbar_sel_N, Xbar_sel_E, Xbar_sel_W, Xbar_sel_S, Xbar_sel_L: std_logic_vector(4 downto 0);
signal faulty_packet_N, faulty_packet_E, faulty_packet_W, faulty_packet_S, faulty_packet_L: std_logic;
signal healthy_packet_N, healthy_packet_E, healthy_packet_W, healthy_packet_S, healthy_packet_L: std_logic;
signal packet_drop_order_N, packet_drop_order_E, packet_drop_order_W, packet_drop_order_S, packet_drop_order_L: std_logic;
signal healthy_link_N, healthy_link_E, healthy_link_W, healthy_link_S, healthy_link_L: std_logic;
signal sig_Faulty_N_out, sig_Faulty_E_out, sig_Faulty_W_out, sig_Faulty_S_out, faulty_link_L: std_logic;
signal intermittent_link_N, intermittent_link_E, intermittent_link_W, intermittent_link_S, intermittent_link_L: std_logic;
begin
Faulty_N_out <= sig_Faulty_N_out;
Faulty_E_out <= sig_Faulty_E_out;
Faulty_W_out <= sig_Faulty_W_out;
Faulty_S_out <= sig_Faulty_S_out;
-- all the counter_threshold modules
CT_N: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_N, Healthy_packet => healthy_packet_N,
Healthy => healthy_link_N, intermittent=> intermittent_link_N, Faulty => sig_Faulty_N_out);
CT_E: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_E, Healthy_packet => healthy_packet_E,
Healthy => healthy_link_E, intermittent=> intermittent_link_E, Faulty => sig_Faulty_E_out);
CT_W: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_W, Healthy_packet => healthy_packet_W,
Healthy => healthy_link_W, intermittent=> intermittent_link_W, Faulty => sig_Faulty_W_out);
CT_S: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_S, Healthy_packet => healthy_packet_S,
Healthy => healthy_link_S, intermittent=> intermittent_link_S, Faulty => sig_Faulty_S_out);
CT_L: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_L, Healthy_packet => healthy_packet_L,
Healthy => healthy_link_L, intermittent=> intermittent_link_L, Faulty => faulty_link_L);
-- all the FIFOs
FIFO_N: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_N, valid_in => valid_in_N,
read_en_N => packet_drop_order_N, read_en_E =>Grant_EN, read_en_W =>Grant_WN, read_en_S =>Grant_SN, read_en_L =>Grant_LN,
credit_out => credit_out_N, empty_out => empty_N, Data_out => FIFO_D_out_N, fault_info=> faulty_packet_N, health_info=>healthy_packet_N);
FIFO_E: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_E, valid_in => valid_in_E,
read_en_N => Grant_NE, read_en_E =>packet_drop_order_E, read_en_W =>Grant_WE, read_en_S =>Grant_SE, read_en_L =>Grant_LE,
credit_out => credit_out_E, empty_out => empty_E, Data_out => FIFO_D_out_E, fault_info=> faulty_packet_E, health_info=>healthy_packet_E);
FIFO_W: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_W, valid_in => valid_in_W,
read_en_N => Grant_NW, read_en_E =>Grant_EW, read_en_W =>packet_drop_order_W, read_en_S =>Grant_SW, read_en_L =>Grant_LW,
credit_out => credit_out_W, empty_out => empty_W, Data_out => FIFO_D_out_W, fault_info=> faulty_packet_W, health_info=>healthy_packet_W);
FIFO_S: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_S, valid_in => valid_in_S,
read_en_N => Grant_NS, read_en_E =>Grant_ES, read_en_W =>Grant_WS, read_en_S =>packet_drop_order_S, read_en_L =>Grant_LS,
credit_out => credit_out_S, empty_out => empty_S, Data_out => FIFO_D_out_S, fault_info=> faulty_packet_S, health_info=>healthy_packet_S);
FIFO_L: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_L, valid_in => valid_in_L,
read_en_N => Grant_NL, read_en_E =>Grant_EL, read_en_W =>Grant_WL, read_en_S => Grant_SL, read_en_L =>packet_drop_order_L,
credit_out => credit_out_L, empty_out => empty_L, Data_out => FIFO_D_out_L, fault_info=> faulty_packet_L, health_info=>healthy_packet_L);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
--- all the LBDRs
LBDR_N: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_N, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_N(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_N(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_N,
grant_N => '0', grant_E =>Grant_EN, grant_W => Grant_WN, grant_S=>Grant_SN, grant_L =>Grant_LN,
Req_N=> Req_NN, Req_E=>Req_NE, Req_W=>Req_NW, Req_S=>Req_NS, Req_L=>Req_NL);
LBDR_E: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_E, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_E(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_E(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_E,
grant_N => Grant_NE, grant_E =>'0', grant_W => Grant_WE, grant_S=>Grant_SE, grant_L =>Grant_LE,
Req_N=> Req_EN, Req_E=>Req_EE, Req_W=>Req_EW, Req_S=>Req_ES, Req_L=>Req_EL);
LBDR_W: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_W, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_W(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_W(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_W,
grant_N => Grant_NW, grant_E =>Grant_EW, grant_W =>'0' ,grant_S=>Grant_SW, grant_L =>Grant_LW,
Req_N=> Req_WN, Req_E=>Req_WE, Req_W=>Req_WW, Req_S=>Req_WS, Req_L=>Req_WL);
LBDR_S: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_S, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_S(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_S(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_S,
grant_N => Grant_NS, grant_E =>Grant_ES, grant_W =>Grant_WS ,grant_S=>'0', grant_L =>Grant_LS,
Req_N=> Req_SN, Req_E=>Req_SE, Req_W=>Req_SW, Req_S=>Req_SS, Req_L=>Req_SL);
LBDR_L: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_L, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_L(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_L(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_L,
grant_N => Grant_NL, grant_E =>Grant_EL, grant_W => Grant_WL,grant_S=>Grant_SL, grant_L =>'0',
Req_N=> Req_LN, Req_E=>Req_LE, Req_W=>Req_LW, Req_S=>Req_LS, Req_L=>Req_LL);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- switch allocator
allocator_unit: allocator port map ( reset => reset, clk => clk,
-- flow control
credit_in_N => credit_in_N, credit_in_E => credit_in_E, credit_in_W => credit_in_W, credit_in_S => credit_in_S, credit_in_L => credit_in_L,
-- requests from the LBDRS
req_N_N => '0', req_N_E => Req_NE, req_N_W => Req_NW, req_N_S => Req_NS, req_N_L => Req_NL,
req_E_N => Req_EN, req_E_E => '0', req_E_W => Req_EW, req_E_S => Req_ES, req_E_L => Req_EL,
req_W_N => Req_WN, req_W_E => Req_WE, req_W_W => '0', req_W_S => Req_WS, req_W_L => Req_WL,
req_S_N => Req_SN, req_S_E => Req_SE, req_S_W => Req_SW, req_S_S => '0', req_S_L => Req_SL,
req_L_N => Req_LN, req_L_E => Req_LE, req_L_W => Req_LW, req_L_S => Req_LS, req_L_L => '0',
empty_N => empty_N, empty_E => empty_E, empty_w => empty_W, empty_S => empty_S, empty_L => empty_L,
valid_N => valid_out_N, valid_E => valid_out_E, valid_W => valid_out_W, valid_S => valid_out_S, valid_L => valid_out_L,
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
grant_N_N => Grant_NN, grant_N_E => Grant_NE, grant_N_W => Grant_NW, grant_N_S => Grant_NS, grant_N_L => Grant_NL,
grant_E_N => Grant_EN, grant_E_E => Grant_EE, grant_E_W => Grant_EW, grant_E_S => Grant_ES, grant_E_L => Grant_EL,
grant_W_N => Grant_WN, grant_W_E => Grant_WE, grant_W_W => Grant_WW, grant_W_S => Grant_WS, grant_W_L => Grant_WL,
grant_S_N => Grant_SN, grant_S_E => Grant_SE, grant_S_W => Grant_SW, grant_S_S => Grant_SS, grant_S_L => Grant_SL,
grant_L_N => Grant_LN, grant_L_E => Grant_LE, grant_L_W => Grant_LW, grant_L_S => Grant_LS, grant_L_L => Grant_LL
);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the Xbar select_signals
Xbar_sel_N <= '0' & Grant_NE & Grant_NW & Grant_NS & Grant_NL;
Xbar_sel_E <= Grant_EN & '0' & Grant_EW & Grant_ES & Grant_EL;
Xbar_sel_W <= Grant_WN & Grant_WE & '0' & Grant_WS & Grant_WL;
Xbar_sel_S <= Grant_SN & Grant_SE & Grant_SW & '0' & Grant_SL;
Xbar_sel_L <= Grant_LN & Grant_LE & Grant_LW & Grant_LS & '0';
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the Xbars
XBAR_N: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_N, Data_out=> TX_N);
XBAR_E: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_E, Data_out=> TX_E);
XBAR_W: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_W, Data_out=> TX_W);
XBAR_S: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_S, Data_out=> TX_S);
XBAR_L: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_L, Data_out=> TX_L);
end;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
--use IEEE.STD_LOGIC_ARITH.ALL;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity router_credit_based_PD_C is --fault classifier plus packet-dropping
generic (
DATA_WIDTH: integer := 32;
current_address : integer := 0;
Cx_rst : integer := 10;
healthy_counter_threshold : integer := 8;
faulty_counter_threshold: integer := 2;
counter_depth: integer := 4;
NoC_size: integer := 4
);
port (
reset, clk: in std_logic;
Rxy_reconf: in std_logic_vector(7 downto 0);
Reconfig : in std_logic;
RX_N, RX_E, RX_W, RX_S, RX_L : in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
valid_in_N, valid_in_E, valid_in_W, valid_in_S, valid_in_L : in std_logic;
valid_out_N, valid_out_E, valid_out_W, valid_out_S, valid_out_L : out std_logic;
credit_out_N, credit_out_E, credit_out_W, credit_out_S, credit_out_L: out std_logic;
TX_N, TX_E, TX_W, TX_S, TX_L: out std_logic_vector (DATA_WIDTH-1 downto 0);
Faulty_N_in, Faulty_E_in, Faulty_W_in, Faulty_S_in: in std_logic;
Faulty_N_out, Faulty_E_out, Faulty_W_out, Faulty_S_out: out std_logic
);
end router_credit_based_PD_C;
architecture behavior of router_credit_based_PD_C is
COMPONENT FIFO_credit_based is
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
valid_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
credit_out: out std_logic;
empty_out: out std_logic;
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0);
fault_info, health_info: out std_logic
);
end COMPONENT;
COMPONENT counter_threshold_classifier is
generic (
counter_depth: integer := 8;
healthy_counter_threshold: integer := 4;
faulty_counter_threshold: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
faulty_packet, Healthy_packet: in std_logic;
Healthy, intermittent, Faulty:out std_logic
);
end COMPONENT;
COMPONENT allocator is
port ( reset: in std_logic;
clk: in std_logic;
-- flow control
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
req_N_N, req_N_E, req_N_W, req_N_S, req_N_L: in std_logic;
req_E_N, req_E_E, req_E_W, req_E_S, req_E_L: in std_logic;
req_W_N, req_W_E, req_W_W, req_W_S, req_W_L: in std_logic;
req_S_N, req_S_E, req_S_W, req_S_S, req_S_L: in std_logic;
req_L_N, req_L_E, req_L_W, req_L_S, req_L_L: in std_logic;
empty_N, empty_E, empty_W, empty_S, empty_L: in std_logic;
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
valid_N, valid_E, valid_W, valid_S, valid_L : out std_logic;
grant_N_N, grant_N_E, grant_N_W, grant_N_S, grant_N_L: out std_logic;
grant_E_N, grant_E_E, grant_E_W, grant_E_S, grant_E_L: out std_logic;
grant_W_N, grant_W_E, grant_W_W, grant_W_S, grant_W_L: out std_logic;
grant_S_N, grant_S_E, grant_S_W, grant_S_S, grant_S_L: out std_logic;
grant_L_N, grant_L_E, grant_L_W, grant_L_S, grant_L_L: out std_logic
);
end COMPONENT;
COMPONENT LBDR_packet_drop is
generic (
cur_addr_rst: integer := 0;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
Rxy_reconf: in std_logic_vector(7 downto 0);
Reconfig : in std_logic;
Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S: in std_logic;
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
packet_drop_order: out std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic
);
end COMPONENT;
COMPONENT XBAR is
generic (
DATA_WIDTH: integer := 32
);
port (
North_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
East_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
West_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
South_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
sel: in std_logic_vector (4 downto 0);
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end COMPONENT;
signal FIFO_D_out_N, FIFO_D_out_E, FIFO_D_out_W, FIFO_D_out_S, FIFO_D_out_L: std_logic_vector(DATA_WIDTH-1 downto 0);
-- Grant_XY : Grant signal generated from Arbiter for output X connected to FIFO of input Y
signal Grant_NN, Grant_NE, Grant_NW, Grant_NS, Grant_NL: std_logic;
signal Grant_EN, Grant_EE, Grant_EW, Grant_ES, Grant_EL: std_logic;
signal Grant_WN, Grant_WE, Grant_WW, Grant_WS, Grant_WL: std_logic;
signal Grant_SN, Grant_SE, Grant_SW, Grant_SS, Grant_SL: std_logic;
signal Grant_LN, Grant_LE, Grant_LW, Grant_LS, Grant_LL: std_logic;
signal Req_NN, Req_EN, Req_WN, Req_SN, Req_LN: std_logic;
signal Req_NE, Req_EE, Req_WE, Req_SE, Req_LE: std_logic;
signal Req_NW, Req_EW, Req_WW, Req_SW, Req_LW: std_logic;
signal Req_NS, Req_ES, Req_WS, Req_SS, Req_LS: std_logic;
signal Req_NL, Req_EL, Req_WL, Req_SL, Req_LL: std_logic;
signal empty_N, empty_E, empty_W, empty_S, empty_L: std_logic;
signal Xbar_sel_N, Xbar_sel_E, Xbar_sel_W, Xbar_sel_S, Xbar_sel_L: std_logic_vector(4 downto 0);
signal faulty_packet_N, faulty_packet_E, faulty_packet_W, faulty_packet_S, faulty_packet_L: std_logic;
signal healthy_packet_N, healthy_packet_E, healthy_packet_W, healthy_packet_S, healthy_packet_L: std_logic;
signal packet_drop_order_N, packet_drop_order_E, packet_drop_order_W, packet_drop_order_S, packet_drop_order_L: std_logic;
signal healthy_link_N, healthy_link_E, healthy_link_W, healthy_link_S, healthy_link_L: std_logic;
signal sig_Faulty_N_out, sig_Faulty_E_out, sig_Faulty_W_out, sig_Faulty_S_out, faulty_link_L: std_logic;
signal intermittent_link_N, intermittent_link_E, intermittent_link_W, intermittent_link_S, intermittent_link_L: std_logic;
begin
Faulty_N_out <= sig_Faulty_N_out;
Faulty_E_out <= sig_Faulty_E_out;
Faulty_W_out <= sig_Faulty_W_out;
Faulty_S_out <= sig_Faulty_S_out;
-- all the counter_threshold modules
CT_N: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_N, Healthy_packet => healthy_packet_N,
Healthy => healthy_link_N, intermittent=> intermittent_link_N, Faulty => sig_Faulty_N_out);
CT_E: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_E, Healthy_packet => healthy_packet_E,
Healthy => healthy_link_E, intermittent=> intermittent_link_E, Faulty => sig_Faulty_E_out);
CT_W: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_W, Healthy_packet => healthy_packet_W,
Healthy => healthy_link_W, intermittent=> intermittent_link_W, Faulty => sig_Faulty_W_out);
CT_S: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_S, Healthy_packet => healthy_packet_S,
Healthy => healthy_link_S, intermittent=> intermittent_link_S, Faulty => sig_Faulty_S_out);
CT_L: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_L, Healthy_packet => healthy_packet_L,
Healthy => healthy_link_L, intermittent=> intermittent_link_L, Faulty => faulty_link_L);
-- all the FIFOs
FIFO_N: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_N, valid_in => valid_in_N,
read_en_N => packet_drop_order_N, read_en_E =>Grant_EN, read_en_W =>Grant_WN, read_en_S =>Grant_SN, read_en_L =>Grant_LN,
credit_out => credit_out_N, empty_out => empty_N, Data_out => FIFO_D_out_N, fault_info=> faulty_packet_N, health_info=>healthy_packet_N);
FIFO_E: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_E, valid_in => valid_in_E,
read_en_N => Grant_NE, read_en_E =>packet_drop_order_E, read_en_W =>Grant_WE, read_en_S =>Grant_SE, read_en_L =>Grant_LE,
credit_out => credit_out_E, empty_out => empty_E, Data_out => FIFO_D_out_E, fault_info=> faulty_packet_E, health_info=>healthy_packet_E);
FIFO_W: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_W, valid_in => valid_in_W,
read_en_N => Grant_NW, read_en_E =>Grant_EW, read_en_W =>packet_drop_order_W, read_en_S =>Grant_SW, read_en_L =>Grant_LW,
credit_out => credit_out_W, empty_out => empty_W, Data_out => FIFO_D_out_W, fault_info=> faulty_packet_W, health_info=>healthy_packet_W);
FIFO_S: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_S, valid_in => valid_in_S,
read_en_N => Grant_NS, read_en_E =>Grant_ES, read_en_W =>Grant_WS, read_en_S =>packet_drop_order_S, read_en_L =>Grant_LS,
credit_out => credit_out_S, empty_out => empty_S, Data_out => FIFO_D_out_S, fault_info=> faulty_packet_S, health_info=>healthy_packet_S);
FIFO_L: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_L, valid_in => valid_in_L,
read_en_N => Grant_NL, read_en_E =>Grant_EL, read_en_W =>Grant_WL, read_en_S => Grant_SL, read_en_L =>packet_drop_order_L,
credit_out => credit_out_L, empty_out => empty_L, Data_out => FIFO_D_out_L, fault_info=> faulty_packet_L, health_info=>healthy_packet_L);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
--- all the LBDRs
LBDR_N: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_N, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_N(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_N(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_N,
grant_N => '0', grant_E =>Grant_EN, grant_W => Grant_WN, grant_S=>Grant_SN, grant_L =>Grant_LN,
Req_N=> Req_NN, Req_E=>Req_NE, Req_W=>Req_NW, Req_S=>Req_NS, Req_L=>Req_NL);
LBDR_E: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_E, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_E(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_E(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_E,
grant_N => Grant_NE, grant_E =>'0', grant_W => Grant_WE, grant_S=>Grant_SE, grant_L =>Grant_LE,
Req_N=> Req_EN, Req_E=>Req_EE, Req_W=>Req_EW, Req_S=>Req_ES, Req_L=>Req_EL);
LBDR_W: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_W, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_W(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_W(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_W,
grant_N => Grant_NW, grant_E =>Grant_EW, grant_W =>'0' ,grant_S=>Grant_SW, grant_L =>Grant_LW,
Req_N=> Req_WN, Req_E=>Req_WE, Req_W=>Req_WW, Req_S=>Req_WS, Req_L=>Req_WL);
LBDR_S: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_S, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_S(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_S(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_S,
grant_N => Grant_NS, grant_E =>Grant_ES, grant_W =>Grant_WS ,grant_S=>'0', grant_L =>Grant_LS,
Req_N=> Req_SN, Req_E=>Req_SE, Req_W=>Req_SW, Req_S=>Req_SS, Req_L=>Req_SL);
LBDR_L: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_L, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_L(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_L(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_L,
grant_N => Grant_NL, grant_E =>Grant_EL, grant_W => Grant_WL,grant_S=>Grant_SL, grant_L =>'0',
Req_N=> Req_LN, Req_E=>Req_LE, Req_W=>Req_LW, Req_S=>Req_LS, Req_L=>Req_LL);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- switch allocator
allocator_unit: allocator port map ( reset => reset, clk => clk,
-- flow control
credit_in_N => credit_in_N, credit_in_E => credit_in_E, credit_in_W => credit_in_W, credit_in_S => credit_in_S, credit_in_L => credit_in_L,
-- requests from the LBDRS
req_N_N => '0', req_N_E => Req_NE, req_N_W => Req_NW, req_N_S => Req_NS, req_N_L => Req_NL,
req_E_N => Req_EN, req_E_E => '0', req_E_W => Req_EW, req_E_S => Req_ES, req_E_L => Req_EL,
req_W_N => Req_WN, req_W_E => Req_WE, req_W_W => '0', req_W_S => Req_WS, req_W_L => Req_WL,
req_S_N => Req_SN, req_S_E => Req_SE, req_S_W => Req_SW, req_S_S => '0', req_S_L => Req_SL,
req_L_N => Req_LN, req_L_E => Req_LE, req_L_W => Req_LW, req_L_S => Req_LS, req_L_L => '0',
empty_N => empty_N, empty_E => empty_E, empty_w => empty_W, empty_S => empty_S, empty_L => empty_L,
valid_N => valid_out_N, valid_E => valid_out_E, valid_W => valid_out_W, valid_S => valid_out_S, valid_L => valid_out_L,
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
grant_N_N => Grant_NN, grant_N_E => Grant_NE, grant_N_W => Grant_NW, grant_N_S => Grant_NS, grant_N_L => Grant_NL,
grant_E_N => Grant_EN, grant_E_E => Grant_EE, grant_E_W => Grant_EW, grant_E_S => Grant_ES, grant_E_L => Grant_EL,
grant_W_N => Grant_WN, grant_W_E => Grant_WE, grant_W_W => Grant_WW, grant_W_S => Grant_WS, grant_W_L => Grant_WL,
grant_S_N => Grant_SN, grant_S_E => Grant_SE, grant_S_W => Grant_SW, grant_S_S => Grant_SS, grant_S_L => Grant_SL,
grant_L_N => Grant_LN, grant_L_E => Grant_LE, grant_L_W => Grant_LW, grant_L_S => Grant_LS, grant_L_L => Grant_LL
);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the Xbar select_signals
Xbar_sel_N <= '0' & Grant_NE & Grant_NW & Grant_NS & Grant_NL;
Xbar_sel_E <= Grant_EN & '0' & Grant_EW & Grant_ES & Grant_EL;
Xbar_sel_W <= Grant_WN & Grant_WE & '0' & Grant_WS & Grant_WL;
Xbar_sel_S <= Grant_SN & Grant_SE & Grant_SW & '0' & Grant_SL;
Xbar_sel_L <= Grant_LN & Grant_LE & Grant_LW & Grant_LS & '0';
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the Xbars
XBAR_N: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_N, Data_out=> TX_N);
XBAR_E: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_E, Data_out=> TX_E);
XBAR_W: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_W, Data_out=> TX_W);
XBAR_S: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_S, Data_out=> TX_S);
XBAR_L: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_L, Data_out=> TX_L);
end;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
--use IEEE.STD_LOGIC_ARITH.ALL;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity router_credit_based_PD_C is --fault classifier plus packet-dropping
generic (
DATA_WIDTH: integer := 32;
current_address : integer := 0;
Cx_rst : integer := 10;
healthy_counter_threshold : integer := 8;
faulty_counter_threshold: integer := 2;
counter_depth: integer := 4;
NoC_size: integer := 4
);
port (
reset, clk: in std_logic;
Rxy_reconf: in std_logic_vector(7 downto 0);
Reconfig : in std_logic;
RX_N, RX_E, RX_W, RX_S, RX_L : in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
valid_in_N, valid_in_E, valid_in_W, valid_in_S, valid_in_L : in std_logic;
valid_out_N, valid_out_E, valid_out_W, valid_out_S, valid_out_L : out std_logic;
credit_out_N, credit_out_E, credit_out_W, credit_out_S, credit_out_L: out std_logic;
TX_N, TX_E, TX_W, TX_S, TX_L: out std_logic_vector (DATA_WIDTH-1 downto 0);
Faulty_N_in, Faulty_E_in, Faulty_W_in, Faulty_S_in: in std_logic;
Faulty_N_out, Faulty_E_out, Faulty_W_out, Faulty_S_out: out std_logic
);
end router_credit_based_PD_C;
architecture behavior of router_credit_based_PD_C is
COMPONENT FIFO_credit_based is
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
valid_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
credit_out: out std_logic;
empty_out: out std_logic;
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0);
fault_info, health_info: out std_logic
);
end COMPONENT;
COMPONENT counter_threshold_classifier is
generic (
counter_depth: integer := 8;
healthy_counter_threshold: integer := 4;
faulty_counter_threshold: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
faulty_packet, Healthy_packet: in std_logic;
Healthy, intermittent, Faulty:out std_logic
);
end COMPONENT;
COMPONENT allocator is
port ( reset: in std_logic;
clk: in std_logic;
-- flow control
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
req_N_N, req_N_E, req_N_W, req_N_S, req_N_L: in std_logic;
req_E_N, req_E_E, req_E_W, req_E_S, req_E_L: in std_logic;
req_W_N, req_W_E, req_W_W, req_W_S, req_W_L: in std_logic;
req_S_N, req_S_E, req_S_W, req_S_S, req_S_L: in std_logic;
req_L_N, req_L_E, req_L_W, req_L_S, req_L_L: in std_logic;
empty_N, empty_E, empty_W, empty_S, empty_L: in std_logic;
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
valid_N, valid_E, valid_W, valid_S, valid_L : out std_logic;
grant_N_N, grant_N_E, grant_N_W, grant_N_S, grant_N_L: out std_logic;
grant_E_N, grant_E_E, grant_E_W, grant_E_S, grant_E_L: out std_logic;
grant_W_N, grant_W_E, grant_W_W, grant_W_S, grant_W_L: out std_logic;
grant_S_N, grant_S_E, grant_S_W, grant_S_S, grant_S_L: out std_logic;
grant_L_N, grant_L_E, grant_L_W, grant_L_S, grant_L_L: out std_logic
);
end COMPONENT;
COMPONENT LBDR_packet_drop is
generic (
cur_addr_rst: integer := 0;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
Rxy_reconf: in std_logic_vector(7 downto 0);
Reconfig : in std_logic;
Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S: in std_logic;
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
packet_drop_order: out std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic
);
end COMPONENT;
COMPONENT XBAR is
generic (
DATA_WIDTH: integer := 32
);
port (
North_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
East_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
West_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
South_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
sel: in std_logic_vector (4 downto 0);
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end COMPONENT;
signal FIFO_D_out_N, FIFO_D_out_E, FIFO_D_out_W, FIFO_D_out_S, FIFO_D_out_L: std_logic_vector(DATA_WIDTH-1 downto 0);
-- Grant_XY : Grant signal generated from Arbiter for output X connected to FIFO of input Y
signal Grant_NN, Grant_NE, Grant_NW, Grant_NS, Grant_NL: std_logic;
signal Grant_EN, Grant_EE, Grant_EW, Grant_ES, Grant_EL: std_logic;
signal Grant_WN, Grant_WE, Grant_WW, Grant_WS, Grant_WL: std_logic;
signal Grant_SN, Grant_SE, Grant_SW, Grant_SS, Grant_SL: std_logic;
signal Grant_LN, Grant_LE, Grant_LW, Grant_LS, Grant_LL: std_logic;
signal Req_NN, Req_EN, Req_WN, Req_SN, Req_LN: std_logic;
signal Req_NE, Req_EE, Req_WE, Req_SE, Req_LE: std_logic;
signal Req_NW, Req_EW, Req_WW, Req_SW, Req_LW: std_logic;
signal Req_NS, Req_ES, Req_WS, Req_SS, Req_LS: std_logic;
signal Req_NL, Req_EL, Req_WL, Req_SL, Req_LL: std_logic;
signal empty_N, empty_E, empty_W, empty_S, empty_L: std_logic;
signal Xbar_sel_N, Xbar_sel_E, Xbar_sel_W, Xbar_sel_S, Xbar_sel_L: std_logic_vector(4 downto 0);
signal faulty_packet_N, faulty_packet_E, faulty_packet_W, faulty_packet_S, faulty_packet_L: std_logic;
signal healthy_packet_N, healthy_packet_E, healthy_packet_W, healthy_packet_S, healthy_packet_L: std_logic;
signal packet_drop_order_N, packet_drop_order_E, packet_drop_order_W, packet_drop_order_S, packet_drop_order_L: std_logic;
signal healthy_link_N, healthy_link_E, healthy_link_W, healthy_link_S, healthy_link_L: std_logic;
signal sig_Faulty_N_out, sig_Faulty_E_out, sig_Faulty_W_out, sig_Faulty_S_out, faulty_link_L: std_logic;
signal intermittent_link_N, intermittent_link_E, intermittent_link_W, intermittent_link_S, intermittent_link_L: std_logic;
begin
Faulty_N_out <= sig_Faulty_N_out;
Faulty_E_out <= sig_Faulty_E_out;
Faulty_W_out <= sig_Faulty_W_out;
Faulty_S_out <= sig_Faulty_S_out;
-- all the counter_threshold modules
CT_N: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_N, Healthy_packet => healthy_packet_N,
Healthy => healthy_link_N, intermittent=> intermittent_link_N, Faulty => sig_Faulty_N_out);
CT_E: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_E, Healthy_packet => healthy_packet_E,
Healthy => healthy_link_E, intermittent=> intermittent_link_E, Faulty => sig_Faulty_E_out);
CT_W: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_W, Healthy_packet => healthy_packet_W,
Healthy => healthy_link_W, intermittent=> intermittent_link_W, Faulty => sig_Faulty_W_out);
CT_S: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_S, Healthy_packet => healthy_packet_S,
Healthy => healthy_link_S, intermittent=> intermittent_link_S, Faulty => sig_Faulty_S_out);
CT_L: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold)
port map(reset => reset, clk => clk, faulty_packet => faulty_packet_L, Healthy_packet => healthy_packet_L,
Healthy => healthy_link_L, intermittent=> intermittent_link_L, Faulty => faulty_link_L);
-- all the FIFOs
FIFO_N: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_N, valid_in => valid_in_N,
read_en_N => packet_drop_order_N, read_en_E =>Grant_EN, read_en_W =>Grant_WN, read_en_S =>Grant_SN, read_en_L =>Grant_LN,
credit_out => credit_out_N, empty_out => empty_N, Data_out => FIFO_D_out_N, fault_info=> faulty_packet_N, health_info=>healthy_packet_N);
FIFO_E: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_E, valid_in => valid_in_E,
read_en_N => Grant_NE, read_en_E =>packet_drop_order_E, read_en_W =>Grant_WE, read_en_S =>Grant_SE, read_en_L =>Grant_LE,
credit_out => credit_out_E, empty_out => empty_E, Data_out => FIFO_D_out_E, fault_info=> faulty_packet_E, health_info=>healthy_packet_E);
FIFO_W: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_W, valid_in => valid_in_W,
read_en_N => Grant_NW, read_en_E =>Grant_EW, read_en_W =>packet_drop_order_W, read_en_S =>Grant_SW, read_en_L =>Grant_LW,
credit_out => credit_out_W, empty_out => empty_W, Data_out => FIFO_D_out_W, fault_info=> faulty_packet_W, health_info=>healthy_packet_W);
FIFO_S: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_S, valid_in => valid_in_S,
read_en_N => Grant_NS, read_en_E =>Grant_ES, read_en_W =>Grant_WS, read_en_S =>packet_drop_order_S, read_en_L =>Grant_LS,
credit_out => credit_out_S, empty_out => empty_S, Data_out => FIFO_D_out_S, fault_info=> faulty_packet_S, health_info=>healthy_packet_S);
FIFO_L: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_L, valid_in => valid_in_L,
read_en_N => Grant_NL, read_en_E =>Grant_EL, read_en_W =>Grant_WL, read_en_S => Grant_SL, read_en_L =>packet_drop_order_L,
credit_out => credit_out_L, empty_out => empty_L, Data_out => FIFO_D_out_L, fault_info=> faulty_packet_L, health_info=>healthy_packet_L);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
--- all the LBDRs
LBDR_N: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_N, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_N(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_N(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_N,
grant_N => '0', grant_E =>Grant_EN, grant_W => Grant_WN, grant_S=>Grant_SN, grant_L =>Grant_LN,
Req_N=> Req_NN, Req_E=>Req_NE, Req_W=>Req_NW, Req_S=>Req_NS, Req_L=>Req_NL);
LBDR_E: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_E, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_E(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_E(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_E,
grant_N => Grant_NE, grant_E =>'0', grant_W => Grant_WE, grant_S=>Grant_SE, grant_L =>Grant_LE,
Req_N=> Req_EN, Req_E=>Req_EE, Req_W=>Req_EW, Req_S=>Req_ES, Req_L=>Req_EL);
LBDR_W: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_W, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_W(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_W(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_W,
grant_N => Grant_NW, grant_E =>Grant_EW, grant_W =>'0' ,grant_S=>Grant_SW, grant_L =>Grant_LW,
Req_N=> Req_WN, Req_E=>Req_WE, Req_W=>Req_WW, Req_S=>Req_WS, Req_L=>Req_WL);
LBDR_S: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_S, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_S(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_S(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_S,
grant_N => Grant_NS, grant_E =>Grant_ES, grant_W =>Grant_WS ,grant_S=>'0', grant_L =>Grant_LS,
Req_N=> Req_SN, Req_E=>Req_SE, Req_W=>Req_SW, Req_S=>Req_SS, Req_L=>Req_SL);
LBDR_L: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_L, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig,
Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in,
flit_type => FIFO_D_out_L(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_L(NoC_size downto 1) ,
packet_drop_order => packet_drop_order_L,
grant_N => Grant_NL, grant_E =>Grant_EL, grant_W => Grant_WL,grant_S=>Grant_SL, grant_L =>'0',
Req_N=> Req_LN, Req_E=>Req_LE, Req_W=>Req_LW, Req_S=>Req_LS, Req_L=>Req_LL);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- switch allocator
allocator_unit: allocator port map ( reset => reset, clk => clk,
-- flow control
credit_in_N => credit_in_N, credit_in_E => credit_in_E, credit_in_W => credit_in_W, credit_in_S => credit_in_S, credit_in_L => credit_in_L,
-- requests from the LBDRS
req_N_N => '0', req_N_E => Req_NE, req_N_W => Req_NW, req_N_S => Req_NS, req_N_L => Req_NL,
req_E_N => Req_EN, req_E_E => '0', req_E_W => Req_EW, req_E_S => Req_ES, req_E_L => Req_EL,
req_W_N => Req_WN, req_W_E => Req_WE, req_W_W => '0', req_W_S => Req_WS, req_W_L => Req_WL,
req_S_N => Req_SN, req_S_E => Req_SE, req_S_W => Req_SW, req_S_S => '0', req_S_L => Req_SL,
req_L_N => Req_LN, req_L_E => Req_LE, req_L_W => Req_LW, req_L_S => Req_LS, req_L_L => '0',
empty_N => empty_N, empty_E => empty_E, empty_w => empty_W, empty_S => empty_S, empty_L => empty_L,
valid_N => valid_out_N, valid_E => valid_out_E, valid_W => valid_out_W, valid_S => valid_out_S, valid_L => valid_out_L,
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
grant_N_N => Grant_NN, grant_N_E => Grant_NE, grant_N_W => Grant_NW, grant_N_S => Grant_NS, grant_N_L => Grant_NL,
grant_E_N => Grant_EN, grant_E_E => Grant_EE, grant_E_W => Grant_EW, grant_E_S => Grant_ES, grant_E_L => Grant_EL,
grant_W_N => Grant_WN, grant_W_E => Grant_WE, grant_W_W => Grant_WW, grant_W_S => Grant_WS, grant_W_L => Grant_WL,
grant_S_N => Grant_SN, grant_S_E => Grant_SE, grant_S_W => Grant_SW, grant_S_S => Grant_SS, grant_S_L => Grant_SL,
grant_L_N => Grant_LN, grant_L_E => Grant_LE, grant_L_W => Grant_LW, grant_L_S => Grant_LS, grant_L_L => Grant_LL
);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the Xbar select_signals
Xbar_sel_N <= '0' & Grant_NE & Grant_NW & Grant_NS & Grant_NL;
Xbar_sel_E <= Grant_EN & '0' & Grant_EW & Grant_ES & Grant_EL;
Xbar_sel_W <= Grant_WN & Grant_WE & '0' & Grant_WS & Grant_WL;
Xbar_sel_S <= Grant_SN & Grant_SE & Grant_SW & '0' & Grant_SL;
Xbar_sel_L <= Grant_LN & Grant_LE & Grant_LW & Grant_LS & '0';
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the Xbars
XBAR_N: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_N, Data_out=> TX_N);
XBAR_E: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_E, Data_out=> TX_E);
XBAR_W: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_W, Data_out=> TX_W);
XBAR_S: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_S, Data_out=> TX_S);
XBAR_L: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_L, Data_out=> TX_L);
end;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SQ5LyM4dK1reQqvCDb3TuDFsCJa9lVK0E9ZZZHefWAD6CPW6d+FLCTpppmEBEichnG6jKn3T6/cR
jq6SvH4X5w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kLCqjkTgZWNm8IUbdM3O/smmr/EZVX5LoSs/YDxamXKyIyz+TCoY6cHQEIUPcVMiUQ8sYnysBT/f
s6iO543qZJzxuFOo+Hojw3GvPpqT18YQa85CNrzOsTLnJbRuNMQp4Lfvk7RY9gDjLW51urtuESYw
BgVIQhUz/URqo7S775o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wtvy5ZPF+/A+B9nuESoFmb888ITkwkdMt2fy+LSzCpn8OMd6XbuWvtDeNbCdpSW+5jSDS/sjRPfO
W119m3KFfpbvYx9O93EufvYF3KgT4fe/21vfuuh68SQHjtX8zUtrAEUm44KzWxB+t3MoO9107Ew/
G6xejFb19dOWUkctSDEx1v6Y0qTQWv2Eyt+7lA2cQn4R3GK60gADFEIid6xnZnUBw3w3OTew1zAG
PIzu4bnO3o0bi7pqqIL5omWpvDTuX0IHNOwPCW7KjfuGJ5+BwH4+/5XwysG3y46U6Cqi64XvZfS+
K5SmSCzx9m17TWziNMs78hiwce3ZRBfnfulTVQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yFTZfAuMilX6YNchGd6m5kCL31VTRXz6fQ+JhzvWy+MC1TolVvXj0nG8hcN3egKq1yKxkje/Zx7O
zwlsTe2yRvyJ5HbPESp0hQIZ42UD1ZiME54QbrY1b9/a2yhvr79MVTGaOsyQFRtErvfYmdGy8j8h
5WOpQgf1Oosr6AzZXZo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tZrhVfjRZRtWo4jLOxhS76+MM7Mcv3I8OzWTx9Re7L+ZTiFBYI/whVan5DnxI80BBSnWRc7bkz6u
nl8QdzkPQQokzP/2OC6yp66Fi61uGl0IJCy7utAkp03oYO0Q0FeQ8OSe0wmCVLgU8XehH/ZJUgq8
Uj+cwaWKilGbf5TQbVYaLEzvnunNDgr8Ly36sgacQUXEysO4Y5afans5+aTjfmzMI7WzBOwF/hbZ
KJgR9N678b68YEfovNOPJTQyBZ5IcXpFI5VQ3QyyVctLo1fILAIJLdyFi0EnSkew1MtIhUpwHddQ
2IbwNpRqf2cGIbD+Svn4Jls8Ljma6vhF7a/QDQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20912)
`protect data_block
qyt0PiW51flrRYERB0vJU5eYlyGy6sg7cYv2ihDEbCYd8ep1ZDZhHsP4/TLm6RGfufd86A5M4lPA
O6HUiVDms6NYMEQCPzXB1apqlu85N8cZcRgPIeM73/vc3PqpzjoCwb3sMQxZ00T9gWZ7/oVNeoEY
bxfJvIhgvEq3QdniOteaxn8YMnuBJEVTrRqEvTtOgfHRQ0HQyd5rjPQuNmGg/nt8g2Vqs2EmqFVJ
FoSzEGEpd3r0pEkgrpQInwO4jDLNZaYcj+mtTUTBi5GnKMY3yt35mucmNmb+d1jVbACk9NMO0SnN
X5NoxsbuzcyjKSl4B8J7PE7vd/9tGcA4D1y1MinOPnCnbRLG7r4rnTaUrVB42RZOyTp1UKUCF0M4
dD7TQ523C6ttoCPzPIWJlbcHA2l0O1d19sqqXsHHY4BrtjHTcLZyR/sPvicxAhsnQis8O7W3DLIJ
BqEQ09L1NjKwg44Uxm0H/FM9I0JGdQFo54fIFTQvc3tlYIyd7VE68Km/z/mFNo1u3a1NosqEW3Md
bNstd8wog6N1eZR0xQVQWDf+Rtdn91mgbbdCERMTu2Y1WwB8pNoDZ22micwJ4v4tvAgHufVUla56
MJBSgWVBc9pTMR6Ar9MLBGERWCDN0XHUM8u+BhVQy01ve2kz/R7anLX+j8Dg7yLlTjyYs0+yuHbj
uSCLwk21+mWEXxo3LakDE96eQdjsUCuUBwkMQxC/CNulIXGHXR2Amx2rleIUJEWWJVnjnSOZnEwj
vM1V8ut9j0gymKHADghq5mRhZrpKAiHu9W2QMFEHPy1U5+WkagGU9FytvI6x+5GtS7QMdb5tRw66
wYH0iIRWlBV3GXoo5FSYiFaypOMcT77fi/njAhUnnUnCIKPbkMMeq/GhLuGIaDE24gYAhMMPYXsu
PU6BT8/Q+L78SYfJpSQNEJ9IwvnqGRqz6+62keo0CRk5lwPehQC5tcsTCQfTyMesz7TpzWMQFqc8
uABOkRSM10b2etH0jRgIRigkPKaM8XPWE8eKn7TM7s86o2G8B6w40DV007r//1Ak0uE1XQi/0dwS
o6xml6TTZhbk95pmAmUkIplHx3fQAxUsK71BHi9qO4glIP/yebWuU6oPnFKvEZeh1eDKOaYrA88C
TSETKQa/6LJkWcm3YenLLZrJ7QpGl3z/wQTPrh3PUFPpcQPtkL9mN8HdtnEh3OP6ETJV8G2VAqba
cb/Pjhl6Skv0MGCryB7M4HV0lZg3Di/MKC0Hd7z75rBI0vGQELukEc2/dEF0GYt3BAkNdWAbrfRB
85mhrBXYMyvw+QI6ARu4YJ2bdmryWCiJZnHX3MVmJz/9oR8mYaDAIYU/hciGT+7CLW7PEqWZNvtk
XCYw/fyMWLqSv6UQBg9eYdpDcoSoHRQ2MfyiE6Dms7KiQBEPgzPINJc2qRM2yC5OBOGENU4iTs6K
FR7Y5KthTaKFgeyAhQtRlEknxPggvtipSdh8AagRkhsktoImsbV1yJyD6dCn+VdS/uScPQagVBGa
bUAA0EHQKeWkmYz2IpFizLbu1ET2Mx7jf7J/EfANP1r60Z6SqyToUyLCYooE4eBrrO2dd+zHHFjx
ZX7qzas5ecT4jE3j7XhcsZgTnl+1HqVYxLl+rtz7k553Sw5gpbOCjTSdNJL3QPa90cCT/0rvOZqv
1d/LZuO0qYKFAwuoGCIIgO3Xv4jDANsAy/iHR0kEG6csoa5aTd92yYXwBGTcMgNXpaJy6A+M+TC/
H2iGIxqYsx7ftjmpNamEWKZ2jaR8mEtnRD9V5Pnd/7CtX8jGl1v1FP3U8avA5UsMhXLq03Vl7S3b
K0rl4906l2kHdAIdBY5+baMokfBVhkYoCZogwj/5ZBjd95RK+IVuKei1nLWEqSTI1Er3gXUq+0Uo
ACtH3U9g4qmylGQ0qHPBiHMO2IPoEhdwMCWwv5kGSQLQ669bHT5PXj4R7fiZpk7YqEjrGML4YY08
M0gNVinYWiJn09u2Te3safKHuoxFNI7QeBQ89QgFMfBkvIBPoxk65FDFbrXtkD3ndWOq2nvBCB9g
1/n0wau4p6W/P4Ym0C7iSeZ8kacbXfS20mMsmosQSoEzs1BtGrIChZYbpN5Qy9uxbiLusVxlRu2f
uyf2+i1LRyRBbmkyJsTEVtBE31npdUcr7C3l7CxuQu0uQWUvW/yqF3dbllUw+hWG6zv65nTY1UxK
dSWPC5tPtIiAzP7UGuPnJrQ1Q4Axb6C/0Rn2LEz9vdQ72+ogxs9rnBbdkTBoOGTuDDDoKPUVO9qw
EHYOMo2Dq4ybzq86qcXm2cwhPMLqeIolctjwWPvzWAP4/aqgF2wEPvyj6j8e+EWFfyBEhjbI7axo
rO9ELkXgc0m6V1YYEVaQhslD0W6Snf4jxftHERyvtk0kwBgXBIEQWJlbB7C9KbgVTbQUxivdDb7f
YDKAwfjL/bhAb9dsmgQmBzDpKvW7AGH42BBWm+YPx4dzQblpAo97a1lNOsFxst6wAIEBbbyQM3Pq
Rh+YUT6IthZai8YTbLPLtjgKPq3I2mZ6T0VuhTMBdWUzEEixGI21+oX+wC/EKdTZXxyoANY8uqZ+
ikbwwo5v9QO0er5/xbsuddFBH0qv/cQagvyAUcCiroPtSSX4Z+qC4JqtuSQJMgToGtwycFVKAXcI
5mk+4ZGN2x9zqlvv40ZtgaLTeR6dWUerLZN3raIwnEYweL1bDe407KzcJalYvMp78HYBpBfmGoqZ
NJW8R0bWP/xqOgk3d/kBUP80aY49mZtYo5IvwyxnZTwzOWtXqgw2y5QBPeL9mrQloXt8YuEtdfjx
M8So9R3bLWYyxAT0QyJABc3bhZc3gjfUJ5YfDaqcbouosOqUTH1Xo2B/Mle3meGk5m6u6FTE+0zS
WdrVpga9pw9AQVvkZerevuRWQgMqQFyxgrvbRain8VW1AnlUX3PhywrFNPmBrQDHGcppDabeuVvx
DqXK/ze3XhzSiW0J25XVt4awPNpizj5TJOd/k5MNX3h+F/q1bMn8wW1lUUWjUUcSy0/wh99+SVo8
NSOydUNLA1f1Hpa1zCuJrb6306vxYdZ5xU0CpLLtNPRX2/LL4S/WrpqPyYrNj/1vuVxCMvB6/77d
dJaPdE8jHH7IsvMYPqRgf8DbxpPJL7werPMT5fUmsI6B+xEa912qy6FPKi7hkzd3PVRJCxK7ZZjD
eXlYLhcG9ioLwqxmM/r2g9r93J47b1BC9xCopNoY9zCkyJb4UF5mT3OzdOR9yHZwQIEKT+CU8ZY9
HojmQ7dJih0+WSHMW2XLo0sNrOatBduC5jhY68gSSwxFFxCE3WSvOaVx9Rf/MoQVid8EiKeq47bN
iPLAqRcOgazedLDRJPls2kHXx+ibaCkbzCL9cnU16mSH38Uk3WvCxillMj9WquwnzsIf14ZLHSgj
jgmLGgxU+VQJImXKRKUbCMvm640OtmP5uYzEe2sGpWZSUAYIuAw0733OkfdsX5TBogETUPif/w+F
2Acn1a6kC7pZvsSxh3x+ju7SM4iFTy2bMq1RLb6dgqWdB6vUkO99D6lPBfTkMIoeFuffZW28//L5
GfLo7Il9ntMz5qNHlSxHWKXaEOXyRp+APrJ2nJOcwTF+XRshd7kuR11TTr1L8vZlMFVbehu3IFq1
cswp+7O7Zf1/hfZoCRlQbeCHeIMEqbom0m84wqASaI9OaEq4PKk6/QQiwhv/PWKxrEHEI70bbDIO
qLzTMyH3kjTBcA86WhYuP1ScMabmglMIcNsPQu5hWXHUscLbeX1mMg8733cxRdy+l6AYP/US40xz
Z0Tcf1JAtLB5149rorz9YeILpDTymMaiFtI7mjydwBfrPpVaj57q9qb8xGfZ+1fGa0l9BwKH4FbS
ugjY5Rz6d11HgHLOHB0999195mnUbIwf5kSAPc97UIc1YU65R5WfWrHD0wDm5+odwvaP9FiBokU4
FOPY3fIRARU2HdyRMdF936ObvmeKYTyW7x1CjnYq98JBmT5aqfnZMfbHsdWUzyMuFFSVc3JlutEu
BlVS76xEbs1mgRG2Hx97j1xUlTEyq4uFLcakErVACNc3BhUHiQ3uy7VTTO4zhBoWnwCNLm6UGeGq
JLx6dS9GoonYN0WJziwxe1Zyte4BCWE0kbqtH2XkeOPwhfhS//7uHsu3LDlger5EDwCUn+rmw4W3
cUdFOSCtlXjAN9Wjyo80NG6u84NzhY52MPNTk0G/Z2w6WkKtmGsDxZXvpJ5GUlsEiq3vQCK2FFcu
JKPp1KN1HfxBI+7VSU3ba2o7q0ufTBkmsVLUNM3PBQCqz6oay2SfTUzHtO5M8qQeoFKTr29sLjc+
UVjszDGx1uJMw+8YtbTAagDJTWaQIqIlIltM9zT/Q9WIdP8SP7Px0MHxQfRbLbfYgur/OVGuXpgF
xz41ut3lQJBOxu56IQpIbDw9jI72xRrDN2LPXFbF2L/ey7yY7V7zqn7aCXN3uyvnZIxyfVKQSUI0
x3Q9TFNeBDk4pWmZFmBJDZeTZbEV6HQVLKzGNn+cQwQGfwfp2bAbPSrku+u7aZRY4O0w6/quv0Pg
f0FVqYYRZNwLkdHUcztx5ofPqoICAqd4HgcQvTHra1NXmtIw/af30toa/6A0UAZPGgy+VaDSMU/E
wMtysg+Vo8i2CxvODGgR0sI4JUixFWs6tj3hiP/MyhRo810yBwNYI24K88M4el5ZUyuc+64GNaSh
ijv+RFa5tEiVd+4FDtKV4FwIFQJPJ3tWemP/eGG/IJpnYiQzsQrWX5ZMQCpa7WIxc3CjpHNfcJB6
I3eI8pHnwigniFYCNyAq4qRQjxDfprrp1qxgg94mECuOjc5ROMsgxH3lqUGEJTmGjensAknLYbOc
xdP4O9nm9DwA29732NITG1GzwULIONEj2XoZaLWHcOp0QQ9R9mGAf723+nSAOJGGfkMARtqDPl3q
2Z7jJzkf6adm9JCckzUse/9c4NsVCkJlmiOylm/HktkKQELfZ8YHF5xEcxNJqD6HpBMyxFpkHpjI
vdeGkrteTMCkHZTVs+w8VJgZA9kiaxPapQNeW1iPPJcmHSAeX2c8+PBShnPFQ9/PdjokZwTKbSo7
z9wRLFcsQ5mxx23VJFMyBd8s7kay1J8U6YpD6E0z2G+iDIALFwqo9DOvSdS0QnQ3dS3+USqyrXj3
h1zj80I0fR7o5x/PSKPgUojA/TA7U5gk10Rr8GZs5kGDU8cuXYopB3NLG6Gqd60ymjKguSVqeAy4
PZf2z6vPaIoH6UWH7PAETHwhZy5DWOz1uiyt+84gwZzC/lwakDXLN7McjrY/qKs0ybrjeG4nid68
BCNYAzvE+Bx/HFyIjSOweOdCO82wq2qNpV3WhR0IkqJW7yhsBVHmxCptm/Odm0OZ4vpXMgh8P0I+
i5PomzTvULUq5ygMLZgvbo3r0U3ydVc4fZZ0b9V4YShzFTwC7o+Nc1Xmr1dVfVwgqHHMi2hy3MGA
I4zqY7jaywBfFn+r+mHbECq6wtrSpna3rIGlW/l+12jSt/H2+/nSPufUmBFpDWwCZ0muCKGvdpXH
Gkg3rizJwsSpeUttNN4NK4VVEDtcykgg09H0lf8lnG3K2cX8W+0RjWpOFgAmHRj1+yjEzKMlgK/F
GOrtuLqlIr3brLZaZmR72z0/qtFGvSGUKghiMETEk3uC7F+S3vbK9jfsycqlNCgDTRLz4x26/iBN
BPXDzqkYBxv/HW/jNjWbBUuXYmTcNY8S3GJHb4538p0dpccd7i3qgOhUQugtNSIQr/ZEncmbLZq2
G26cOyrsvgBukiHGLtxAKFWE/APbNHTgaPLaWWaez6v3kv+vCICaiIO6mQP+F1ZTA1igLEV6nTX6
t2HjWMHPm3uJDq+GwjbULtUYfbZwl0K+j1IfP2dAT/uyqx8YbFN3SfMABRivySYRC8CZIjGGGTGG
oVnU8YSwCNreFnnzw/rLGgrZTCsIDTdllTtDsISECmcxRUhUkym6LBPtbXGOj7OmFM9nFfdxxlbf
xWHD5hL1pFZnGro8ezOg5bimraRek/1q91/LeE22xwIuIFP816n/MCsxCW8ARqh/fum4Jv07RfUK
zasSeTI1MM0+x7v8b/FjFoIBVU+tVu0HC8NY/H5SbV+bJP7WUwxJ9LS11WoRp/2+3uuNG5SOA5bC
5Upq7Ydzptt4zFElkOsMhAG7VAbx4o1RDqfu2lpABLUcTszZ5OCRMinkasFoXpdmbTQIoA4GZRdF
nsrS0TWbSrV7DiQV66UcHy8hxUHRxBuu6iaoEMD089RL+qvGk9U6Qj0Cl41TM9aB0zo2WFzJUNC2
inxqYRau1rJy38cnRPfoZI1ygsF22k8bokJwUj9OLuz862vHqRMVbLDdKgxxs4BInD6Ok/wbw2jR
qXHgSOWP+Eeq28gbx+jY8d5lwcBgJDe8eeyoqY6LPZ0LtJ98Vx5mt8iVLZjapgDYPVnHhCbjsjXG
Fq0pflFd44OS39i+qjG3waUeEka6zCqFuTv52F0n1cZdhJhk1lBYRPtptpnXPg1iKCuugx1i2iao
OjOCwRuc4FQbsd9qHv1yr/iabauja2lFIlNH2CJYngKcjWERYP63Fw7kkM1AuylXI3oA3WqkM37c
RKpJ+ovqvi4gxxMqF5iiyrts+F56nnQXJ8051/5qizZrQDgHjHhJsnO/lWc1UhxNobZC5K2QxuS4
17p0jfZrBscB5fcAcHiU5lqqu7EMeA0mB99hb3+r8QyHJp5XW2WYE5jh1FcGt/oBDv1jBbMyPNo8
EoXjSoIlfKXFXm5g3pNVJfnnUbO9cumBM+IG/HwabCSPWXpXdTS8GOshx57cqLNVqzWbZJTTsUJo
PwFRHi8wVivPOeXwe7FMt3ldueS3/77Jlt6CGQqP/OsmWZc8N4kzeVsls46hp6ScfWd2/Wk43+f4
Rpp2Gac/fFBNhHK5+cpGzp955TuyXPws4u+4XqmDIkoihw1PdHValwmMDO1/dYhYExfZNRNIiSFx
R9MgDStO7/ChlaVZR2EG3XI1XyFIoXPP9jRmRFWtDES4eBkoLjDIu1jZlFtxlaP9+nuD3iD7KRoL
dCXo03zMswdtG7zFtPjFNm4qASHqg5ybhHu+fpeNvJNRCN/BRz8Je9gOQ+OjN8YlGj7xc182qG05
tpeefwVD1lWRXkFBdz9PVKOGWANjX+vdnT9ghGa1mP7QGsZbpMBLZTu754Uj1OFXYhTuET5aIyts
Zjc7Cf+GVc6aAzDbPT9e+9sX2Ao3gh3HWhjuWNXZL3IsvLEcdKVGybbFbLsHzAEqsH1H51WuFPnM
gFGmWxRqOwCpW/DSv7kYE41w4Vx+OK4edATJouDT0sj7syQGRtRT50MjTRyWn/uvAz1YdScF6dgm
YypmoYmd10GbqrH9t8eWQljFMNkBzAr7lwqq6rKTEINn14SOZbVwja4H5SFfWHqdX7eqhTp28iAB
EqMOP3zsHp1hyeYPvp33cszPbnhX9il+WjkH27eCt+mDugz+ZTvydUoDwK1JhfOB90WTXtrmpoRF
2fmoDRRtP9VCsnC5BRFEXXD9cB1n26pkQ4apLv9CKVq/OD3srJDLsZz4XCa76C2Gy82s5uABBb20
cLq3bLUnSUu3KL4m1RAcOUUGzgyAVWDb1COgZzTNnx1fMJB4el5Tn8qfI1qRSWKPDGEuuBhCSmUI
leo+KqM+98ApRkl0ys4NwsWDOuXicDfH6u6IzdzGKNuLF4Hc/Ui4JSsttHdkt8Fs+6ADagrqRdy2
AEx+f8IPj5IzeAbzsScwLLchcaEondY1/BRkxLpK2EHgpe5c5QJZZJ0C2hENhv4vwo8qUh4O19/e
jio9h0deK6ajKpuudv6TEZ+lzq/Md4hUOA3tz0KJpC+uYrvknHxCvIj0f1i8ZSx7ytHxHLSXojv/
1lVJhCv+JQoui3ShsXvsRGnn05Ik5PDvQHaNLDrwXqr1m2FK4W6l0RkA7H4bnqXVN2+SIiQz1V3X
Ir+wJ6j79ERLFAClWDN8HsvMPthThaWedsEOBFGHz4DHzyvpIhsn41Pv98NIwKYSdKxj5DToxTDI
wwmNteiE/z/11tW7+6y5j7tAG4zVzmYnr0p3x5Amea1+pEhq54XcmRMiY0ni8OLNjhPqJDGhDo/0
+naeLs5XsIptiAX+ADKfP4bswmMtETUVl5o1r4diKptLuqhYHqNl3Cgf4bnHNWWwMlxtiaI2ngxy
LotzQRMmHHhxapEO1kvFxT8cmjSQNJZnkNoyNxVqEENVBV/Wb6nqk+SHFk+Em+AePk136Y923BCW
MJoXdqZ15KerPuw1pVxtGmJaWMW085y7KBKn972uRjHBxmr6TriUgpVe0jKXieoDH1ka1UV+jWl3
5xf0ihDcz1myOWBol+bRHEM0VTdRaRosCXOUQfXgn59vOKjgqt/DIHViztU/UIkQHImcu0t40k/j
bCk7RZFPf4c92yFdIOpg83oiXd4l76/44QmWw3HMFGk+ySV/nOG7l9gTFB7lGC5G4odej2q5LBOo
mJv5NjHi8k3tg5ooxlRCE36po8EEgsl9aZ7/OhG1G9k3UlldsLYzCkdhZCxvXkQvPRS3zu+N9JPj
suS8B7UlsU0cf+Ja7NbG3ESIffhM/grHgw9AYyms1n8crBuArOU1PhKwR7NZh2LhvAGQ1S3IeT91
cuO/9WlPoTngznuEtzWvK7wnQ3pQ+PSQivscOMv1KHmeQwXuQewcfYZdFLQ+d6uiYquHOsAELv+q
kyIF8E97/XBYk/bCg/aMXl0puPDNFkzpI83u+jBHD56a+Bmsbsz4VNTQKyeK9bJoGLfbznyJ0Chi
j5bFPeuIzlGNt3+ixlu7DZ2jFV03IAoFKEuNlGuhmdjBK4gJRRtucZQBhEFsdGfugOflTMus0Djd
Iy6lQqogASS8USNkTbVsr2MrXZComHUUdsk5Zr7AAeLCFObbiqwiJpnY5oqGXmqFCCOJCvKp7cnL
6TgT16d1A1gjRzPVnKwHZsYI4bMqIKtHY57thRYb80LUHEmdBl/6fw9mC3sGOoYLeHeuqTL7srEu
nVfEiay3l9eYXo/uEKaNaYJbzJYtEsTX3olCmUn41x/DTqhSfyQB7gKAwj2anccbg1hNkQTao1tb
sLThT/2fAnqb6vKxoPK2kv3pvy0gw1OPf61coLXFGWRTas/wRbdjoJADvqe/I7jvd4cP4wrwagkN
pC/5/9Ulcu0S+7SOflg270H6YSMtwFusMRbgWicOnM1gWR5DOO2XVtKi/Ahb0IGeEW30d+MBg366
7lbqMIGzGtKjfLYSwP+q140h/dZuKPsQNo5ELQu0uIK+LW02KVi66cBUvc1+ysDmOyzu08EtLb9n
hEgjHGsZaNd6UyR9tAT8trj7dOVJjebDluVQz3N9F9zYDQB+THiW9MozFNSo5xswUgaQreBDHujo
VvwoSFc0zWcfp35G+fFrhV6eTivNhZLzUkHa5m3F2ZPsftbEqKlNxEIcqQrcsu8TRpkAoca7ATDS
O4b2SmyLHozNRknm5yLQlYUxN5nvRvsYQCMOcykSVstmgavY6YHqh1wEs4TF1Uvz/o6ldhy3rMM0
trJ2RJ1Y9kx9aozEYwq1Zd8TaD/HaO+3q5dzCKDbu4fV1WSyi5fk48SHKjeKbOERoAlCCG8UbesO
uQ7M7arv4GkRF8MiipBK02T6O5cao8vcOec81XEbuv+T4u69ArIm7lZ9hAtPOcbQRpJFNsQJNXjY
SMLY3LmQoXg1HDi6L/WvWUCnIO+JNMObmX9FEa1BNrPXNyFNBpO8GzmGsb5t/+OWc8MbPzB49Zia
jEwy+6uRkJdnGF74/l8vuJcSF4unmyUW+H6br6nOKeX2IubhiuOugTsEAzwwo3kyfeeYLS8JWNjd
OnFToUJ/GUebPiixkxtS5xWjyfSvOmHqOfYjYBsKf5Rx8bXU2fTSjS7kCQJa9N7tASIRaOpZsQj/
Xi1RGY1KZXRhxqxPzv5teoY0AOnY+DaehjR1Rue9K5Eo/V/UXpCLc5y6d5CHYaCPKhjIXvUWAcos
Pzr/Cunz0uGgyTFetaZTbk+qEMRV3yqjUElC10GHH4VJ+uMKkbm+Wl1A5C2ZbD16tcJGaY60JPj+
ae6dizLe5Zi9CecnW4aCmiT5JCk0IJ1/A5BO5JubSkDl6CFTOs3XEP4Qb3NEdJTH4YsyBerasAIQ
kYQzPAXtu7TW9vEWw1k4AAZvQjHzVKM8vbj2UX/PuY09Ah5onhsg2PJz52S1GpBKz/MpXykiVxJ7
p4vPPqrguhWNfls3kiBAEztGQor4i/VdtVIZq7u3v/mU8Bkdf2sqAir6wbPzVbog7LaM6shFFCxL
A6ZSU95j5erjPWZX0execl0+tXBy81HL3HNcsxNMnNTEe7zmM6zROHHC0/qledSQhhEF9VSPy2AM
cSHU/Dcqn+XrgKcszEW9YsaCQGJOGvlvJnodGx0efzP1o3pMiI986aB0mim7SFB+5ZKMtVh1q2Qz
D2yv8yfGxFE4fYq1iSfmnwWzrhvfAAXYaI2ICNE7YtiQUstjGwRLMHKeoY/5KlZsfJ+t1dkzZtPe
XCXxazqCxo7va6QzS3ECyDg9u8t3ooC40rHar7eA5H1a4cpt3OPS2M2xyMGxlGIQGVRcv/8/2OfC
8mD9lWMhS2xWt19MWNXOjRwtbaKegngOqxbCSZG2gOS/dUweuvY/P4sW5jC7l9Sd/WCWDKNsFGM8
DtCWD//DIowlcJk7Lyfq6vmUqmCIOPtBZEUtIsEiwXTL3l+GA5Lz/f3PR5YoKLzW3B2E1r28O7ro
79vN/+258JiA5lleZyvPaYL2q/eBrJsEbyEMGc79J8dwuhY5pLKUW2NFDGUUHi5KWqBIdk/6WaM/
MnityoUX1DCvjtH5FHizLCj7YaZA0ac7by542uU1nGYHiDW5YSF6nHZIR+mWK11kmXgetVr11blg
HAQ7L3PeXerRl6fzuJYWkExqxBROxAZazdJ+ffP6Gm8TyIaEgN6WuLE1QRqZYr79QFS9+yku8B/I
o8SKcX7RkzNvYdufnrppfdzL26QQ0P+GclDmNEUdykTOuKR3iS9+Q1hqVzvXDyKdwH/9/PSyubOe
duHoZ9EYWmLOlBYhd7GivBFJaORZ0f25VMpTJ75E+aRTU2Bfg1OuatEdeZ8EfhKxMjvP1Th7Lfto
nCjWW/kdCKbXexh29rMj7w6zGoBK+jLkoZuApNi0VdbAWcQZqP03Tc1nBxq2wpw8nev3o2zxxTFt
WWKDrAOLi6z7KH7ywUCTUNBFzQ9OK6ZQUJIrOv5HgZU5NGkPOe+RR5Wqz68K5ATxuAPMao9tFdtH
37RXGgukV7foHUmyeqCDKO80cqEiajHrUR7tDxKnkNUBglQP2mn/L2s7ftm4uQ15EhzQTjvy36Ic
BtC4i4/AVY8nlvjayc6okm42OGdYuXiuDbcTbDPn/9mfaZNDGsrJtyV48F8QGoIvN3hi/aPproI+
X1epEqnHUSdkaX1JbVJbL6FKwRlD73GBhvCyTzVmip34u1w6SKfeL/Rz87sA4/qz2IMLRAQu0Vhi
Gb+pzgbP1cngeBi3N+t0nY2Z4NvgxPOQz8mSscVUgDZxFMq0RvydbndegitrIe3NukgYa9FIOeHN
64Ovf4vwsBQDKV8IitOj4aj3vZN8htM/Do1H89xOcZXfzhDkBQTz1aWdYv6elp+RyWtXK7MiVbCH
lYf++CbHZd6+NoHo+A3Pkan9kF6sv04plfwAjFX5ZlpD/nyZZj40qdeuV7e6ZcuhKONgcqytL7eB
to5EoLbKJ6IEK8HuNgN1CheGRiElAqZA3Asyou61uzL+I1mgANfYsDyzZLzUZohpEdIvA98Hhk/j
eWrPs/zUONxQr7DzxTOpneN55Er2Y5m/dWLVeLEHQDkkPVe8Ua2oW5LZiY9QIDFtC6Z5thRV62dE
UufvoV3CHxEIujKa77aCR9Egs0Tjf5irL3yogVvdBlDVG0WugvM5KnYUhcKWfusXZkUSz1hyPlR1
tO3dS3WDTYdVr1qqKEiCEjqr210YZlaFSLaQtnBxhtBmN5stPYyTDxhcF/s5TzKFpBeBzrQ4z1Vo
8KZM0321VrgJat7tRKhxz+TRbEs6o851wVb86XKxBM7O1fhWCYKwNsvmnnegY05PP5OhitojuV+P
NCwAaHZfLflXxBDo+VEfQbg1lnehGgCaH+3E/QIRxVibCePnlmFILFWdZWFMxbvGZGUkgN4RQ5oy
Gms/YvLQsrlAS2ZzqspxSoGiWK/yj9tPptx3gN+3pqkqRU9q2wr6fCwT/AuTC4Ad21p7bpfuJSO2
tSXmQtRhcEbfv5Ebsg3wUrnuG5tcEUP22YPVyvvC8HtBMVHM9i71rICnG6na1Mlom52fduE9TQGb
7ZHCdghnmAxF+62EsmjBy2nICAlkxblFQmxl2WEeh/N6YO2onNkm0RT5LBCH081PBzaGyrd+2QlH
ZrQJJ0hAl9jTjEqp6V61TAYLhe4yoPWUFy5md2Jwa6UKS/tmfMrVBTSmxiPJP5HwGZEcnEEyOhil
In8rH9x/q45IKcqQrzgRkNO9PQLs3ARpwUy/Q/Ayipu/t3LxJSP6425II84CA3orAPU8NzipblFr
kYg0UvUCD6WpuIzpRVvbMwZFpFFhzgA4mh4Add3VaZ1Wh3NsJ5KYuqYv5sUMRB1XjqQN9FnZ6InP
VVNCdOvf+B2IVpJZ5nUzeD5DwSCt3pkulTqZsALP/fDH4MsyWNNA/bq/frwTs7enX5/wfSmyyh92
6P2MavGXyZQ+zhzyrkh7W+M+rB4MvkxCWK/264dO57Oe0Uek0eSfjOiFJLJ+gxz1fTIWhbxIsl72
z9NjJD+SXT1rwYxYs66iwO9Vl+L1iKBPCbuq7d3sQDqWjCWAK7UQXqVp7eutbuqIrB3vtmQ8Lxju
tjF3LB9bHSAX+UJk7CROV8q13iOqutI+EC23WH8tT0EwmRcgIZ97xcsTcIfAjIQgpUW2Bf176c6N
OvPdewKQ43zIRrKDvCQRrjcGJUcDB0jPjiAs+DOW477wggTzlJ3uPJlIwDzaKgmEK2N0xxAUmZT3
Lwafs/UKbaepJCVEJIuefd3l3foo25dZVGr+Q69uQKw/NXugmHni75vKyyyotNc/eZPfNol0YZcL
RF88Hzp25yV9fkDFGhSQ8+icSVOZa34k4ps4nrOgmcq88COZw4hzx8MGCj5EboZLbsLJnTLjTQ8A
LEG9zKS1+Z2DgpgZzepkjxyqqVeBhvr2lLEcfWjENFvCH+YYHDTYXBp4kChVAtV6uB1N0ti69ouN
mAtxfPCELL9ytqW5Ia3KIMwwsyfbp0yZjEwWwV8QTtoEmaOLcAWd3B6G+M4rX1MDy+10rdezpDFi
bj/J4jKU50jILpdyzIEOFOxJCZi2+QRmtxKCjTnDN45VH/sAldltNgOdgT7p5R76A7Gmqhyv/8HL
uaEiUngfrWUaJHCIx9qzRnqS2Hyazgv58JKW7XZ5TPqS8A2ZYsGy385X7sXj5BY1wykivjxl5cp/
iZTtNjRL0AeTZgZqgmfogdCes6DBphZLYv0r+9b824V6c0RE5Tmwlkhrpu3JM8YUs4ZcLlVwSlDs
M2GZqJ+Gi4fL1NsNcB3W6m/Z+fuukAzUap4NjylXv+jvdyZfc9MqpnVDUAIBxR2G4h+m7LxsZRy6
a8waIubZ4hXZXcuCpq5fMNK8zntWxAtZiDZfY/jtrbFpUj6aZIK/TA7+td0rkrU8SnaS/0N+v+xv
NL1r2keLo8mqfSK+mfSoc1w0prLQ8PSOlAlqGpNlDjPVJuPw9xDkGr08UCvWVeCi8CBmfJ9OgY3E
yPVxJmSnoDrDmnvi3VaxpJNF/xBVDhApHB50wLk3FYp7MqcTKxeeyfHq0IKepArZBGnd4NoCl5cP
TAXI/5QfH+cyC/himF/pjOHYgoisMT6/fOw4yTolzQnRJLkH/u7SH4+IURSAlt0KcF6GkJxQYndA
zN1H63/5U2ygAHL6wgIpILIYa6bQTRQGyRkfJAqZqhQ3zh1Hco+7rcXrOQ9SDLViZKyqtc3+mS6Q
PV+A88h7xyNx3EKXVoEx9SQR+nMGikHr4b6hranaZ6RYHGABVRX+7zkvwUikDgr/u8Yl4Npw4Wda
UBf7Q7uWXRPOTpnUpTbA3odnNR5Tblf3eYNAtLp0O1Yo/WsWJTKZKTjduSeq46hAxgG1og8M71PC
HBq+metamxBNGmnrxtrawVjPomTw0c9dn0CY2Ptom8x1jl+1HbLDQ9F/zNKZ0jj16a43yeGq3Rx5
sxIdoXz8P6i6ZYTAWLEu3vR76EgdfuonAQmI2ajqjbO8j0EBzzoqn72pMw6zNfO7JUBa6hAItz6q
EGeUAQIhS5GwCFVldRnREvSCnBoCOQ7+ZvKnWOObZk/2nfpF2a5DD5FvFq4P6312TL4v2bZyGZlD
Ygd2agzeqprJNo3WXtc21qzJa+YJq7zf5kXVK1FPpOe9GXe4jrAbbHEMKEbBvTRuvqfzrMD3BMn7
iTGimaksufcIHhAy2DZ5Odw2QtHulT+s3f2TgmjjAKmXbxKbwQTxUPNZ0IENzUi3DnY4w9ZWgRTA
A6S/f2UBhviQzgmb9eZeNktNo4U2BfQsHnohGYBAB3OA/5yZttFDpLm5lbZZEF6b69dd3CZUfHwF
kq2oEJMHcLRx12mL9JLjGOgGJIuXtgJkkqXJmCKcEFSVw9++VWBquY4G0+EbOr6yQ3DuujWlJH9+
0DBABRmt/OGVbttLojSn75vTyWsW4x57sqI5/N7ExYY8MFlBw0hPsjoXn9jlkz5483edp5MjqL2P
IsVsu/4FP05aZTUITdZYSt7lre5e+8n+Ci0iuDJfj88LRD5I8dE8J8iBBizL5uF+9IVV8j90QQls
q5IsIsK9MuF+H5GYBFtOaUzJWshGkp3XfJ0tD6nN/BgyPiGi7z1kuLDYzwbnCH73gQL7zpMYgRlh
STLHgPb3CdM2PxG+XXZhfgoVYM6lKykeBNmKt4tUk9+qmMFjRNRX6bR1GNaQK18T84Qe470vEWuA
08Ut9CMmyg2MGQchjM4glEgOsEDIZvvFSZSHXNoqrgcSd/9JMCuqeiRMRPI86q4jCmfpVa6wMLN3
o6KCNZIC81v5pn+MLWz8unu5eQAL9yqvjBdVjDDC+FEsq4h7xO4R4g1uPwDDIRNNv/WdI3wTR2X+
UfvPJkwEqmOaayKGXVWmQI/ycEisPYqjJNLcFj1omKy/7dTKllXxvJe0QnJHLT+tybX7L951nyJf
HffXEL5ADXpj9wCHBS965ADAjL3QeySQibObagpqb4iNiKO93zwkCwLWXxxjZ5ZBpNwFe1WebCVE
4HvI+ToBDiVlbLWcjcdQr9RSCwqPcY+CfsLB9DjamJhC2peF41b7yAoh70u0Et1aIVsS0laKcSvg
VAHVTPonYj7xC8U/FEv8Qf1JvVYLMu/Y1lzGH+D5g5UwrBfPGgkzyOIt1g52o2hgzoyNzBYRUDoS
yfvI9ZJfPM9fQyty62GbP5mW/Jm4sgr9jR1c5NC2Y7kAkw4WW05NcaX4ktiHAinXGQZgmfx+FcuG
CaNnkevG2NOJghSel3dYh3mDFE2MyWXwCtsrqb9Ht8Ziv3G7uYQbw1wpAXOjukkWJL2esfpWGqOx
AsSTUsRe7NWx8VXUaVMoqnU47GOKTOOJnMIXbQV2ESVo5pGhTKjVWdRPftWZkFiGA5teetj3kta3
fawZTZBdaoeRuyJ0/BPEqGeVEW3jo4beJkTEbM+r2r2S1lHgejatOeWPH922ybWPBmqZHH7Jv1db
3ZNBy6OPJMtjRf4wcV4iMLJ+ahUcKN0M0vetIaA7BWnzHOjSorGFHgNHU0pu++GxZPMoyBxbMwAg
VpX0K2H5Bdr1OSBsK8/3K6+kS+rvwm3dcBpEsPkx9df7rYj1lrS8kPb1i9QR6kjMglwdrKzdmEeo
MXkseHnkDjpR+6+fu138PLl4ksQWJxp0WbSQnyssCGv50antpChQSnn6CIfS+4OBmH0DvjlQPW/p
qRgD7Lrkfwzi3arXxNP4Du3Y48SC+bFVPfGYnmoxsboYFibVttSL4XIEBm0p/j+kM+C7HzzCglZs
VJAqoxHJLGgszZcbOn+KYTGoognoP5jYcjGesYWSBRdNYR+EIq4BEw2wU1FRZMFzR7bvDlAYP/Hk
lKazpHsAHJdR0DQRYZfeC9wMa4Bx/FzPmxF6ywtixFHMq/Ve4R8ypTlFjl4aJtZV2kmarrDVcSW9
b9iUSTX2fihrFOpN9ajauwaowDGRtB0yhwTM22AerlUaG8l1LCHE1+g+l6EYSI1GH+3shDVuHPe8
0cg6P5Jr/zPEWuPV/ZJJfTegIOm+uXWqP9WrzN3Pv/4Auu5ZzHOuuEINk5e2SI2hXTgC7x8vV/6E
dwmO0D7a/CwMiQCI725exm2xZx6AoBOlWaei7KTzrmqLbukTZS3ZNgA4MDV2kTx4U5FyTwP+dwUq
dP+jdl9hpX4bhLD0tszYusn6LuzyvQTsJC67hrlUFFoMWjX74JImlKcNddy5zyH9tO7JdJAe3CKG
2ema493L0U7W0p/V3tZy+GXRlBMVPW/3hwDya87kzFgr4u4Vh31qSxFbBN09BmbBC6mVWsrU7SJ4
BVTXDAIk23PqPgVFj+WGGew1nEjN/XT3X+ZXipxkWaSpryVN5ofSDfUbfBrDlcUZsMwKvKAVSSKp
mc8wVL1Yi2XuHlCaMIOd6kDi89GJQGqpEHFTmaH4eAKfxJEeEOLSQCEx/ukT+8Rs1TSnzt7nhTcd
BGqpBduEEZktxCZ82o6da8aFefohkot5Wzd1nWxOl1rS4Nh+g6W5gbIIKQsbWN4B8pHcv5UkawzE
X5pr9kePKRttQBrpEdSabR4dgeH9oao98fXYEvSCJUgNmrWQ38amp5uwEyVsQRV5pL37cjmC8yfG
HrBOe5v9aMCWoaYNbKonHn20tqyUv631bzHtaK5LeSHNBt+eD228Y1xy2309MDJ+4W29ogM9GqHR
VRhFe742Q1uZY3Wgm8lQ7ZECTaVkw1VsAYdDsVKeshEJssEZAARcdOTlOcV64uAMYmtJZK2PQ/L/
oeGXyNAW3TpRo5NZFrkKPjZVWNhoTvmP5x7wluXRy90FREWkKVz5eKxPQLPVl+gI9thSLB6aTeld
CqL3JWgJNIi4ABMpH/Cl6ke8uZrYfuMZsXcSRgTcOnGqVWU+qgS08qOufzUurzUOvJ5AvO+LK4Ac
MBdBRFWYxj+OjFAP+9SlKOoWkF8KCQDvyCkU/0nlEuapEQ1fPV/VYtW0MYLmUAaWTtQ3A38nYU+X
XsgeD1WhWsPN6N0/dG+H4XjxCSYqFwiDFacJrU2CxOqS0SWq0/Cfskq5vtzi6QRzqluoAk91ObcL
NDJ/6rAhzj+/1lGxqc02UQmXp7hkGu5wQZpMEgWFG06P5zgIxlW+ReBD+yIHgPsaNWz632PO/6Lz
eXKKsUnzH+VJaWPgiTs5H6eVqFqBb2Wv7lGPgUBUDZl/gEgSr4+W2VRRzDikypRQhlGty1ph3aab
gHjLBiIwTs31AqaZmcUSYC/n/Nf+7XrOBXjyLsULsD+8VFBPwMRKV1V4BEyTAk/wayd42NCtFw+T
Xop941wiTqQmQPlA4GJWjhNpC/pA0KUoDhBJx3JvkjKME34alYjHyeJ4Y2kUGaY+xTzhYs1zp4pK
3adHZZ6J00wldXPnt9fEmILJ3L8bDzhbLSQ2iF3fuLxdOupzo4MHnHVGj0PEVfFtQ3rI83WSHRhR
DxnK6C5VqltupwagAEyTUypGbxkRALOAnV+5O3Ff3mRsNzWBRearqi/lqyXEhSifJDNjeUyzp7Pa
G695GHrGXOW761BoiqIPcML4qZgROuKaV/xtQhRnwrzSFbWeKx5G6lHfVD71llTHaMPd+DVo7siZ
UzgI9anZl4D2sAGmkJCh/LtULQygUSa6H6j6q2KEogJEnVSLjbqk4ulxDx77vmGxCwh40KqPrm5W
RgmQinwRk6f57fc++AxzLCBqXRq8ZEdYft5x8/XgWj3MW/Sy73DpXY/nsIEfH6aJxHyBkbcw435W
dQt17D97Ra2ezbHL3HVYdIb/rIEqkA9SZesDFMlPs6OsW5GVBDPCqJ0NU+FjCaHBjAdOtRFB1TVB
8/va3aeGcgHPb4VikNvbUIR+JSYeZzRznv33cDd+zgoYAaZITbb5whJJ9N+UOY8opadUCQdxPuNB
LtLYQM9+Rs7hqVRkFgaz84jaNv4aa4Vn43Olfno7vEusfgpXaK5ULl5bN77bGU+6LcNouse138PB
6aZa4Srv4Q/qvT687HArdDYUaEgPvGvcL1wBbDafKcTAlaTKSN/3i8Ad1MEaMrdAuK8hBf2TM/d1
VXDpWUiezuwjmiDVlnbBtAW+KPMpLfXcV4/Apf/s+y0s2Bi/ncy4GHOnCGufmvRNivWiVmGQeZa7
zJQhuSwd5jr+YIXawbgpRVHYPjRdUnmTBY9mtu44C9TRpfbtWIAPaDtruL8JSk8Uu4/ED8/lniSJ
MhyqZcDJKBrmLKvYknvmR3Du5RmjFzZjFWPrv6wco3j89YSUQ3QfnrRz6Taa1el5mtxVj0K2i4xQ
X+OnCvdbWXIWt76IDZheSeix8PrNJQQuBFcyEwiXRZH9ixKpZtC0RdEQSBSpN+IT5S67uIgTegcT
47Ks3zS4O50CWDAisXJegt9dQmcZF3TAoVU1htp5vp0+68jn/RnyKUHclnshgiF8bf8u+/8ZkEOP
21mEE72gqGuyFE5cVfDqt6b1CG2i98DKzLkfosFoA/A+ZqwhvMI2hbr0S0vAjH2d8SbSp2S1tRW4
yg/bwCygnHZMxW/6I/Kxmt8d3le4xefEJM0Q6uX4YBRjJCmGoV0JAGoPiqDNspLaiN+47m7+h8wb
XbkCifcFMu/4+OZzTxB3EM12MVbhODbkXwpeWKCxCavKDuX0XOX6WHwmFK+YQP90oJ2+d9uwtEny
RPskiEtizgpZN96EPuCa9toxq47Q6t/cuLabcTZHZYzmX1WDNY3PF71Y0CVe2NvnkeOwe70tyv5P
QmAZuPKq1dwJJEM0tivLx1zz1QVvnPshqEFDmlXODvZ5hCus/6Kyjkogrq8B7LeGHmiFBzbRCNPo
UvQO19Q7Q6SmB4bjq++6IPZ5C0WzcVEEVLrIupDQ3b2piz6SrNvAFBpatprTyghYoCuvBPxrF7jR
n5/YgitSThXcW+p5Qz1j/+t2yXDlNGAjwlElMEQuQjdDSdfIqTEl5B/pAHTWWj/41t9CJkLZWc5H
pvqWRmCB3l8RNPqBVgd+I4bwZTI/zSMyTyWHK06f4a9t362he2jmsZOzeQfoBVUW1oPBOM8lGgBt
DgMaOgBhS6TAmpC+rs/a95NIUklt2HI61DDycbvyUBykrS3aLYk6yE5eao7BatBwdMYxJ1Ijx7Nj
TSErmNBXl0SdPAblsKgnbUZjkuO8n2+ETof7r/rhCKv6HAt4sCmEGwBXHtGsTBgQYUVIYLlePe5k
fnu0n8zcdoZUKujH+/yD61ao6cl7nUxM2bX1ebSJh4zDW/VfLzx9gZuOxzdWUy9dfYc7+qdKMV21
l9sSPbVFOpgGuib8eqMWxOEBgI8CyiE5X0ejTbDYG5G9+VXHBXv58GHFHgmnP71Fo3RBGY7Fuul+
qCVs6yDotlZMuCPkt9KSEdyWYoUF2zKpqG8sdQCSBxjxk5j91Zd2cnKdrjdmkB3NAB5lqw57bGv6
0zbkK8nUEX9rpwXFWLfQYdFd8vK3tb/8uiGixwQLHN32g9E2hXTTF2NC2bJJcD64pKNwfbyTpCtC
W1LcrhUUW3dukS/d4+MWIAYyk2QGVaRI/s9kWISnAgbHc2e8q+gVPHTh4QV6edYjETrHmhtgss13
s0rygMi1wHWkorfFH3syPo2vDnMJzFXoHj6yP4dRESSXQsLVCz3FEZiGQaNnzKvo9aV9jye1izto
mOdKL7Ohg2R2pdfi0mvPuEdb3ucFC6OIO0HcR7KudXcUaYOULS0xWFMTue5kgn35isqiP2bQX47h
mnBI739oZUX5M8EdUBvZ33kO+MVBT4jctn5GyJ9vIICIEuJErCoXReV27LJrreeS05BqauZYq4Yv
8sFVva3NylOP/4FFi1ZxOj3VoRbv96jfFGZq5XU+snp55VTOyAKnH4Q3Fw6W8X26WbNsOsM+vRSG
yrXjGn/dwrGAnG8KNDtIy8NPG68szLEYKMLBYA1YkzwjfjLcazPjoYf00dB2cXuf3YvkspEfpuTR
KmzJnN1eFv3xFr3CKAzWwEJBlyoFddaLndFxXFGfhBN/u76fywqgxiCKRZWfDr/iSjuooiSrpMaf
/mqrCVRczwNrcXNJBLIGJil+8aW53C2mDAH/qd4saVfPW7THCdOrg+JVB77Wd4vasiEk006hlaAM
cq7CTnJjvEWpesS6mO0P+DPofPj8d2HuHyP0nlLclTYnm80741nFJkOdkWUPDPT+0RR3YZyNU8uU
nAfqGSUxLHLaz77yzYJH/zlLp70tYulWgOWrlj5Wbf1/5FYCSNRy5+8wgYtnVUgGp0gtfGO0s2Y0
o77SxmdGZaMHSQSG6BzDEFw2pCQj+jgDj+zMwFv6nzLrbMEYrvGzVkOWGjCapIkaLWXRX4hdM1Zz
igLXvTF8AQtbngFJC/CcOCF+8dtRE25ekAn4iw9yv9eHYAm3aqL6siXEiVgEa0eJzy8vBHRW8bUV
dpxGeKmsihAfJY7GZAXcspuRITX4kwYwrss8eC1PQT99LFt4fSul90QTZkm+NxM/aRvOyasoO9o1
IzJ508fkzoRCZcLvORSPzSuBon0tUbqgy9nucQxSrPv58c9pylozSt3VtvGZGfFbAHxKV/58BjYB
jv4mrfEpfzxNV+iohxLWTI9Hem22TD2fE1LrxcW/FYCB3AGEPrVaxO+BPhunAQIYeWvgPWjdPRMA
Sc44ZAWfttYvxRI/h97CuwA8qyxrWQsh1LKJiU2IyLp2bZUqGYlxDOL+XpIDCAdIZVomshFMs1rK
T/hICBc2nWrnYtk5Qb3IkSdIRX7e9h+ZKo7ACMFuz19d0r0P5wkK+ZlnhIR4V/Zy/gyB24v5JMR1
A5Hiw31iwFEnZNB7lciUdaaYjchaoF7j8oPOoKmE2kUwed5Wat21tSPzGhY44HtbNLq099fW2zmG
OSIxO69i8/xVXNCTsX+RkzAWHuZyZQ9+c/T0q2EaC9+uHKajbSJn7MQAch4BwhVFrpJanEYswy17
ke/YOe4NkSN7c320UUE5fs9Ly9mttRZi8Juqx8UWeTJiw5VrOXpu3R7nrFhZr25yMQdMYZTAYcmP
hMHL4U3CposOVhcRq7cTmRUWsuu1Xx+oKCvWInj6vCAYDT5CLx7M1f27XoxhZvjHqBGVXkVdqola
EtTi4KBUkY64Yzn3IlJRpKFO07stxboe9jZWxBG/2Wtwpt94MvxGOd56EpIfsAMIwh4SXw46fxXa
4roT1ZnztKOXw5fsZmXZXHxqmKnkf2lfA88RmnOCO2HdVpIR8rCLS4uhUHi7nz2x7nXZTCKMTIs3
GyUoWI6oQCWRrbieOuNCRFS3PzfnYYSCYTC8MVffoBhH9m8rFRDAC9rQbzJJYupcb485dJCK1zq2
epB9odThyzXIy1o4NYuX0QTX1HguWhKJq+jOoEN3mT/6QRZo9mbIBjYgz9+dXwVi5zXakSIGczPx
wYWb5s4NEH08hrsjEufY33yZ69o5mfH2VvNVLfJBUCbzy+914EAjC42oR49sYxzTOKVdULcjPgHL
ZMuhsD0c9dvKIQEvbQSz574i4l9Hxb1dubtH2ihN30CLMySoLT6OHeMucigHcjtiRGf+R68N7Jp1
l0r0PLVoIN0Kmk30W4uEMkMN3AnO9IUyMIiU+HnEO17TQwbUr+bSlx3jTlVZy8iCe2hpb7ADq82E
xg7SNcxdcGIyp1a0/+5nxiviBrADuFDgdmO73pR0P4Skm/SZia6svWVIfxjTec9lpZVCnZYaeYSm
kTmGJYi3wrBHwDubLxnGrXuWhQ9GJyBrmrnhraKjXKZUdN38sh1nLNdBNwNvwBRerjoDA86GCZ84
pyv5K3xgAdXwedbkptUPv85xwT7KYObBOT3Ixd1tCil8lVHaj93N8TE8T8EhtLq4vx5Pi+GJs862
qA9IIehyboXSTtYTm/qWT5oqgxX81xgTF/xZ17KiUdgCPUJ9D+Hbw6ObWOdfKd/rVRkdmr8Vu8i3
AHVR2QHtjtBNxN4x6rIwOzhjHaNj1gF4ImJd4gryzaBnmSUI0rXvu+28iKnScGpfnzIvmMJnPUBx
a/lag5E4gce2/0XsNLYp8iuweEQtJAfFMkWAd0wQtr1O9ZhwZR5lmY/CTtaeJ2Geq0sNgI9FHfQV
3ioHsZngLYFqS2JWqgCDryEeEXu66xbgyedFUycnrSXc+hLpgWbd98sFHQ/P5SHNwE/9c2X8TYyw
V/eHQoA2HlY04R4vL7yopztyyiLMQLWGz4XXuh5unmNThY+hMUsg3lDVHH34Uqra9pLAMjLzXdnT
5awU4Cw/NUKgOw9y5A452TT4kEU+DuktG1ctL+N/hV4Ayg50s//p5vI+3mGbw7JL6oNCHMlgaguU
EbL8P6XG8Rl9hwerI/J/1jmXIdlhMjVVl23YrcmucVNy7XqJo4WUXrI4mEDSTS9NNT2Ati6x5uC/
I4drsEAzxn4sCUH9viAMX+9Cfam4JQ2awkTm0mA9S0XWArBul1L3BssnbGvXWNcv+quSkjdVHMnC
D4KR3AiQi+UygejpXZJotNB8s/U4QO6GAj7GCHAxpgauKSki2YkuyAo7hUxw50lMuHHr6noijmSW
uCpGjJWvQ0uEyuAxVEd80b5etOuOkDesNYXyj4w9re56brSSIdP2d1PrmPTOK9xo6y4GteNHXbUw
lKUJpgqSeibw9I5erXfnEIUsflhx4B54V5ZxsCqrvWY+T9mcugx54JGwonIcjqxzZ57WT3YSrqdM
8oZxs3vq0PUATWTiFY/QGCgDssq3pkjaCm+tIxasTcwimTa+h37PXEDIPB0l3WS9gzY/KCqQvMnM
J8jnweLqqBTPCjN+2UserahLBCDK4sDI3xKuq8DsnntPlV53/6U1CG6X6gVdZ27FU3yWeSv+GP59
Fp4w5/1Y4j6bJJFtckDmlsABHn0bAeNIoE0Dk+k/Vrs3F+omR7kuAkFsh4Ea8qbRwYepqw6KCDN3
zQbJd7U2ttqIn8F/Q1Y6mboeR9WHVE20P09ZfRIW9Z/k23OQmH+WrJQcgLnVwqnKmUx2AyF4tUvm
VSAtQTjs69HxRpZO9eSIPq96oMJ3m0oy7RUtoyAZa7yTbIoBtqAXZhvUuQOOKT6XqXT/TU3mQtc4
IbIHlDar4ayl/4SGjr+cXrmQQiDclo17xbGPzDGWJfbMwG3PXXmiaXmMAsAiusehpkpwFHmwvrvO
5+9GjDQNpHyAPcSqT9Sm1DnycumLHGa9f9Lz7cSOIwRUYimM+nLjmzkx/RcF69BMGZXM1db9/XF0
FX95DA04uxgk5RoJGWKbN+dvWQg2/SfjdxAm8GZ+3h/3ruH0SldO/1moW8cyI/eUGWurXjKx4jR1
JkRYSn2jhR8y0Rcc3miNfEGDrQBv4FLH5Tf27SjWPA3tqByC93s1LTdcYsfy9bZivSafAfUaVm8L
K9tae8It5U6j++7mvQt/uDU6h4xJE5lTHEFK8L1bSTcwVcbRLDXwCWaOtqZsFH0TgM11q7xIlhXW
oWKDNKME/rPqSvINnha89Xa7QKRBUh9aathaRE96XwrzMqqHrQhDb5aSXe5/UwMy2/7J4AqW0bBG
CE4aJXDbmL7UD5KAASsuHTjKAv8SeSssSSlIfnWwL3J8MduE9ulzovRWMHLsKZecIDvkUDARwBBg
7QnpZ3k2QrgEWH1yUqv893sbVJOivmF/yNFlnbuiaho8xt1tPtK0Cn3mr4IFJFdwuYwbJnaI0t7N
HlFjvjGkjzfPAdjAihoojgdwV5tbFtNBiHKC5jXKy/kjlA+GMPPrepiQoDGwUnRn4d48aVh2bCpp
u9ql1N272boLGGK5apjyIUlh6OsyMoHZltzx7gTZg37/dD156jLxjQqyGwwZNlI7WTPUsJ7cTOtr
M3ql3kLvBZn/A6mFAsU/lSNR5BwvujxdG7o9cUYskiFnFYU7VUHcmrxqUfcmoB3lcKtTd4IX+03U
ApbIdGQbN1FGnkoAqKPPZyz7RAS2U9vYdOsn9Q73a0nxQLlBWwc8N7dXpnvz61mGmgjsNbqi6ZoV
XnT4+DmEbQPkzg54X4miKUmCmxb+6HydC/D65b/QXyD9LCLF7IoIR1z+rpV14JvwojQMq8l8jzwU
YVrGo+aUMfStM7M29hdBgluXtVXWU1QWOv/RKAZi2ap3RpifjAMYVBMyLJQJOui3kBQRqoZ0V+qR
Zifx+JTka4WXEWIE0agjdw3WRR9SAbaiwxVSAZnIrpQnwi2npBbsVXzfyKaHpv+2PlUotr7WPV4P
oaeHoG8AEBt1+h8fF3D3drGXGOXhHsWnD/MMi0NdKlCmOCCzOCpc0kn8F06dkae87bCMxBDxmmgi
6y2AyWTNPdyA+SlcCMAI5elGR13V9Sd5fTuWFcAjeGPSbfarpMRZcOW3Bi3aDpomFNfCt2RGd1Eb
cE1H+nJaxIpWRBjHk/ADccptgMna17hVY502/SsRjdwUNRg3M6OzfzMDA/t8m15t1IWt53euDWy4
Dq5P7RzGxd6FV1XYGawjSwwVetoXSwOSPAZW9I1QBV5ISA7OB2BcPrWfjgbKyYic2ZA1pfoEENl3
isREho1nmV/Wh2frw2ciWVje60eLmO2GrkQq4ZL/nIKHqgZVEEc/EjmjCLDY+nom/SN8kLD3yuKr
aAOn6IAO+J1NklCvUbmN3/5OOo9hwu3NBuWit6fljnAIcmRxGnhqopttDx2HqsUtVumCnvAfvHtk
qStQuNIXE/6GN1LdTtQ2mSUiXfvTe1t3N8gc5i7SVx/5ZHqGLXxcWb/RNYOAzMe32cMkd2D+bA/i
TuO+I5+I7RcHvLFYzdDre8WV+P6EJo8rRyc6tRRZrDE+YWSmclb5Ew9lrXkXXfwDcc8ctdIin+rN
oN3STQzeYJJjSX3Wkael/ICm4JD98UE07IIvE0GAKjh0278wQ6TvX0HeFan4RHnZHGCs7BUDv9l+
nuYTht8tGEHW+ccb1R/FEcixtinsaVxxZmgL6Pjai5SB9JgbeY0uzpYT2hb7yUM+uxKvE1i5lkT7
99szbmZf5nXugJ1kIoW3fyCWO3WS4zWMfnZDpK+3j2GjO47y4HQw9QnP8A+vYYVWUsU24Oxk1yOh
xVM8Ab7VC5ImbSuzTgAsRCzXqYVuQPPL3mBkTwpdYpck/2Tssq3Q102OrW/zGf6JGxmhQZm+YZv9
IgOtqz6bwdNKdoJNkptl/0Uh3uCNjQ02RK0TipjvNcg1b34FtnAKNNmiuI3rPNrj/izohx3Ysgvn
BkDbbQGYV+vwtEQzCrVJx+80sfzb+vMsU7sYZmanelnMFOFV1M5qbvlXMlo8790P6omN9tELP34C
G2X3CRjmlrrhWHMn3jHUeTNSpHaR+uegPteZQ2FbSDq/6eJK2YpjX3BBj8JeWITKOvBbb5htHC/2
dT7pzWAL2luU7Djud6dZ6Zk9L4EAUJx92S/tAOqAj4USLr6JPTqgltqd/w4Bzr3S84DdyyX/Vs+P
omkLKhwFE4pJ9fovX/tiM6pvd8/7NsSKLfiWR8FfXmwBy/GdPbMR4xwLgJu5QwJ1/tFIrJlCbLwK
Tou6q22aV6jt68hdxGzaY0jl0tA6gDKWPmdjl/fIHjF2H+eyKWKII42SfZATysTYlbR+SnV6+/rR
KZ/8op2V7eOvs5tGdRp++qz0W5Cv0EgTffdZsBAPU29gNZHtcxJER4xAQ/yC6yBSek4q1dfvAAjW
+icTlwh/gxwj5UwXb9tQvl9H3EAMmjyiwzwo8fSsJS87pUmKSpucYBUHf1QUofRcUhGr9OI0N1u1
yx1iE9v1Bm+eQsb2QWkxWqPvlF9V8ug3jzH99lDfRbheIlMrrM/lg8z10NQgs0Mvf8jvjUG2ZwXC
w5hD3n/fczcwSjqG7SIF+NrGjc95EagYJTLMdRW8z2RivsKuZNzUsxc4KUawkmRf19BFlRmUOvv+
xiIWS6jQk9CX+Y8S5iMLDFzddCLrp6VpFEwVpPtaasc9siAeD/L3MSEMLaJSmdgAInSrF4vH9Rcl
BYO42R1qLqZ808hgOoB7z5H9SPIznOxv65tKIAP+9zOC3sCvd5JtwCjsQQ0hWchiW93Rj4hCv7Sl
pF5fcC13wZYls/Xj1z+7qeqWR4oU/6CT7icMXylqIgC76sus4ZKWoSD41QzCtiyEZFIQZNcR6OYR
nL69PxQPvY6gKCbM5+jpAD2XZMIHOpNYE4OLAfjy1SSDa17t7j+UZVahmISE2mNrtpdQp09deO4Z
iK+XQcTK/SVmdP/DgxwfEZv8I6Ztafevq7IgE+GbuTkTe1/KkYzpLk69rcoOb7el/TIje8/AmW0t
qh3A9KcMhJsvQhvmTfow27gDZzFxDuDGvCpE5FYNz3EE2ynb0F7PCu7/vyiJERRrRuau6eBb3qgt
iwyomw9gGDBUG9FktkX4EHohZb2CrLdq9+P4c7VRQI4ubxp2e7UrEwa67SmViRi0jCYE1SLm6M+K
1pktd5e6PJpo2W9p62E75ol1LnM8He4MSOL3i8EaY7v5/MJWQ4rSmQ1olHNAmZYjWFfFyie37GA5
JaaBCeArowhywxJ/LzcQfcOrtUu+YB1igWujJuXEMTpLdnFO4acUjrFrPGcEj8hEmiVFLQ3jdSSv
bPeRwGTMTL90ZPk4JXRHfu4AXTCpfzEx/nHq9vqKK7MT2cUYAjHrAHfv4+gv6PF7MM9B3VSCgBGW
J5b0Ku4urhBQkxKJOnInL7/YYUZjh+OarScg+O87t6YOupyLfTfVczsyJHKUsdc8+vbTMRRtnzbi
E3Dx0Zv+Q+9IZK5juhV6dXoW3CA4Vo0P+tU9T65nCrpX8J2IB1mOoh39EXQT3S6LN3N7j9zuhjhv
cTFE8okpDW+3PJ8w08cQtr38HnUSrdI40baGG+YctAu+PSHXhZet/IHLJje6SQOn9xfmFFU8f8mO
IFnIjK0uLh8rPb+mXdvUYLxk6zFXeN3JaVpjSZ816WT4Ycsptc3UdbkBdTic1oIDG1Q3xp21xtjG
4un2BL6mXPlwKSWEpJkXvVXcjHDLMfDC6ZhVpqt36vRJ/fkHFT575INBigIS8RhjX3XYKFgKojJg
frCshM7lSuLDELc0Vj15p1392LaheyX2pbpfTyvr918/Xao9jDbqDtqv7rcr27OoOTlz2RrwJ3xo
SUgi7QLcmisRP099Ltorsbqub9ThVyYz23XVoSfehbZxEmvIMe/NtqnwFWCpBnFNp8KG9GaP0Pv/
pXdsoNLCaxPJdHmWlJ4lELDBrQp7l00mEbvyJxS4IFcw7Tuvak410PQ8evF/GPGuvnhtgfaMKjGQ
p0OCWFU07zKUO/LIyzTKZEr0FcYtKWAPqWUWZYbq642IyAins2ibjDCNaZf9xAE/y6Xwz3TeMKUd
sKc1FTqm7JBRe7GpJ3gm9XdCjFtROCrY5Csg2d8bdCYsNHwB12hrvQ2+w3SuN1THHekGFdISZKj9
7h6MEZjWSb2+mvs+yY8auomL7DIIbQ/yu48Z7rqiU2RiPRA2oUXNbIwkHpbJPH+L64qtxDSDV9m7
lZjewHwsoFlD9XE0TH0jfTg2YL5QSyeghiyeqJzp1rh0Hu+lK691GgHUkbTLDNBiWYc=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SQ5LyM4dK1reQqvCDb3TuDFsCJa9lVK0E9ZZZHefWAD6CPW6d+FLCTpppmEBEichnG6jKn3T6/cR
jq6SvH4X5w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kLCqjkTgZWNm8IUbdM3O/smmr/EZVX5LoSs/YDxamXKyIyz+TCoY6cHQEIUPcVMiUQ8sYnysBT/f
s6iO543qZJzxuFOo+Hojw3GvPpqT18YQa85CNrzOsTLnJbRuNMQp4Lfvk7RY9gDjLW51urtuESYw
BgVIQhUz/URqo7S775o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wtvy5ZPF+/A+B9nuESoFmb888ITkwkdMt2fy+LSzCpn8OMd6XbuWvtDeNbCdpSW+5jSDS/sjRPfO
W119m3KFfpbvYx9O93EufvYF3KgT4fe/21vfuuh68SQHjtX8zUtrAEUm44KzWxB+t3MoO9107Ew/
G6xejFb19dOWUkctSDEx1v6Y0qTQWv2Eyt+7lA2cQn4R3GK60gADFEIid6xnZnUBw3w3OTew1zAG
PIzu4bnO3o0bi7pqqIL5omWpvDTuX0IHNOwPCW7KjfuGJ5+BwH4+/5XwysG3y46U6Cqi64XvZfS+
K5SmSCzx9m17TWziNMs78hiwce3ZRBfnfulTVQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yFTZfAuMilX6YNchGd6m5kCL31VTRXz6fQ+JhzvWy+MC1TolVvXj0nG8hcN3egKq1yKxkje/Zx7O
zwlsTe2yRvyJ5HbPESp0hQIZ42UD1ZiME54QbrY1b9/a2yhvr79MVTGaOsyQFRtErvfYmdGy8j8h
5WOpQgf1Oosr6AzZXZo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tZrhVfjRZRtWo4jLOxhS76+MM7Mcv3I8OzWTx9Re7L+ZTiFBYI/whVan5DnxI80BBSnWRc7bkz6u
nl8QdzkPQQokzP/2OC6yp66Fi61uGl0IJCy7utAkp03oYO0Q0FeQ8OSe0wmCVLgU8XehH/ZJUgq8
Uj+cwaWKilGbf5TQbVYaLEzvnunNDgr8Ly36sgacQUXEysO4Y5afans5+aTjfmzMI7WzBOwF/hbZ
KJgR9N678b68YEfovNOPJTQyBZ5IcXpFI5VQ3QyyVctLo1fILAIJLdyFi0EnSkew1MtIhUpwHddQ
2IbwNpRqf2cGIbD+Svn4Jls8Ljma6vhF7a/QDQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20912)
`protect data_block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=
`protect end_protected
|
library stack;
use stack.OneHotStack.all;
library ieee;
use ieee.STD_LOGIC_UNSIGNED.all;
use ieee.std_logic_1164.all;
-- Add your library and packages declaration here ...
entity mrom_tb is
end mrom_tb;
architecture TB_ARCHITECTURE of mrom_tb is
-- Component declaration of the tested unit
component mrom
port(
RE : in STD_LOGIC;
ADDR : in mem_addr;
DOUT : out command );
end component;
-- Stimulus signals - signals mapped to the input and inout ports of tested entity
signal RE : STD_LOGIC;
signal ADDR : mem_addr;
-- Observed signals - signals mapped to the output ports of tested entity
signal DOUT : command;
constant WAIT_period: time := 10 ns;
begin
-- Unit Under Test port map
UUT : mrom
port map (
RE => RE,
ADDR => ADDR,
DOUT => DOUT
);
-- Add your stimulus here ...
main: process
begin
re <= '0';
addr <= "00010";
wait for 1 * WAIT_period;
re <= '1';
wait for 1 * WAIT_period;
addr <= "00000";
re <= '1';
wait for 1 * WAIT_period;
re <= '0';
wait for 100 * WAIT_period;
wait;
end process;
end TB_ARCHITECTURE;
configuration TESTBENCH_FOR_mrom of mrom_tb is
for TB_ARCHITECTURE
for UUT : mrom
use entity work.mrom(beh_stack);
end for;
end for;
end TESTBENCH_FOR_mrom;
|
entity comparison is
port (
a : in integer;
b : in integer;
q : out boolean );
end comparison;
architecture rtl of comparison is
begin
q <= a = b;
end architecture;
-------------------------------------------------------------------------------
entity comparison_tb is
generic ( delay : delay_length );
end entity;
architecture sim of comparison_tb is
signal a : integer;
signal b : integer;
signal q : boolean;
component comparison
port (
a : in integer;
b : in integer;
q : out boolean );
end component;
procedure result(a, b : in integer; q : in boolean) is
begin
report integer'image(a) & " <=> " & integer'image(b)
& " = " & boolean'image(q);
end procedure;
begin
DUT: component comparison
port map ( a, b, q );
SEQUENCER_PROC: process
begin
wait for delay;
a <= 4;
b <= 2;
wait for 1 ns;
result(a, b, q);
b <= 7;
wait for 1 ns;
result(a, b, q);
wait;
end process;
end architecture;
-------------------------------------------------------------------------------
entity greater_than is
port (
a : in integer;
b : in integer;
q : out boolean );
end greater_than;
architecture rtl of greater_than is
begin
q <= a > b;
end architecture;
-------------------------------------------------------------------------------
configuration eq of comparison_tb is
for sim
end for;
end configuration;
-------------------------------------------------------------------------------
configuration gt of comparison_tb is
for sim
for DUT : comparison
use entity work.greater_than(rtl);
end for;
end for;
end configuration;
-------------------------------------------------------------------------------
configuration lt of comparison_tb is
for sim
for DUT : comparison
use entity work.greater_than(rtl)
port map ( b, a, q );
end for;
end for;
end configuration;
-------------------------------------------------------------------------------
entity conf2 is
end entity;
architecture test of conf2 is
begin
uut1: configuration work.lt
generic map ( delay => 0 ns );
uut2: configuration work.gt
generic map ( delay => 10 ns );
end architecture;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:52:59 03/25/2016
-- Design Name:
-- Module Name: DC_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DC_CTL is
Port ( CLK : in STD_LOGIC;
RA : in STD_LOGIC_VECTOR (3 downto 0);
RB : in STD_LOGIC_VECTOR (3 downto 0);
RA0 : in STD_LOGIC_VECTOR (3 downto 0);
RA1 : in STD_LOGIC_VECTOR (3 downto 0);
RA2 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB0 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB1 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB2 : in STD_LOGIC_VECTOR (3 downto 0);
OPC : in STD_LOGIC_VECTOR (3 downto 0);
OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0);
OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0));
end DC_CTL;
architecture Mixed of DC_CTL is
signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0');
begin
process(RA, RB, RA0, RA1, RA2)
begin
-- if (rising_edge(CLK)) then
if (RA = RA0) then
OP1 <= "01";
-- OP1_SEL <= OP1;
elsif (RA = RA1) then
OP1 <= "10";
-- OP1_SEL <= OP1;
elsif (RA = RA2) then
OP1 <= "11";
-- OP1_SEL <= OP1;
else
OP1 <= "00";
-- OP1_SEL <= OP1;
end if;
-- OP1_SEL <= OP1;
if (RB = RA0) then
OP2 <= "01";
elsif (RB = RA1) then
OP2 <= "10";
elsif (RB = RA2) then
OP2 <= "11";
else
OP2 <= "00";
end if;
-- end if;
end process;
OP1_SEL <= OP1;
with OPC select OP2_SEL <=
OP2 when "0000" | "0001" | "0010" | "0011" | "0100",
"00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010",
"00" when OTHERS;
end Mixed;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:52:59 03/25/2016
-- Design Name:
-- Module Name: DC_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DC_CTL is
Port ( CLK : in STD_LOGIC;
RA : in STD_LOGIC_VECTOR (3 downto 0);
RB : in STD_LOGIC_VECTOR (3 downto 0);
RA0 : in STD_LOGIC_VECTOR (3 downto 0);
RA1 : in STD_LOGIC_VECTOR (3 downto 0);
RA2 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB0 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB1 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB2 : in STD_LOGIC_VECTOR (3 downto 0);
OPC : in STD_LOGIC_VECTOR (3 downto 0);
OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0);
OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0));
end DC_CTL;
architecture Mixed of DC_CTL is
signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0');
begin
process(RA, RB, RA0, RA1, RA2)
begin
-- if (rising_edge(CLK)) then
if (RA = RA0) then
OP1 <= "01";
-- OP1_SEL <= OP1;
elsif (RA = RA1) then
OP1 <= "10";
-- OP1_SEL <= OP1;
elsif (RA = RA2) then
OP1 <= "11";
-- OP1_SEL <= OP1;
else
OP1 <= "00";
-- OP1_SEL <= OP1;
end if;
-- OP1_SEL <= OP1;
if (RB = RA0) then
OP2 <= "01";
elsif (RB = RA1) then
OP2 <= "10";
elsif (RB = RA2) then
OP2 <= "11";
else
OP2 <= "00";
end if;
-- end if;
end process;
OP1_SEL <= OP1;
with OPC select OP2_SEL <=
OP2 when "0000" | "0001" | "0010" | "0011" | "0100",
"00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010",
"00" when OTHERS;
end Mixed;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:52:59 03/25/2016
-- Design Name:
-- Module Name: DC_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DC_CTL is
Port ( CLK : in STD_LOGIC;
RA : in STD_LOGIC_VECTOR (3 downto 0);
RB : in STD_LOGIC_VECTOR (3 downto 0);
RA0 : in STD_LOGIC_VECTOR (3 downto 0);
RA1 : in STD_LOGIC_VECTOR (3 downto 0);
RA2 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB0 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB1 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB2 : in STD_LOGIC_VECTOR (3 downto 0);
OPC : in STD_LOGIC_VECTOR (3 downto 0);
OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0);
OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0));
end DC_CTL;
architecture Mixed of DC_CTL is
signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0');
begin
process(RA, RB, RA0, RA1, RA2)
begin
-- if (rising_edge(CLK)) then
if (RA = RA0) then
OP1 <= "01";
-- OP1_SEL <= OP1;
elsif (RA = RA1) then
OP1 <= "10";
-- OP1_SEL <= OP1;
elsif (RA = RA2) then
OP1 <= "11";
-- OP1_SEL <= OP1;
else
OP1 <= "00";
-- OP1_SEL <= OP1;
end if;
-- OP1_SEL <= OP1;
if (RB = RA0) then
OP2 <= "01";
elsif (RB = RA1) then
OP2 <= "10";
elsif (RB = RA2) then
OP2 <= "11";
else
OP2 <= "00";
end if;
-- end if;
end process;
OP1_SEL <= OP1;
with OPC select OP2_SEL <=
OP2 when "0000" | "0001" | "0010" | "0011" | "0100",
"00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010",
"00" when OTHERS;
end Mixed;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:52:59 03/25/2016
-- Design Name:
-- Module Name: DC_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DC_CTL is
Port ( CLK : in STD_LOGIC;
RA : in STD_LOGIC_VECTOR (3 downto 0);
RB : in STD_LOGIC_VECTOR (3 downto 0);
RA0 : in STD_LOGIC_VECTOR (3 downto 0);
RA1 : in STD_LOGIC_VECTOR (3 downto 0);
RA2 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB0 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB1 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB2 : in STD_LOGIC_VECTOR (3 downto 0);
OPC : in STD_LOGIC_VECTOR (3 downto 0);
OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0);
OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0));
end DC_CTL;
architecture Mixed of DC_CTL is
signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0');
begin
process(RA, RB, RA0, RA1, RA2)
begin
-- if (rising_edge(CLK)) then
if (RA = RA0) then
OP1 <= "01";
-- OP1_SEL <= OP1;
elsif (RA = RA1) then
OP1 <= "10";
-- OP1_SEL <= OP1;
elsif (RA = RA2) then
OP1 <= "11";
-- OP1_SEL <= OP1;
else
OP1 <= "00";
-- OP1_SEL <= OP1;
end if;
-- OP1_SEL <= OP1;
if (RB = RA0) then
OP2 <= "01";
elsif (RB = RA1) then
OP2 <= "10";
elsif (RB = RA2) then
OP2 <= "11";
else
OP2 <= "00";
end if;
-- end if;
end process;
OP1_SEL <= OP1;
with OPC select OP2_SEL <=
OP2 when "0000" | "0001" | "0010" | "0011" | "0100",
"00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010",
"00" when OTHERS;
end Mixed;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:52:59 03/25/2016
-- Design Name:
-- Module Name: DC_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DC_CTL is
Port ( CLK : in STD_LOGIC;
RA : in STD_LOGIC_VECTOR (3 downto 0);
RB : in STD_LOGIC_VECTOR (3 downto 0);
RA0 : in STD_LOGIC_VECTOR (3 downto 0);
RA1 : in STD_LOGIC_VECTOR (3 downto 0);
RA2 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB0 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB1 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB2 : in STD_LOGIC_VECTOR (3 downto 0);
OPC : in STD_LOGIC_VECTOR (3 downto 0);
OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0);
OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0));
end DC_CTL;
architecture Mixed of DC_CTL is
signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0');
begin
process(RA, RB, RA0, RA1, RA2)
begin
-- if (rising_edge(CLK)) then
if (RA = RA0) then
OP1 <= "01";
-- OP1_SEL <= OP1;
elsif (RA = RA1) then
OP1 <= "10";
-- OP1_SEL <= OP1;
elsif (RA = RA2) then
OP1 <= "11";
-- OP1_SEL <= OP1;
else
OP1 <= "00";
-- OP1_SEL <= OP1;
end if;
-- OP1_SEL <= OP1;
if (RB = RA0) then
OP2 <= "01";
elsif (RB = RA1) then
OP2 <= "10";
elsif (RB = RA2) then
OP2 <= "11";
else
OP2 <= "00";
end if;
-- end if;
end process;
OP1_SEL <= OP1;
with OPC select OP2_SEL <=
OP2 when "0000" | "0001" | "0010" | "0011" | "0100",
"00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010",
"00" when OTHERS;
end Mixed;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:52:59 03/25/2016
-- Design Name:
-- Module Name: DC_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DC_CTL is
Port ( CLK : in STD_LOGIC;
RA : in STD_LOGIC_VECTOR (3 downto 0);
RB : in STD_LOGIC_VECTOR (3 downto 0);
RA0 : in STD_LOGIC_VECTOR (3 downto 0);
RA1 : in STD_LOGIC_VECTOR (3 downto 0);
RA2 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB0 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB1 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB2 : in STD_LOGIC_VECTOR (3 downto 0);
OPC : in STD_LOGIC_VECTOR (3 downto 0);
OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0);
OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0));
end DC_CTL;
architecture Mixed of DC_CTL is
signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0');
begin
process(RA, RB, RA0, RA1, RA2)
begin
-- if (rising_edge(CLK)) then
if (RA = RA0) then
OP1 <= "01";
-- OP1_SEL <= OP1;
elsif (RA = RA1) then
OP1 <= "10";
-- OP1_SEL <= OP1;
elsif (RA = RA2) then
OP1 <= "11";
-- OP1_SEL <= OP1;
else
OP1 <= "00";
-- OP1_SEL <= OP1;
end if;
-- OP1_SEL <= OP1;
if (RB = RA0) then
OP2 <= "01";
elsif (RB = RA1) then
OP2 <= "10";
elsif (RB = RA2) then
OP2 <= "11";
else
OP2 <= "00";
end if;
-- end if;
end process;
OP1_SEL <= OP1;
with OPC select OP2_SEL <=
OP2 when "0000" | "0001" | "0010" | "0011" | "0100",
"00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010",
"00" when OTHERS;
end Mixed;
|
-------------------------------------------------------------------------------
-- Title : Testbench for design "peripheral_register"
-------------------------------------------------------------------------------
-- Author : Calle <calle@Alukiste>
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2011
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.textio.all;
library work;
use work.bus_pkg.all;
use work.reg_file_pkg.all;
-------------------------------------------------------------------------------
entity peripheral_register_tb is
end peripheral_register_tb;
-------------------------------------------------------------------------------
architecture tb of peripheral_register_tb is
-- component generics
constant BASE_ADDRESS : positive := 16#0100#;
-- component ports
signal reg : std_logic_vector(15 downto 0) := (others => '0');
signal bus_o : busdevice_out_type;
signal bus_i : busdevice_in_type :=
(addr => (others => '0'),
data => (others => '0'),
we => '0',
re => '0');
signal clk : std_logic := '0';
signal reg_readback : std_logic_vector(15 downto 0);
-- comments for the wave view of the testbench
type comment_type is (idle,
read_wrong_addr,
read_correct_addr,
write_wrong_addr,
write_correct_addr,
sequential_cycles);
signal comment : comment_type := idle;
begin
reg_readback <= not reg;
-- component instantiation
DUT : peripheral_register
generic map (
BASE_ADDRESS => BASE_ADDRESS)
port map (
dout_p => reg,
din_p => reg_readback, -- read back the written values
bus_o => bus_o,
bus_i => bus_i,
clk => clk);
-- clock generation
clk <= not clk after 10 ns;
waveform : process
begin
wait for 20 ns;
-- Read from wrong address
comment <= read_wrong_addr;
readWord(addr => 16#0020#, bus_i => bus_i, clk => clk);
-- Read from correct address
comment <= read_correct_addr;
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
-- Write to wrong address
comment <= write_wrong_addr;
writeWord(addr => BASE_ADDRESS + 1, data => 16#affe#, bus_i => bus_i, clk => clk);
-- Write to correct address
comment <= write_correct_addr;
writeWord(addr => BASE_ADDRESS, data => 16#54af#, bus_i => bus_i, clk => clk);
-- Read from wrong address
comment <= read_wrong_addr;
readWord(addr => 16#0020#, bus_i => bus_i, clk => clk);
-- Read from correct address
comment <= read_correct_addr;
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
-- Read from wrong address
comment <= read_wrong_addr;
readWord(addr => 16#0020#, bus_i => bus_i, clk => clk);
wait until rising_edge(clk);
-- generate two read cycles directly following each other
comment <= sequential_cycles;
bus_i.re <= '1';
wait until rising_edge(clk);
wait until rising_edge(clk);
bus_i.re <= '0';
wait until rising_edge(clk);
bus_i.data <= x"4321";
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.we <= '0';
wait until rising_edge(clk);
bus_i.re <= '1';
wait until rising_edge(clk);
bus_i.re <= '0';
end process waveform;
end tb;
|
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library gaisler;
use grlib.devices.all;
use gaisler.memctrl.all;
library techmap;
use techmap.gencomp.all;
entity ddrsp64a is
generic (
memtech : integer := 0;
hindex : integer := 3;
haddr : integer := 1024;
hmask : integer := 3072;
ioaddr : integer := 1;
iomask : integer := 4095;
MHz : integer := 90;
col : integer := 9;
Mbyte : integer := 256;
fast : integer := 0;
pwron : integer := 1;
oepol : integer := 0
);
port (
rst : in std_ulogic;
clk_ddr : in std_ulogic;
clk_ahb : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
sdi : in sdctrl_in_type;
sdo : out sdctrl_out_type
);
end;
architecture rtl of ddrsp64a is
constant REVISION : integer := 0;
constant CMD_PRE : std_logic_vector(2 downto 0) := "010";
constant CMD_REF : std_logic_vector(2 downto 0) := "100";
constant CMD_LMR : std_logic_vector(2 downto 0) := "110";
constant CMD_EMR : std_logic_vector(2 downto 0) := "111";
constant abuf : integer := 6;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_DDRSP, 0, REVISION, 0),
4 => ahb_membar(haddr, '1', '1', hmask),
5 => ahb_iobar(ioaddr, iomask),
others => zero32);
type mcycletype is (midle, active, ext, leadout);
type ahb_state_type is (midle, rhold, dread, dwrite, whold1, whold2);
type sdcycletype is (act1, act2, act3, rd1, rd2, rd3, rd4, rd5, rd6, rd7, rd8,
wr1, wr2, wr3, wr4a, wr4, wr5, sidle, ioreg1, ioreg2);
type icycletype is (iidle, pre, ref1, ref2, emode, lmode, finish);
constant NAHBMST : integer := 16; -- maximum AHB masters
constant NAHBSLV : integer := 16; -- maximum AHB slaves
constant NAPBSLV : integer := 16; -- maximum APB slaves
constant NAHBIRQ : integer := 32; -- maximum interrupts
constant NAHBAMR : integer := 4; -- maximum address mapping registers
constant NAHBIR : integer := 4; -- maximum AHB identification registers
constant NAHBCFG : integer := NAHBIR + NAHBAMR; -- words in AHB config block
constant NAPBIR : integer := 1; -- maximum APB configuration words
constant NAPBAMR : integer := 1; -- maximum APB configuration words
constant NAPBCFG : integer := NAPBIR + NAPBAMR; -- words in APB config block
constant NBUS : integer := 4;
subtype amba_config_word is std_logic_vector(31 downto 0);
type ahb_config_type is array (0 to NAHBCFG-1) of amba_config_word;
type apb_config_type is array (0 to NAPBCFG-1) of amba_config_word;
-- AHB master inputs
type ahb_mst_in_type is record
hgrant : std_logic_vector(0 to NAHBMST-1); -- bus grant
hready : std_ulogic; -- transfer done
hresp : std_logic_vector(1 downto 0); -- response type
hrdata : std_logic_vector(31 downto 0); -- read data bus
hcache : std_ulogic; -- cacheable
hirq : std_logic_vector(NAHBIRQ-1 downto 0); -- interrupt result bus
testen : std_ulogic; -- scan test enable
testrst : std_ulogic; -- scan test reset
scanen : std_ulogic; -- scan enable
testoen : std_ulogic; -- test output enable
end record;
-- AHB master outputs
type ahb_mst_out_type is record
hbusreq : std_ulogic; -- bus request
hlock : std_ulogic; -- lock request
htrans : std_logic_vector(1 downto 0); -- transfer type
haddr : std_logic_vector(31 downto 0); -- address bus (byte)
hwrite : std_ulogic; -- read/write
hsize : std_logic_vector(2 downto 0); -- transfer size
hburst : std_logic_vector(2 downto 0); -- burst type
hprot : std_logic_vector(3 downto 0); -- protection control
hwdata : std_logic_vector(31 downto 0); -- write data bus
hirq : std_logic_vector(NAHBIRQ-1 downto 0); -- interrupt bus
hconfig : ahb_config_type; -- memory access reg.
hindex : integer range 0 to NAHBMST-1; -- diagnostic use only
end record;
-- AHB slave inputs
type ahb_slv_in_type is record
hsel : std_logic_vector(0 to NAHBSLV-1); -- slave select
haddr : std_logic_vector(31 downto 0); -- address bus (byte)
hwrite : std_ulogic; -- read/write
htrans : std_logic_vector(1 downto 0); -- transfer type
hsize : std_logic_vector(2 downto 0); -- transfer size
hburst : std_logic_vector(2 downto 0); -- burst type
hwdata : std_logic_vector(31 downto 0); -- write data bus
hprot : std_logic_vector(3 downto 0); -- protection control
hready : std_ulogic; -- transfer done
hmaster : std_logic_vector(3 downto 0); -- current master
hmastlock : std_ulogic; -- locked access
hmbsel : std_logic_vector(0 to NAHBAMR-1); -- memory bank select
hcache : std_ulogic; -- cacheable
hirq : std_logic_vector(NAHBIRQ-1 downto 0); -- interrupt result bus
testen : std_ulogic; -- scan test enable
testrst : std_ulogic; -- scan test reset
scanen : std_ulogic; -- scan enable
testoen : std_ulogic; -- test output enable
end record;
-- AHB slave outputs
type ahb_slv_out_type is record
hready : std_ulogic; -- transfer done
hresp : std_logic_vector(1 downto 0); -- response type
hrdata : std_logic_vector(31 downto 0); -- read data bus
hsplit : std_logic_vector(15 downto 0); -- split completion
hcache : std_ulogic; -- cacheable
hirq : std_logic_vector(NAHBIRQ-1 downto 0); -- interrupt bus
hconfig : ahb_config_type; -- memory access reg.
hindex : integer range 0 to NAHBSLV-1; -- diagnostic use only
end record;
-- array types
type ahb_mst_out_vector_type is array (natural range <>) of ahb_mst_out_type;
type ahb_slv_out_vector_type is array (natural range <>) of ahb_slv_out_type;
subtype ahb_mst_out_vector is ahb_mst_out_vector_type(NAHBMST-1 downto 0);
subtype ahb_slv_out_vector is ahb_slv_out_vector_type(NAHBSLV-1 downto 0);
type ahb_mst_out_bus_vector is array (0 to NBUS-1) of ahb_mst_out_vector;
type ahb_slv_out_bus_vector is array (0 to NBUS-1) of ahb_slv_out_vector;
-- constants
constant HTRANS_IDLE: std_logic_vector(1 downto 0) := "00";
constant HTRANS_BUSY: std_logic_vector(1 downto 0) := "01";
constant HTRANS_NONSEQ: std_logic_vector(1 downto 0) := "10";
constant HTRANS_SEQ: std_logic_vector(1 downto 0) := "11";
constant HBURST_SINGLE: std_logic_vector(2 downto 0) := "000";
constant HBURST_INCR: std_logic_vector(2 downto 0) := "001";
constant HBURST_WRAP4: std_logic_vector(2 downto 0) := "010";
constant HBURST_INCR4: std_logic_vector(2 downto 0) := "011";
constant HBURST_WRAP8: std_logic_vector(2 downto 0) := "100";
constant HBURST_INCR8: std_logic_vector(2 downto 0) := "101";
constant HBURST_WRAP16: std_logic_vector(2 downto 0) := "110";
constant HBURST_INCR16: std_logic_vector(2 downto 0) := "111";
constant HSIZE_BYTE: std_logic_vector(2 downto 0) := "000";
constant HSIZE_HWORD: std_logic_vector(2 downto 0) := "001";
constant HSIZE_WORD: std_logic_vector(2 downto 0) := "010";
constant HSIZE_DWORD: std_logic_vector(2 downto 0) := "011";
constant HSIZE_4WORD: std_logic_vector(2 downto 0) := "100";
constant HSIZE_8WORD: std_logic_vector(2 downto 0) := "101";
constant HSIZE_16WORD: std_logic_vector(2 downto 0) := "110";
constant HSIZE_32WORD: std_logic_vector(2 downto 0) := "111";
constant HRESP_OKAY: std_logic_vector(1 downto 0) := "00";
constant HRESP_ERROR: std_logic_vector(1 downto 0) := "01";
constant HRESP_RETRY: std_logic_vector(1 downto 0) := "10";
constant HRESP_SPLIT: std_logic_vector(1 downto 0) := "11";
-- APB slave inputs
type apb_slv_in_type is record
psel : std_logic_vector(0 to NAPBSLV-1); -- slave select
penable : std_ulogic; -- strobe
paddr : std_logic_vector(31 downto 0); -- address bus (byte)
pwrite : std_ulogic; -- write
pwdata : std_logic_vector(31 downto 0); -- write data bus
pirq : std_logic_vector(NAHBIRQ-1 downto 0); -- interrupt result bus
testen : std_ulogic; -- scan test enable
testrst : std_ulogic; -- scan test reset
scanen : std_ulogic; -- scan enable
testoen : std_ulogic; -- test output enable
end record;
-- APB slave outputs
type apb_slv_out_type is record
prdata : std_logic_vector(31 downto 0); -- read data bus
pirq : std_logic_vector(NAHBIRQ-1 downto 0); -- interrupt bus
pconfig : apb_config_type; -- memory access reg.
pindex : integer range 0 to NAPBSLV -1; -- diag use only
end record;
-- array types
type apb_slv_out_vector is array (0 to NAPBSLV-1) of apb_slv_out_type;
-- support for plug&play configuration
constant AMBA_CONFIG_VER0 : std_logic_vector(1 downto 0) := "00";
subtype amba_vendor_type is integer range 0 to 16#ff#;
subtype amba_device_type is integer range 0 to 16#3ff#;
subtype amba_version_type is integer range 0 to 16#3f#;
subtype amba_cfgver_type is integer range 0 to 3;
subtype amba_irq_type is integer range 0 to NAHBIRQ-1;
subtype ahb_addr_type is integer range 0 to 16#fff#;
constant zx : std_logic_vector(31 downto 0) := (others => '0');
constant zxirq : std_logic_vector(NAHBIRQ-1 downto 0) := (others => '0');
constant zy : std_logic_vector(0 to 31) := (others => '0');
constant apb_none : apb_slv_out_type :=
(zx, zxirq(NAHBIRQ-1 downto 0), (others => zx), 0);
constant ahbm_none : ahb_mst_out_type := ( '0', '0', "00", zx,
'0', "000", "000", "0000", zx, zxirq(NAHBIRQ-1 downto 0), (others => zx), 0);
constant ahbs_none : ahb_slv_out_type := (
'1', "00", zx, zx(15 downto 0), '0', zxirq(NAHBIRQ-1 downto 0), (others => zx), 0);
constant ahbs_in_none : ahb_slv_in_type := (
zy(0 to NAHBSLV-1), zx, '0', "00", "000", "000", zx,
"0000", '1', "0000", '0', zy(0 to NAHBAMR-1), '0', zxirq(NAHBIRQ-1 downto 0),
'0', '0', '0', '0');
constant ahbsv_none : ahb_slv_out_vector := (others => ahbs_none);
type memory_in_type is record
data : std_logic_vector(31 downto 0); -- Data bus address
brdyn : std_logic;
bexcn : std_logic;
writen : std_logic;
wrn : std_logic_vector(3 downto 0);
bwidth : std_logic_vector(1 downto 0);
sd : std_logic_vector(63 downto 0);
cb : std_logic_vector(7 downto 0);
scb : std_logic_vector(7 downto 0);
edac : std_logic;
end record;
type memory_out_type is record
address : std_logic_vector(31 downto 0);
data : std_logic_vector(31 downto 0);
sddata : std_logic_vector(63 downto 0);
ramsn : std_logic_vector(7 downto 0);
ramoen : std_logic_vector(7 downto 0);
ramn : std_ulogic;
romn : std_ulogic;
mben : std_logic_vector(3 downto 0);
iosn : std_logic;
romsn : std_logic_vector(7 downto 0);
oen : std_logic;
writen : std_logic;
wrn : std_logic_vector(3 downto 0);
bdrive : std_logic_vector(3 downto 0);
vbdrive : std_logic_vector(31 downto 0); --vector bus drive
svbdrive : std_logic_vector(63 downto 0); --vector bus drive sdram
read : std_logic;
sa : std_logic_vector(14 downto 0);
cb : std_logic_vector(7 downto 0);
scb : std_logic_vector(7 downto 0);
vcdrive : std_logic_vector(7 downto 0); --vector bus drive cb
svcdrive : std_logic_vector(7 downto 0); --vector bus drive cb sdram
ce : std_ulogic;
end record;
type sdctrl_in_type is record
wprot : std_ulogic;
data : std_logic_vector (127 downto 0); -- data in
cb : std_logic_vector(15 downto 0);
end record;
type sdctrl_out_type is record
sdcke : std_logic_vector ( 1 downto 0); -- clk en
sdcsn : std_logic_vector ( 1 downto 0); -- chip sel
sdwen : std_ulogic; -- write en
rasn : std_ulogic; -- row addr stb
casn : std_ulogic; -- col addr stb
dqm : std_logic_vector ( 15 downto 0); -- data i/o mask
bdrive : std_ulogic; -- bus drive
qdrive : std_ulogic; -- bus drive
vbdrive : std_logic_vector(31 downto 0); -- vector bus drive
address : std_logic_vector (16 downto 2); -- address out
data : std_logic_vector (127 downto 0); -- data out
cb : std_logic_vector(15 downto 0);
ce : std_ulogic;
ba : std_logic_vector ( 1 downto 0); -- bank address
cal_en : std_logic_vector(7 downto 0); -- enable delay calibration
cal_inc : std_logic_vector(7 downto 0); -- inc/dec delay
cal_rst : std_logic; -- calibration reset
odt : std_logic_vector(1 downto 0);
end record;
type sdram_out_type is record
sdcke : std_logic_vector ( 1 downto 0); -- clk en
sdcsn : std_logic_vector ( 1 downto 0); -- chip sel
sdwen : std_ulogic; -- write en
rasn : std_ulogic; -- row addr stb
casn : std_ulogic; -- col addr stb
dqm : std_logic_vector ( 7 downto 0); -- data i/o mask
end record;
-- sdram configuration register
type sdram_cfg_type is record
command : std_logic_vector(2 downto 0);
csize : std_logic_vector(1 downto 0);
bsize : std_logic_vector(2 downto 0);
trcd : std_ulogic; -- tCD : 2/3 clock cycles
trfc : std_logic_vector(2 downto 0);
trp : std_ulogic; -- precharge to activate: 2/3 clock cycles
refresh : std_logic_vector(11 downto 0);
renable : std_ulogic;
dllrst : std_ulogic;
refon : std_ulogic;
cke : std_ulogic;
end record;
type access_param is record
haddr : std_logic_vector(31 downto 0);
size : std_logic_vector(1 downto 0);
hwrite : std_ulogic;
hio : std_ulogic;
end record;
-- local registers
type ahb_reg_type is record
hready : std_ulogic;
hsel : std_ulogic;
hio : std_ulogic;
startsd : std_ulogic;
ready : std_ulogic;
ready2 : std_ulogic;
write : std_logic_vector(3 downto 0);
state : ahb_state_type;
haddr : std_logic_vector(31 downto 0);
hrdata : std_logic_vector(31 downto 0);
hwdata : std_logic_vector(31 downto 0);
hwrite : std_ulogic;
htrans : std_logic_vector(1 downto 0);
hresp : std_logic_vector(1 downto 0);
raddr : std_logic_vector(abuf-1 downto 0);
size : std_logic_vector(1 downto 0);
acc : access_param;
end record;
type ddr_reg_type is record
startsd : std_ulogic;
startsdold : std_ulogic;
burst : std_ulogic;
hready : std_ulogic;
bdrive : std_ulogic;
qdrive : std_ulogic;
nbdrive : std_ulogic;
mstate : mcycletype;
sdstate : sdcycletype;
cmstate : mcycletype;
istate : icycletype;
trfc : std_logic_vector(2 downto 0);
refresh : std_logic_vector(11 downto 0);
sdcsn : std_logic_vector(1 downto 0);
sdwen : std_ulogic;
rasn : std_ulogic;
casn : std_ulogic;
dqm : std_logic_vector(15 downto 0);
address : std_logic_vector(15 downto 2); -- memory address
ba : std_logic_vector( 1 downto 0);
waddr : std_logic_vector(abuf-1 downto 0);
cfg : sdram_cfg_type;
hrdata : std_logic_vector(127 downto 0);
end record;
signal vcc : std_ulogic;
signal r, ri : ddr_reg_type;
signal ra, rai : ahb_reg_type;
signal rbdrive, ribdrive : std_logic_vector(31 downto 0);
signal rdata, wdata : std_logic_vector(127 downto 0);
attribute syn_preserve : boolean;
attribute syn_preserve of rbdrive : signal is true;
begin
vcc <= '1';
ahb_ctrl : process(rst, ahbsi, r, ra, rdata)
variable v : ahb_reg_type; -- local variables for registers
variable startsd : std_ulogic;
variable dout : std_logic_vector(31 downto 0);
begin
v := ra; v.hresp := HRESP_OKAY; v.write := "0000";
case ra.raddr(1 downto 0) is
when "00" => v.hrdata := rdata(127 downto 96);
when "01" => v.hrdata := rdata(95 downto 64);
when "10" => v.hrdata := rdata(63 downto 32);
when others => v.hrdata := rdata(31 downto 0);
end case;
v.ready := not (ra.startsd xor r.startsdold);
v.ready2 := ra.ready;
if ((ahbsi.hready and ahbsi.hsel(hindex)) = '1') then
v.htrans := ahbsi.htrans; v.haddr := ahbsi.haddr;
v.size := ahbsi.hsize(1 downto 0); v.hwrite := ahbsi.hwrite;
if ahbsi.htrans(1) = '1' then
v.hio := ahbsi.hmbsel(1);
v.hsel := '1'; v.hready := '0';
end if;
end if;
if ahbsi.hready = '1' then v.hsel := ahbsi.hsel(hindex); end if;
-- if (ra.hsel and ra.hio and not ra.hready) = '1' then v.hready := '1'; end if;
case ra.state is
when midle =>
if ((v.hsel and v.htrans(1)) = '1') then
if v.hwrite = '0' then
v.state := rhold; v.startsd := not ra.startsd;
else
v.state := dwrite; v.hready := '1';
-- v.write(0) := not v.haddr(2); v.write(1) := v.haddr(2);
v.write := decode(v.haddr(3 downto 2));
end if;
end if;
v.raddr := ra.haddr(7 downto 2);
v.ready := '0'; v.ready2 := '0';
-- if not ((ra.hsel and ra.htrans(1) and not ra.htrans(0)) = '1') then
if ahbsi.hready = '1' then
v.acc := (v.haddr, v.size, v.hwrite, v.hio);
end if;
when rhold =>
v.raddr := ra.haddr(7 downto 2);
if ra.ready2 = '1' then
v.state := dread; v.hready := '1'; v.raddr := ra.raddr + 1;
end if;
when dread =>
v.raddr := ra.raddr + 1; v.hready := '1';
if ((v.hsel and v.htrans(1) and v.htrans(0)) = '0') or
(ra.raddr(2 downto 0) = "000")
then v.state := midle; v.hready := '0'; end if;
v.acc := (v.haddr, v.size, v.hwrite, v.hio);
when dwrite =>
v.raddr := ra.haddr(7 downto 2); v.hready := '1';
-- v.write(0) := not v.haddr(2); v.write(1) := v.haddr(2);
v.write := decode(v.haddr(3 downto 2));
if ((v.hsel and v.htrans(1) and v.htrans(0)) = '0') or
(ra.haddr(4 downto 2) = "111")
then
v.startsd := not ra.startsd; v.state := whold1;
v.write := "0000"; v.hready := '0';
end if;
when whold1 =>
v.state := whold2; v.ready := '0';
when whold2 =>
if ra.ready = '1' then
v.state := midle; v.acc := (v.haddr, v.size, v.hwrite, v.hio);
end if;
end case;
v.hwdata := ahbsi.hwdata;
if (ahbsi.hready and ahbsi.hsel(hindex) ) = '1' then
if ahbsi.htrans(1) = '0' then v.hready := '1'; end if;
end if;
dout := ra.hrdata(31 downto 0);
if rst = '0' then
v.hsel := '0';
v.hready := '1';
v.state := midle;
v.startsd := '0';
v.hio := '0';
end if;
rai <= v;
ahbso.hready <= ra.hready;
ahbso.hresp <= ra.hresp;
ahbso.hrdata <= dout;
ahbso.hcache <= not ra.hio;
end process;
ddr_ctrl : process(rst, r, ra, sdi, rbdrive, wdata)
variable v : ddr_reg_type; -- local variables for registers
variable startsd : std_ulogic;
variable dqm : std_logic_vector(15 downto 0);
variable raddr : std_logic_vector(13 downto 0);
variable adec : std_ulogic;
variable rams : std_logic_vector(1 downto 0);
variable ba : std_logic_vector(1 downto 0);
variable haddr : std_logic_vector(31 downto 0);
variable hsize : std_logic_vector(1 downto 0);
variable hwrite : std_ulogic;
variable htrans : std_logic_vector(1 downto 0);
variable hready : std_ulogic;
variable vbdrive : std_logic_vector(31 downto 0);
variable bdrive : std_ulogic;
variable writecfg: std_ulogic;
variable regsd1 : std_logic_vector(31 downto 0); -- data from registers
variable regsd2 : std_logic_vector(31 downto 0); -- data from registers
begin
-- Variable default settings to avoid latches
v := r; v.hready := '0'; writecfg := '0'; vbdrive := rbdrive;
v.hrdata := sdi.data; v.qdrive :='0';
regsd1 := (others => '0');
regsd1(31 downto 15) := r.cfg.refon & r.cfg.trp & r.cfg.trfc &
r.cfg.trcd & r.cfg.bsize & r.cfg.csize & r.cfg.command &
r.cfg.dllrst & r.cfg.renable & r.cfg.cke;
regsd1(11 downto 0) := r.cfg.refresh;
regsd2 := (others => '0');
regsd2(8 downto 0) := conv_std_logic_vector(MHz, 9);
regsd2(14 downto 12) := conv_std_logic_vector(3, 3);
-- generate DQM from address and write size
case ra.acc.size is
when "00" =>
case ra.acc.haddr(3 downto 0) is
when "0000" => dqm := "0111111111111111";
when "0001" => dqm := "1011111111111111";
when "0010" => dqm := "1101111111111111";
when "0011" => dqm := "1110111111111111";
when "0100" => dqm := "1111011111111111";
when "0101" => dqm := "1111101111111111";
when "0110" => dqm := "1111110111111111";
when "0111" => dqm := "1111111011111111";
when "1000" => dqm := "1111111101111111";
when "1001" => dqm := "1111111110111111";
when "1010" => dqm := "1111111111011111";
when "1011" => dqm := "1111111111101111";
when "1100" => dqm := "1111111111110111";
when "1101" => dqm := "1111111111111011";
when "1110" => dqm := "1111111111111101";
when others => dqm := "1111111111111110";
end case;
when "01" =>
case ra.acc.haddr(3 downto 1) is
when "000" => dqm := "0011111111111111";
when "001" => dqm := "1100111111111111";
when "010" => dqm := "1111001111111111";
when "011" => dqm := "1111110011111111";
when "100" => dqm := "1111111100111111";
when "101" => dqm := "1111111111001111";
when "110" => dqm := "1111111111110011";
when others => dqm := "1111111111111100";
end case;
when others =>
dqm := "0000000000000000";
end case;
v.startsd := ra.startsd;
-- main FSM
case r.mstate is
when midle =>
if r.startsd = '1' then
if (r.sdstate = sidle) and (r.cfg.command = "000") and
(r.cmstate = midle)
then
startsd := '1'; v.mstate := active;
end if;
end if;
when others => null;
end case;
startsd := r.startsd xor r.startsdold;
-- generate row and column address size
haddr := ra.acc.haddr;
haddr(31 downto 20) := haddr(31 downto 20) and not conv_std_logic_vector(hmask, 12);
case r.cfg.csize is
when "00" => raddr := haddr(25 downto 12);
when "01" => raddr := haddr(26 downto 13);
when "10" => raddr := haddr(27 downto 14);
when others => raddr := haddr(28 downto 15);
end case;
-- generate bank address
ba := genmux(r.cfg.bsize, haddr(29 downto 22)) &
genmux(r.cfg.bsize, haddr(28 downto 21));
-- generate chip select
adec := genmux(r.cfg.bsize, haddr(30 downto 23));
rams := adec & not adec;
-- sdram access FSM
if r.trfc /= "000" then v.trfc := r.trfc - 1; end if;
case r.sdstate is
when sidle =>
if (startsd = '1') and (r.cfg.command = "000") and (r.cmstate = midle)
and (r.istate = finish)
then
v.address := raddr; v.ba := ba;
if ra.acc.hio = '0' then
v.sdcsn := not rams(1 downto 0); v.rasn := '0'; v.sdstate := act1;
else v.sdstate := ioreg1; end if;
end if;
v.waddr := ra.acc.haddr(7 downto 2);
when act1 =>
v.rasn := '1'; v.trfc := r.cfg.trfc;
if r.cfg.trcd = '1' then v.sdstate := act2; else
v.sdstate := act3; v.hready := ra.acc.hwrite;
end if;
v.waddr := ra.acc.haddr(7 downto 2);
when act2 =>
v.sdstate := act3; v.hready := ra.acc.hwrite;
when act3 =>
v.casn := '0';
v.address := ra.acc.haddr(15 downto 13) & '0' & ra.acc.haddr(12 downto 4) & '0';
v.dqm := dqm;
if ra.acc.hwrite = '1' then
v.waddr := r.waddr + 4; v.waddr(1 downto 0) := "00";
v.sdstate := wr1; v.sdwen := '0'; v.bdrive := '0'; v.qdrive := '1';
if (r.waddr /= ra.raddr) then v.hready := '1';
if (r.waddr(5 downto 2) = ra.raddr(5 downto 2)) then
if r.waddr(1) = '1' then v.dqm(15 downto 8) := (others => '1');
else
case ra.raddr(1 downto 0) is
when "01" => v.dqm(7 downto 0) := (others => '1');
when "10" => v.dqm(3 downto 0) := (others => '1');
v.dqm(15 downto 12) := (others => r.waddr(0));
when others => v.dqm(15 downto 12) := (others => r.waddr(0));
end case;
end if;
else
case r.waddr(1 downto 0) is
when "01" => v.dqm(15 downto 12) := (others => '1');
when "10" => v.dqm(15 downto 8) := (others => '1');
when "11" => v.dqm(15 downto 4) := (others => '1');
when others => null;
end case;
end if;
else
case r.waddr(1 downto 0) is
when "00" => v.dqm(11 downto 0) := (others => '1');
when "01" => v.dqm(15 downto 12) := (others => '1'); v.dqm(7 downto 0) := (others => '1');
when "10" => v.dqm(15 downto 8) := (others => '1'); v.dqm(3 downto 0) := (others => '1');
when others => v.dqm(15 downto 4) := (others => '1');
end case;
end if;
else v.sdstate := rd1; end if;
when wr1 =>
v.sdwen := '1'; v.casn := '1'; v.qdrive := '1';
v.waddr := r.waddr + 4; v.dqm := (others => '0');
v.address(8 downto 3) := r.waddr;
if (r.waddr <= ra.raddr) and (r.waddr(5 downto 2) /= "0000") and (r.hready = '1')
then
v.hready := '1';
if (r.hready = '1') and (r.waddr(2 downto 0) = "000") then
v.sdwen := '0'; v.casn := '0';
end if;
if (r.waddr(5 downto 2) = ra.raddr(5 downto 2)) and (r.waddr /= "000000") then
case ra.raddr(1 downto 0) is
when "00" => v.dqm(11 downto 0) := (others => '1');
when "01" => v.dqm(7 downto 0) := (others => '1');
when "10" => v.dqm(3 downto 0) := (others => '1');
when others => null;
end case;
end if;
else
v.sdstate := wr2;
v.dqm := (others => '1'); --v.bdrive := '1';
v.startsdold := r.startsd;
end if;
when wr2 =>
v.sdstate := wr3; v.qdrive := '1';
when wr3 =>
v.sdstate := wr4a; v.qdrive := '1';
when wr4a =>
v.bdrive := '1';
v.rasn := '0'; v.sdwen := '0'; v.sdstate := wr4; v.qdrive := '1';
when wr4 =>
v.sdcsn := "11"; v.rasn := '1'; v.sdwen := '1'; v.qdrive := '0';
v.sdstate := wr5;
when wr5 =>
v.sdstate := sidle;
when rd1 =>
v.casn := '1'; v.sdstate := rd7;
-- if ra.acc.haddr(4 downto 2) = "011" then
-- v.casn := '0'; v.burst := '1'; v.address(5 downto 3) := "100";
-- end if;
when rd7 =>
v.casn := '1'; v.sdstate := rd2;
-- if ra.acc.haddr(4 downto 2) = "010" then
-- v.casn := '0'; v.burst := '1'; v.address(5 downto 3) := "100";
-- end if;
when rd2 =>
v.casn := '1'; v.sdstate := rd3;
-- if ra.acc.haddr(4 downto 2) = "001" then
-- v.casn := '0'; v.burst := '1'; v.address(5 downto 3) := "100";
-- end if;
-- if v.sdwen = '0' then v.dqm := (others => '1'); end if;
when rd3 =>
if fast = 0 then v.startsdold := r.startsd; end if;
v.sdstate := rd4; v.hready := '1'; v.casn := '1';
-- if r.sdwen = '0' then
-- v.rasn := '1'; v.sdwen := '1'; v.sdcsn := "11"; v.dqm := (others => '1');
-- elsif ra.acc.haddr(4 downto 2) = "000" then
-- v.casn := '0'; v.burst := '1'; v.address(5) := '1';
-- v.waddr := v.address(8 downto 3);
-- end if;
if v.hready = '1' then v.waddr := r.waddr + 4; end if;
when rd4 =>
v.hready := '1'; v.casn := '1';
-- if (r.sdcsn /= "11") and (r.waddr(1 downto 0) = "11") and (r.burst = '1')
-- then
-- v.burst := '0';
if (r.sdcsn = "11") or (r.waddr(2 downto 2) = "1") then
v.dqm := (others => '1'); v.burst := '0';
if fast /= 0 then v.startsdold := r.startsd; end if;
if (r.sdcsn /= "11") then
v.rasn := '0'; v.sdwen := '0'; v.sdstate := rd5;
else
if r.cfg.trp = '1' then v.sdstate := rd6;
else v.sdstate := sidle; end if;
end if;
end if;
if v.hready = '1' then v.waddr := r.waddr + 4; end if;
when rd5 =>
if r.cfg.trp = '1' then v.sdstate := rd6;
else v.sdstate := sidle; end if;
v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1';
v.dqm := (others => '1');
when rd6 =>
v.sdstate := sidle; v.dqm := (others => '1');
v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1';
when ioreg1 =>
v.hrdata(127 downto 64) := regsd1 & regsd2; v.sdstate := ioreg2;
if ra.acc.hwrite = '0' then v.hready := '1'; end if;
when ioreg2 =>
writecfg := ra.acc.hwrite and not r.waddr(0); v.startsdold := r.startsd;
v.sdstate := sidle;
when others =>
v.sdstate := sidle;
end case;
-- sdram commands
case r.cmstate is
when midle =>
if r.sdstate = sidle then
case r.cfg.command is
when CMD_PRE => -- precharge
v.sdcsn := (others => '0'); v.rasn := '0'; v.sdwen := '0';
v.address(12) := '1'; v.cmstate := active;
when CMD_REF => -- auto-refresh
v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0';
v.cmstate := active;
when CMD_EMR => -- load-ext-mode-reg
v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0';
v.sdwen := '0'; v.cmstate := active; v.ba := "01";
v.address := "00000000000000";
when CMD_LMR => -- load-mode-reg
v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0';
v.sdwen := '0'; v.cmstate := active; v.ba := "00";
-- v.address := "00000" & r.cfg.dllrst & "0" & "01" & r.cfg.trcd & "0011";
v.address := "00000" & r.cfg.dllrst & "0" & "01" & "00010";
when others => null;
end case;
end if;
when active =>
v.sdcsn := (others => '1'); v.rasn := '1'; v.casn := '1';
v.sdwen := '1'; v.cfg.command := "000";
v.cmstate := leadout; v.trfc := r.cfg.trfc;
when others =>
if r.trfc = "000" then v.cmstate := midle; end if;
end case;
-- sdram init
case r.istate is
when iidle =>
if r.cfg.renable = '1' then
v.cfg.cke := '1'; v.cfg.dllrst := '1';
if r.cfg.cke = '1' then v.istate := pre; v.cfg.command := CMD_PRE; end if; v.ba := "00";
end if;
when pre =>
if r.cfg.command = "000" then
v.cfg.command := "11" & r.cfg.dllrst; -- CMD_LMR/CMD_EMR
if r.cfg.dllrst = '1' then v.istate := emode; else v.istate := lmode; end if;
end if;
when emode =>
if r.cfg.command = "000" then
v.istate := lmode; v.cfg.command := CMD_LMR;
end if;
when lmode =>
if r.cfg.command = "000" then
if r.cfg.dllrst = '1' then
if r.refresh(9 downto 8) = "00" then -- > 200 clocks delay
v.cfg.command := CMD_PRE; v.istate := ref1;
end if;
else
v.istate := finish; --v.cfg.command := CMD_LMR;
v.cfg.refon := '1'; v.cfg.renable := '0';
end if;
end if;
when ref1 =>
if r.cfg.command = "000" then
v.cfg.command := CMD_REF; v.cfg.dllrst := '0'; v.istate := ref2;
end if;
when ref2 =>
if r.cfg.command = "000" then
v.cfg.command := CMD_REF; v.istate := pre;
end if;
when others =>
if r.cfg.renable = '1' then
v.istate := iidle; v.cfg.dllrst := '1';
end if;
end case;
-- second part of main fsm
case r.mstate is
when active =>
if v.hready = '1' then
v.mstate := midle;
end if;
when others => null;
end case;
-- sdram refresh counter
if ((r.cfg.refon = '1') and (r.istate = finish)) or
(r.cfg.dllrst = '1')
then
v.refresh := r.refresh - 1;
if (v.refresh(11) and not r.refresh(11)) = '1' then
v.refresh := r.cfg.refresh;
if r.cfg.dllrst = '0' then v.cfg.command := "100"; end if;
end if;
end if;
-- AHB register access
if (ra.acc.hio and ra.acc.hwrite and writecfg) = '1' then
v.cfg.refresh := wdata(11+96 downto 0+96);
v.cfg.cke := wdata(15+96);
v.cfg.renable := wdata(16+96);
v.cfg.dllrst := wdata(17+96);
v.cfg.command := wdata(20+96 downto 18+96);
v.cfg.csize := wdata(22+96 downto 21+96);
v.cfg.bsize := wdata(25+96 downto 23+96);
v.cfg.trcd := wdata(26+96);
v.cfg.trfc := wdata(29+96 downto 27+96);
v.cfg.trp := wdata(30+96);
v.cfg.refon := wdata(31+96);
end if;
v.nbdrive := not v.bdrive;
if oepol = 1 then bdrive := r.nbdrive; vbdrive := (others => v.nbdrive);
else bdrive := r.bdrive; vbdrive := (others => v.bdrive);end if;
-- reset
if rst = '0' then
v.sdstate := sidle;
v.mstate := midle;
v.istate := finish;
v.cmstate := midle;
v.cfg.command := "000";
v.cfg.csize := conv_std_logic_vector(col-9, 2);
v.cfg.bsize := conv_std_logic_vector(log2(Mbyte/8), 3);
if MHz > 100 then v.cfg.trcd := '1'; else v.cfg.trcd := '0'; end if;
v.cfg.refon := '0';
v.cfg.trfc := conv_std_logic_vector(75*MHz/1000-2, 3);
v.cfg.refresh := conv_std_logic_vector(7800*MHz/1000, 12);
v.refresh := (others => '0');
if pwron = 1 then v.cfg.renable := '1';
else v.cfg.renable := '0'; end if;
if MHz > 100 then v.cfg.trp := '1'; else v.cfg.trp := '0'; end if;
v.dqm := (others => '1');
v.sdwen := '1';
v.rasn := '1';
v.casn := '1';
v.hready := '0';
v.startsd := '0';
v.startsdold := '0';
v.cfg.dllrst := '0';
v.cfg.cke := '0';
end if;
ri <= v;
ribdrive <= vbdrive;
end process;
sdo.sdcke <= (others => r.cfg.cke);
ahbso.hconfig <= hconfig;
ahbso.hirq <= (others => '0');
ahbso.hindex <= hindex;
ahbregs : process(clk_ahb) begin
if rising_edge(clk_ahb) then
ra <= rai;
end if;
end process;
ddrregs : process(clk_ddr, rst) begin
if rising_edge(clk_ddr) then
r <= ri; rbdrive <= ribdrive;
end if;
if (rst = '0') then
r.sdcsn <= (others => '1'); r.bdrive <= '1'; r.nbdrive <= '0';
if oepol = 0 then rbdrive <= (others => '1');
else rbdrive <= (others => '0'); end if;
r.cfg.cke <= '0';
end if;
end process;
sdo.address <= '0' & ri.address;
sdo.ba <= ri.ba;
sdo.bdrive <= r.nbdrive when oepol = 1 else r.bdrive;
sdo.qdrive <= not (ri.qdrive or r.nbdrive);
sdo.vbdrive <= rbdrive;
sdo.sdcsn <= ri.sdcsn;
sdo.sdwen <= ri.sdwen;
sdo.dqm <= r.dqm;
sdo.rasn <= ri.rasn;
sdo.casn <= ri.casn;
sdo.data <= wdata;
read_buff : syncram_2p
generic map (tech => memtech, abits => 4, dbits => 128, sepclk => 1, wrfst => 0)
port map ( rclk => clk_ahb, renable => vcc, raddress => rai.raddr(5 downto 2),
dataout => rdata, wclk => clk_ddr, write => ri.hready,
waddress => r.waddr(5 downto 2), datain => ri.hrdata);
write_buff1 : syncram_2p
generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0)
port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2),
dataout => wdata(127 downto 96), wclk => clk_ahb, write => ra.write(0),
waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata);
write_buff2 : syncram_2p
generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0)
port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2),
dataout => wdata(95 downto 64), wclk => clk_ahb, write => ra.write(1),
waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata);
write_buff3 : syncram_2p
generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0)
port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2),
dataout => wdata(63 downto 32), wclk => clk_ahb, write => ra.write(2),
waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata);
write_buff4 : syncram_2p
generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0)
port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2),
dataout => wdata(31 downto 0), wclk => clk_ahb, write => ra.write(3),
waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata);
-- pragma translate_off
bootmsg : report_version
generic map (
msg1 => "ddrsp" & tost(hindex) & ": 64-bit DDR266 controller rev " &
tost(REVISION) & ", " & tost(Mbyte) & " Mbyte, " & tost(MHz) &
" MHz DDR clock");
-- pragma translate_on
end;
|
---------------------------------------------------------------------
-- LXP32 testbench package
--
-- Part of the LXP32 testbench
--
-- Copyright (c) 2016 by Alex I. Kuznetsov
--
-- Auxiliary package declaration for the LXP32 testbench
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package tb_pkg is
constant c_max_program_size: integer:=8192;
type soc_globals_type is record
rst_i: std_logic;
cpu_rst_i: std_logic;
end record;
type soc_wbs_in_type is record
cyc: std_logic;
stb: std_logic;
we: std_logic;
sel: std_logic_vector(3 downto 0);
adr: std_logic_vector(31 downto 2);
dat: std_logic_vector(31 downto 0);
end record;
type soc_wbs_out_type is record
ack: std_logic;
dat: std_logic_vector(31 downto 0);
end record;
type soc_wbm_in_type is record
ack: std_logic;
dat: std_logic_vector(31 downto 0);
end record;
type soc_wbm_out_type is record
cyc: std_logic;
stb: std_logic;
we: std_logic;
sel: std_logic_vector(3 downto 0);
adr: std_logic_vector(27 downto 2);
dat: std_logic_vector(31 downto 0);
end record;
type monitor_out_type is record
data: std_logic_vector(31 downto 0);
valid: std_logic;
end record;
procedure load_ram(
filename: string;
signal clk: in std_logic;
signal soc_in: out soc_wbs_in_type;
signal soc_out: in soc_wbs_out_type
);
procedure run_test(
filename: string;
signal clk: in std_logic;
signal globals: out soc_globals_type;
signal soc_in: out soc_wbs_in_type;
signal soc_out: in soc_wbs_out_type;
signal result: in monitor_out_type
);
end package;
|
--------------------------------------------------------------------------
--
-- Copyright (C) 1993, Peter J. Ashenden
-- Mail: Dept. Computer Science
-- University of Adelaide, SA 5005, Australia
-- e-mail: [email protected]
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 1, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
--
--------------------------------------------------------------------------
--
-- $RCSfile: alu-behaviour.vhdl,v $ $Revision: 2.1 $ $Date: 1993/11/02 18:52:07 $
--
--------------------------------------------------------------------------
--
-- Behavioural architecture of ALU.
--
architecture behaviour of alu is
begin
alu_op: process (s1, s2, latch_en, func)
use work.bv_arithmetic.all;
variable stored_s1, stored_s2 : dlx_word;
variable temp_result : dlx_word;
variable temp_overflow : boolean;
begin
if latch_en = '1' then
stored_s1 := s1;
stored_s2 := s2;
end if;
case func is
when alu_pass_s1 =>
temp_result := stored_s1;
when alu_pass_s2 =>
temp_result := stored_s2;
when alu_and =>
temp_result := stored_s1 and stored_s2;
when alu_or =>
temp_result := stored_s1 or stored_s2;
when alu_xor =>
temp_result := stored_s1 xor stored_s2;
when alu_sll =>
temp_result := bv_sll(stored_s1, bv_to_natural(stored_s2(27 to 31)));
when alu_srl =>
temp_result := bv_srl(stored_s1, bv_to_natural(stored_s2(27 to 31)));
when alu_sra =>
temp_result := bv_sra(stored_s1, bv_to_natural(stored_s2(27 to 31)));
when alu_add =>
bv_add(stored_s1, stored_s2, temp_result, temp_overflow);
when alu_addu =>
bv_addu(stored_s1, stored_s2, temp_result, temp_overflow);
when alu_sub =>
bv_sub(stored_s1, stored_s2, temp_result, temp_overflow);
when alu_subu =>
bv_subu(stored_s1, stored_s2, temp_result, temp_overflow);
end case;
result <= temp_result after Tpd;
zero <= bit'val(boolean'pos(temp_result = dlx_word'(X"0000_0000"))) after Tpd;
negative <= temp_result(0) after Tpd;
overflow <= bit'val(boolean'pos(temp_overflow)) after Tpd;
end process alu_op;
end behaviour;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: clkbuf_xilinx
-- File: clkbuf_xilinx.vhd
-- Author: Marko Isomaki, Jiri GAisler - Gaisler Research
-- Description: Clock buffer generator for Xilinx devices
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BUFGMUX;
use unisim.BUFG;
-- pragma translate_on
entity clkbuf_xilinx is
generic(
buftype : integer range 0 to 3 := 0);
port(
i : in std_ulogic;
o : out std_ulogic
);
end entity;
architecture rtl of clkbuf_xilinx is
component BUFGMUX port (O : out std_logic; I0, I1, S : in std_logic); end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
signal gnd : std_ulogic;
signal x : std_ulogic;
attribute syn_noclockbuf : boolean;
attribute syn_noclockbuf of x : signal is true;
begin
gnd <= '0';
buf0 : if (buftype = 0) or (buftype > 2) generate
x <= i; o <= x;
end generate;
buf1 : if buftype = 1 generate
buf : bufgmux port map(S => gnd, I0 => i, I1 => gnd, O => o);
end generate;
buf2 : if (buftype = 2) generate
buf : bufg port map(I => i, O => o);
end generate;
end architecture;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BUFGMUX;
-- pragma translate_on
entity clkmux_xilinx is
port(
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic
);
end entity;
architecture rtl of clkmux_xilinx is
component BUFGMUX port (O : out std_logic; I0, I1, S : in std_logic); end component;
begin
buf : bufgmux port map(S => sel, I0 => i0, I1 => i1, O => o);
end architecture;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Filename: rom_8192x32_tb.vhd
-- Description:
-- Testbench Top
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.ALL;
ENTITY rom_8192x32_tb IS
END ENTITY;
ARCHITECTURE rom_8192x32_tb_ARCH OF rom_8192x32_tb IS
SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0);
SIGNAL CLK : STD_LOGIC := '1';
SIGNAL RESET : STD_LOGIC;
BEGIN
CLK_GEN: PROCESS BEGIN
CLK <= NOT CLK;
WAIT FOR 100 NS;
CLK <= NOT CLK;
WAIT FOR 100 NS;
END PROCESS;
RST_GEN: PROCESS BEGIN
RESET <= '1';
WAIT FOR 1000 NS;
RESET <= '0';
WAIT;
END PROCESS;
--STOP_SIM: PROCESS BEGIN
-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS
-- ASSERT FALSE
-- REPORT "END SIMULATION TIME REACHED"
-- SEVERITY FAILURE;
--END PROCESS;
--
PROCESS BEGIN
WAIT UNTIL STATUS(8)='1';
IF( STATUS(7 downto 0)/="0") THEN
ASSERT false
REPORT "Test Completed Successfully"
SEVERITY NOTE;
REPORT "Simulation Failed"
SEVERITY FAILURE;
ELSE
ASSERT false
REPORT "TEST PASS"
SEVERITY NOTE;
REPORT "Test Completed Successfully"
SEVERITY FAILURE;
END IF;
END PROCESS;
rom_8192x32_synth_inst:ENTITY work.rom_8192x32_synth
GENERIC MAP (C_ROM_SYNTH => 0)
PORT MAP(
CLK_IN => CLK,
RESET_IN => RESET,
STATUS => STATUS
);
END ARCHITECTURE;
|
library verilog;
use verilog.vl_types.all;
entity setLT is
port(
busSLT : out vl_logic_vector(31 downto 0);
busA : in vl_logic_vector(31 downto 0);
busB : in vl_logic_vector(31 downto 0);
zSLT : out vl_logic;
oSLT : out vl_logic;
cSLT : out vl_logic;
nSLT : out vl_logic
);
end setLT;
|
-- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity syncram_1r1w is
generic (
addr_bits : natural := 5;
data_bits : natural := 32;
write_first : boolean := true
);
port (
clk : in std_ulogic;
we : in std_ulogic;
waddr : in std_ulogic_vector((addr_bits-1) downto 0);
wdata : in std_ulogic_vector((data_bits-1) downto 0);
re : in std_ulogic;
raddr : in std_ulogic_vector((addr_bits-1) downto 0);
rdata : out std_ulogic_vector((data_bits-1) downto 0)
);
end;
|
--------------------------------------------------------------------------------
-- Designer: Paolo Fulgoni <[email protected]>
--
-- Create Date: 09/14/2007
-- Last Update: 04/09/2008
-- Project Name: camellia-vhdl
-- Description: Key schedule only for 128-bit keys
--
-- Copyright (C) 2007 Paolo Fulgoni
-- This file is part of camellia-vhdl.
-- camellia-vhdl is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 3 of the License, or
-- (at your option) any later version.
-- camellia-vhdl is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- The Camellia cipher algorithm is 128 bit cipher developed by NTT and
-- Mitsubishi Electric researchers.
-- http://info.isl.ntt.co.jp/crypt/eng/camellia/
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity KEYSCHED128 is
port (
reset : in STD_LOGIC;
clk : in STD_LOGIC;
kl_in : in STD_LOGIC_VECTOR (0 to 127);
kl_out : out STD_LOGIC_VECTOR (0 to 127);
ka_out : out STD_LOGIC_VECTOR (0 to 127)
);
end KEYSCHED128;
architecture RTL of KEYSCHED128 is
component F is
port (
reset : in STD_LOGIC;
clk : in STD_LOGIC;
x : in STD_LOGIC_VECTOR (0 to 63);
k : in STD_LOGIC_VECTOR (0 to 63);
z : out STD_LOGIC_VECTOR (0 to 63)
);
end component;
-- f inputs
signal f1_in : STD_LOGIC_VECTOR (0 to 63);
signal f2_in : STD_LOGIC_VECTOR (0 to 63);
signal f3_in : STD_LOGIC_VECTOR (0 to 63);
signal f4_in : STD_LOGIC_VECTOR (0 to 63);
-- f outputs
signal f1_out : STD_LOGIC_VECTOR (0 to 63);
signal f2_out : STD_LOGIC_VECTOR (0 to 63);
signal f3_out : STD_LOGIC_VECTOR (0 to 63);
signal f4_out : STD_LOGIC_VECTOR (0 to 63);
-- intermediate registers
signal reg1_l : STD_LOGIC_VECTOR (0 to 63);
signal reg1_r : STD_LOGIC_VECTOR (0 to 63);
signal reg1_kl : STD_LOGIC_VECTOR (0 to 127);
signal reg2_l : STD_LOGIC_VECTOR (0 to 63);
signal reg2_r : STD_LOGIC_VECTOR (0 to 63);
signal reg2_kl : STD_LOGIC_VECTOR (0 to 127);
signal reg3_l : STD_LOGIC_VECTOR (0 to 63);
signal reg3_r : STD_LOGIC_VECTOR (0 to 63);
signal reg3_kl : STD_LOGIC_VECTOR (0 to 127);
signal reg4_l : STD_LOGIC_VECTOR (0 to 63);
signal reg4_r : STD_LOGIC_VECTOR (0 to 63);
signal reg4_kl : STD_LOGIC_VECTOR (0 to 127);
-- constant keys
constant k1 : STD_LOGIC_VECTOR (0 to 63) := X"A09E667F3BCC908B";
constant k2 : STD_LOGIC_VECTOR (0 to 63) := X"B67AE8584CAA73B2";
constant k3 : STD_LOGIC_VECTOR (0 to 63) := X"C6EF372FE94F82BE";
constant k4 : STD_LOGIC_VECTOR (0 to 63) := X"54FF53A5F1D36F1C";
-- intermediate signal
signal inter : STD_LOGIC_VECTOR (0 to 127);
begin
F1 : F
port map(reset, clk, f1_in, k1, f1_out);
F2 : F
port map(reset, clk, f2_in, k2, f2_out);
F3 : F
port map(reset, clk, f3_in, k3, f3_out);
F4 : F
port map(reset, clk, f4_in, k4, f4_out);
REG : process(reset, clk)
begin
if (reset = '1') then
reg1_l <= (others=>'0');
reg1_r <= (others=>'0');
reg1_kl <= (others=>'0');
reg2_l <= (others=>'0');
reg2_r <= (others=>'0');
reg2_kl <= (others=>'0');
reg3_l <= (others=>'0');
reg3_r <= (others=>'0');
reg3_kl <= (others=>'0');
reg4_l <= (others=>'0');
reg4_r <= (others=>'0');
reg4_kl <= (others=>'0');
else
if (rising_edge(clk)) then -- rising clock edge
reg1_l <= f1_in;
reg1_r <= kl_in(64 to 127);
reg1_kl <= kl_in;
reg2_l <= f2_in;
reg2_r <= reg1_l;
reg2_kl <= reg1_kl;
reg3_l <= f3_in;
reg3_r <= inter(64 to 127);
reg3_kl <= reg2_kl;
reg4_l <= f4_in;
reg4_r <= reg3_l;
reg4_kl <= reg3_kl;
end if;
end if;
end process;
inter <= ((f2_out xor reg2_r) & reg2_l) xor reg2_kl;
-- f inputs
f1_in <= kl_in(0 to 63);
f2_in <= f1_out xor reg1_r;
f3_in <= inter(0 to 63);
f4_in <= f3_out xor reg3_r;
-- output
kl_out <= reg4_kl;
ka_out <= (f4_out xor reg4_r) & reg4_l;
end RTL;
|
-- $Id: tbd_rlink_sp1c.vhd 442 2011-12-23 10:03:28Z mueller $
--
-- Copyright 2007-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: tbd_rlink_sp1c - syn
-- Description: Wrapper for rlink_core plus rlink_serport with an interface
-- compatible to the rlink_core only module.
-- NOTE: this implementation is a hack, should be redone
-- using configurations.
--
-- Dependencies: tbu_rlink_sp1c [UUT]
-- serport_uart_tx
-- serport_uart_rx
-- byte2cdata
-- cdata2byte
--
-- To test: rlink_sp1c
--
-- Target Devices: generic
-- Tool versions: xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-12-22 442 3.1 renamed and retargeted to tbu_rlink_sp1c
-- 2011-11-19 427 3.0.5 now numeric_std clean
-- 2010-12-28 350 3.0.4 use CLKDIV/CDINIT=0;
-- 2010-12-26 348 3.0.3 add RTS/CTS ports for tbu_;
-- 2010-12-24 347 3.0.2 rename: CP_*->RL->*
-- 2010-12-22 346 3.0.1 removed proc_moni, use .rlmon cmd in test bench
-- 2010-12-05 343 3.0 rri->rlink renames; port to rbus V3 protocol;
-- 2010-06-06 301 2.3 use NCOMM=4 (new eop,nak commas)
-- 2010-05-02 287 2.2.2 ren CE_XSEC->CE_INT,RP_STAT->RB_STAT,AP_LAM->RB_LAM
-- drop RP_IINT signal from interfaces
-- 2010-04-24 281 2.2.1 use serport_uart_[tr]x directly again
-- 2010-04-03 274 2.2 add CE_USEC
-- 2009-03-14 197 2.1 remove records in interface to allow _ssim usage
-- 2008-08-24 162 2.0 with new rb_mreq/rb_sres interface
-- 2007-11-25 98 1.1 added RP_IINT support; use entity rather arch
-- name to switch core/serport;
-- use serport_uart_[tr]x_tb to allow that UUT is a
-- [sft]sim model compiled with keep hierarchy
-- 2007-07-02 63 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
use work.slvtypes.all;
use work.rlinklib.all;
use work.comlib.all;
use work.serport.all;
use work.simlib.all;
use work.simbus.all;
entity tbd_rlink_sp1c is -- rlink_sp1c tb design
-- implements tbd_rlink_gen
port (
CLK : in slbit; -- clock
CE_INT : in slbit; -- rlink ito time unit clock enable
CE_USEC : in slbit; -- 1 usec clock enable
RESET : in slbit; -- reset
RL_DI : in slv9; -- rlink: data in
RL_ENA : in slbit; -- rlink: data enable
RL_BUSY : out slbit; -- rlink: data busy
RL_DO : out slv9; -- rlink: data out
RL_VAL : out slbit; -- rlink: data valid
RL_HOLD : in slbit; -- rlink: data hold
RB_MREQ_aval : out slbit; -- rbus: request - aval
RB_MREQ_re : out slbit; -- rbus: request - re
RB_MREQ_we : out slbit; -- rbus: request - we
RB_MREQ_initt : out slbit; -- rbus: request - init; avoid name coll
RB_MREQ_addr : out slv8; -- rbus: request - addr
RB_MREQ_din : out slv16; -- rbus: request - din
RB_SRES_ack : in slbit; -- rbus: response - ack
RB_SRES_busy : in slbit; -- rbus: response - busy
RB_SRES_err : in slbit; -- rbus: response - err
RB_SRES_dout : in slv16; -- rbus: response - dout
RB_LAM : in slv16; -- rbus: look at me
RB_STAT : in slv3; -- rbus: status flags
TXRXACT : out slbit -- txrx active flag
);
end entity tbd_rlink_sp1c;
architecture syn of tbd_rlink_sp1c is
constant CDWIDTH : positive := 13;
constant c_cdinit : natural := 0; -- NOTE: change in tbu_rlink_sp1c !!
signal RRI_RXSD : slbit := '0';
signal RRI_TXSD : slbit := '0';
signal RTS_N : slbit := '0';
signal RXDATA : slv8 := (others=>'0');
signal RXVAL : slbit := '0';
signal RXACT : slbit := '0';
signal TXDATA : slv8 := (others=>'0');
signal TXENA : slbit := '0';
signal TXBUSY : slbit := '0';
signal CLKDIV : slv13 := slv(to_unsigned(c_cdinit,CDWIDTH));
component tbu_rlink_sp1c is -- rlink core+serport combo
port (
CLK : in slbit; -- clock
CE_INT : in slbit; -- rlink ito time unit clock enable
CE_USEC : in slbit; -- 1 usec clock enable
CE_MSEC : in slbit; -- 1 msec clock enable
RESET : in slbit; -- reset
RXSD : in slbit; -- receive serial data (board view)
TXSD : out slbit; -- transmit serial data (board view)
CTS_N : in slbit; -- clear to send (act.low, board view)
RTS_N : out slbit; -- request to send (act.low, board view)
RB_MREQ_aval : out slbit; -- rbus: request - aval
RB_MREQ_re : out slbit; -- rbus: request - re
RB_MREQ_we : out slbit; -- rbus: request - we
RB_MREQ_initt : out slbit; -- rbus: request - init; avoid name coll
RB_MREQ_addr : out slv8; -- rbus: request - addr
RB_MREQ_din : out slv16; -- rbus: request - din
RB_SRES_ack : in slbit; -- rbus: response - ack
RB_SRES_busy : in slbit; -- rbus: response - busy
RB_SRES_err : in slbit; -- rbus: response - err
RB_SRES_dout : in slv16; -- rbus: response - dout
RB_LAM : in slv16; -- rbus: look at me
RB_STAT : in slv3 -- rbus: status flags
);
end component;
begin
UUT : tbu_rlink_sp1c
port map (
CLK => CLK,
CE_INT => CE_INT,
CE_USEC => CE_USEC,
CE_MSEC => '1',
RESET => RESET,
RXSD => RRI_RXSD,
TXSD => RRI_TXSD,
CTS_N => '0',
RTS_N => RTS_N,
RB_MREQ_aval => RB_MREQ_aval,
RB_MREQ_re => RB_MREQ_re,
RB_MREQ_we => RB_MREQ_we,
RB_MREQ_initt=> RB_MREQ_initt,
RB_MREQ_addr => RB_MREQ_addr,
RB_MREQ_din => RB_MREQ_din,
RB_SRES_ack => RB_SRES_ack,
RB_SRES_busy => RB_SRES_busy,
RB_SRES_err => RB_SRES_err,
RB_SRES_dout => RB_SRES_dout,
RB_LAM => RB_LAM,
RB_STAT => RB_STAT
);
UARTRX : serport_uart_rx
generic map (
CDWIDTH => CDWIDTH)
port map (
CLK => CLK,
RESET => RESET,
CLKDIV => CLKDIV,
RXSD => RRI_TXSD,
RXDATA => RXDATA,
RXVAL => RXVAL,
RXERR => open,
RXACT => RXACT
);
UARTTX : serport_uart_tx
generic map (
CDWIDTH => CDWIDTH)
port map (
CLK => CLK,
RESET => RESET,
CLKDIV => CLKDIV,
TXSD => RRI_RXSD,
TXDATA => TXDATA,
TXENA => TXENA,
TXBUSY => TXBUSY
);
TXRXACT <= RXACT or TXBUSY;
B2CD : byte2cdata -- byte stream -> 9bit comma,data
generic map (
CPREF => c_rlink_cpref,
NCOMM => c_rlink_ncomm)
port map (
CLK => CLK,
RESET => RESET,
DI => RXDATA,
ENA => RXVAL,
BUSY => open,
DO => RL_DO,
VAL => RL_VAL,
HOLD => RL_HOLD
);
CD2B : cdata2byte -- 9bit comma,data -> byte stream
generic map (
CPREF => c_rlink_cpref,
NCOMM => c_rlink_ncomm)
port map (
CLK => CLK,
RESET => RESET,
DI => RL_DI,
ENA => RL_ENA,
BUSY => RL_BUSY,
DO => TXDATA,
VAL => TXENA,
HOLD => TXBUSY
);
proc_moni: process
variable oline : line;
variable rts_last : slbit := '0';
variable ncycle : integer := 0;
begin
loop
wait until rising_edge(CLK); -- check at end of clock cycle
if RTS_N /= rts_last then
writetimestamp(oline, SB_CLKCYCLE, ": rts ");
write(oline, string'(" RTS_N "));
write(oline, rts_last, right, 1);
write(oline, string'(" -> "));
write(oline, RTS_N, right, 1);
write(oline, string'(" after "));
write(oline, ncycle, right, 5);
write(oline, string'(" cycles"));
writeline(output, oline);
rts_last := RTS_N;
ncycle := 0;
end if;
ncycle := ncycle + 1;
end loop;
end process proc_moni;
end syn;
|
----------------------------------------------------------------------------------
-- Company: @Home
-- Engineer: Zoltan Pekic ([email protected])
--
-- Create Date: 11:26:14 02/14/2016
-- Design Name:
-- Module Name: counterwithlimit - Behavioral
-- Project Name: Alarm Clock
-- Target Devices: Mercury FPGA + Baseboard (http://www.micro-nova.com/mercury/)
-- Tool versions: Xilinx ISE 14.7 (nt64)
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity counterwithlimit is
Port ( clock : in STD_LOGIC;
clear : in STD_LOGIC;
up : in STD_LOGIC;
down : in STD_LOGIC;
set : in STD_LOGIC;
set_value : in STD_LOGIC_VECTOR(3 downto 0);
limit : in STD_LOGIC_VECTOR (3 downto 0);
out_count : out STD_LOGIC_VECTOR (3 downto 0);
out_zero : out STD_LOGIC;
out_limit : out STD_LOGIC);
end counterwithlimit;
architecture Behavioral of counterwithlimit is
signal cnt: unsigned(3 downto 0);
begin
out_count <= std_logic_vector(cnt);
out_zero <= '1' when cnt = X"0" else '0';
out_limit <= '1' when cnt = unsigned(limit) else '0';
count: process(clock, clear, set, up, down)
begin
if clear = '1' then
cnt <= "0000";
else
if (clock'event and clock = '1') then
if (set = '1') then
cnt <= unsigned(set_value); -- setting to a value keyed in
else
if up = '1' then
if cnt >= unsigned(limit) then
cnt <= "0000";
else
cnt <= cnt + 1;
end if;
end if;
if down = '1' then
if cnt = "0000" then
cnt <= unsigned(limit);
else
cnt <= cnt - 1;
end if;
end if;
end if;
end if;
end if;
end process;
end Behavioral;
|
package FIFO_PKG is
procedure AVERAGE_SAMPLES;
procedure AVERAGE_SAMPLES (constant a : in integer := 0; signal b : in std_logic := 'X'; variable c : in std_logic := 'X');
procedure AVERAGE_SAMPLES (
constant a : in integer := 0;
signal b : in std_logic := 'X';
variable c : in std_logic := 'X';
some_sig : inout t_some_type := '0');
-- Violations below this line
procedure AVERAGE_SAMPLES (
constant a : in integer := 0;
signal b : in std_logic := 'X';
variable ccc : in std_logic := 'X';
some_sig : inout t_some_type := '0');
end package FIFO_PKG;
package body FIFO_PKG is
procedure AVERAGE_SAMPLES (
constant a : in integer := 0;
signal b : in std_logic := 'X';
variable c : in std_logic := 'X') is
begin
end procedure AVERAGE_SAMPLES;
-- Violations below this line
procedure AVERAGE_SAMPLES (
constant a : in integer := 0;
signal b : in std_logic := 'X';
variable ccc : in std_logic := 'X') is
begin
end procedure AVERAGE_SAMPLES;
end package body FIFO_PKG;
architecture RTL of ENT is
procedure AVERAGE_SAMPLES (
constant a : in integer := 0;
signal b : in std_logic := 'X';
variable c : in std_logic := 'X') is
begin
end procedure AVERAGE_SAMPLES;
-- Violations below this line
procedure AVERAGE_SAMPLES (
constant a : in integer := 0;
signal b : in std_logic := 'X';
variable ccc : in std_logic := 'X') is
begin
end procedure AVERAGE_SAMPLES;
begin
TEST_PROCESS : process
procedure AVERAGE_SAMPLES (
constant a : in integer := 0;
signal b : in std_logic := 'X';
variable c : in std_logic := 'X') is
begin
end procedure AVERAGE_SAMPLES;
-- Violations below this line
procedure AVERAGE_SAMPLES (
constant a : in integer := 0;
signal b : in std_logic := 'X';
variable ccc : in std_logic := 'X') is
begin
end procedure AVERAGE_SAMPLES;
begin
end process TEST_PROCESS;
end architecture RTL;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1074.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p03n04i01074ent IS
END c06s04b00x00p03n04i01074ent;
ARCHITECTURE c06s04b00x00p03n04i01074arch OF c06s04b00x00p03n04i01074ent IS
BEGIN
TESTING: PROCESS
constant C1 : STRING := "ABCDEFGH";
variable V1 : CHARACTER;
variable q : integer := 9;
BEGIN
V1 := C1(1);
assert V1 = 'A'
report "FAIL: first index";
V1 := C1(q); -- should result in index error
assert FALSE
report "***FAILED TEST: c06s04b00x00p03n04i01074- Index value should belong to the range of the corresponding index range of the array."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p03n04i01074arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1074.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p03n04i01074ent IS
END c06s04b00x00p03n04i01074ent;
ARCHITECTURE c06s04b00x00p03n04i01074arch OF c06s04b00x00p03n04i01074ent IS
BEGIN
TESTING: PROCESS
constant C1 : STRING := "ABCDEFGH";
variable V1 : CHARACTER;
variable q : integer := 9;
BEGIN
V1 := C1(1);
assert V1 = 'A'
report "FAIL: first index";
V1 := C1(q); -- should result in index error
assert FALSE
report "***FAILED TEST: c06s04b00x00p03n04i01074- Index value should belong to the range of the corresponding index range of the array."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p03n04i01074arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1074.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p03n04i01074ent IS
END c06s04b00x00p03n04i01074ent;
ARCHITECTURE c06s04b00x00p03n04i01074arch OF c06s04b00x00p03n04i01074ent IS
BEGIN
TESTING: PROCESS
constant C1 : STRING := "ABCDEFGH";
variable V1 : CHARACTER;
variable q : integer := 9;
BEGIN
V1 := C1(1);
assert V1 = 'A'
report "FAIL: first index";
V1 := C1(q); -- should result in index error
assert FALSE
report "***FAILED TEST: c06s04b00x00p03n04i01074- Index value should belong to the range of the corresponding index range of the array."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p03n04i01074arch;
|
library ieee;
use ieee.std_logic_1164.all;
-- Module Generates Video Sync signals for Video Monitor Interface
-- RGB and Sync outputs tie directly to monitor connector pins
entity vga_timing_generator is
generic (
H_COUNT_MAX: integer := 800;
V_COUNT_MAX: integer := 525
);
port(
vga_clock_in: in std_logic;
horiz_sync_out: out std_logic;
vert_sync_out : out std_logic;
video_on: out std_logic;
pixel_row: out integer range 0 to V_COUNT_MAX;
pixel_column: out integer range 0 to H_COUNT_MAX
);
end vga_timing_generator;
architecture behavior of vga_timing_generator is
-- Horizontal Timing constants
constant H_PIXELS_COUNT: integer := 640;
constant H_FRONT_PORCH_LENGTH: integer := 16;
constant H_SYNC_PULSE_LENGTH: integer := 96;
constant H_COUNT_SYNC_LOW: integer := H_PIXELS_COUNT + H_FRONT_PORCH_LENGTH;
constant H_COUNT_SYNC_HIGH: integer := H_COUNT_SYNC_LOW + H_SYNC_PULSE_LENGTH;
-- Vertical Timing constants
constant V_PIXELS_COUNT: integer := 480;
constant V_FRONT_PORCH_LENGTH: integer := 9; -- 11;
constant V_SYNC_PULSE_LENGTH: integer := 2;
constant V_COUNT_SYNC_LOW: integer := V_PIXELS_COUNT + V_FRONT_PORCH_LENGTH;
constant V_COUNT_SYNC_HIGH: integer := V_COUNT_SYNC_LOW + V_SYNC_PULSE_LENGTH;
signal horiz_sync, vert_sync: std_logic;
signal video_on_v, video_on_h : std_logic;
signal h_count: integer range 0 to H_COUNT_MAX;
signal v_count: integer range 0 to V_COUNT_MAX;
begin
-- video_on is high only when RGB pixel data is being displayed
-- used to blank color signals at screen edges during retrace
video_on <= video_on_H and video_on_V;
process
begin
wait until rising_edge(vga_clock_in);
--Generate Horizontal and Vertical Timing signals for Video signal
-- H_count counts pixels (#pixels across + extra time for sync signals)
--
-- Horiz_sync ------------------------------------__________--------
-- H_count 0 #pixels sync low end
if (h_count = H_COUNT_MAX) then
h_count <= 0;
else
h_count <= h_count + 1;
end if;
--Generate Horizontal Sync signal using H_count
if (h_count <= H_COUNT_SYNC_HIGH) and (h_count >= H_COUNT_SYNC_LOW) then
horiz_sync <= '0';
else
horiz_sync <= '1';
end if;
--V_count counts rows of pixels (#pixel rows down + extra time for V sync signal)
--
-- Vert_sync -----------------------------------------------_______------------
-- V_count 0 last pixel row V sync low end
--
if (v_count >= V_COUNT_MAX) and (h_count >= H_COUNT_SYNC_LOW) then
v_count <= 0;
elsif (h_count = H_COUNT_SYNC_LOW) then
v_count <= v_count + 1;
end if;
-- Generate Vertical Sync signal using V_count
if (v_count <= V_COUNT_SYNC_HIGH) and (v_count >= V_COUNT_SYNC_LOW) then
vert_sync <= '0';
else
vert_sync <= '1';
end if;
-- Generate Video on Screen signals for Pixel Data
-- Video on = 1 indicates pixel are being displayed
-- Video on = 0 retrace - user logic can update pixel
-- memory without needing to read memory for display
if (h_count < H_PIXELS_COUNT) then
video_on_h <= '1';
pixel_column <= h_count;
else
video_on_h <= '0';
end if;
if (v_count <= V_PIXELS_COUNT) then
video_on_v <= '1';
pixel_row <= v_count;
else
video_on_v <= '0';
end if;
-- Put all video signals through DFFs to eliminate any small timing
-- delays that cause a blurry image
horiz_sync_out <= horiz_sync;
vert_sync_out <= vert_sync;
end process;
end Behavior;
|
package STRSYN is
attribute SigDir : string;
attribute SigType : string;
attribute SigBias : string;
end STRSYN;
entity op is
port (
terminal in1: electrical;
terminal in2: electrical;
terminal out1: electrical;
terminal vbias1: electrical;
terminal vdd: electrical;
terminal gnd: electrical;
terminal vbias2: electrical;
terminal vbias3: electrical;
terminal vbias4: electrical);
end op;
architecture simple of op is
-- Attributes for Ports
attribute SigDir of in1:terminal is "input";
attribute SigType of in1:terminal is "voltage";
attribute SigDir of in2:terminal is "input";
attribute SigType of in2:terminal is "voltage";
attribute SigDir of out1:terminal is "output";
attribute SigType of out1:terminal is "voltage";
attribute SigDir of vbias1:terminal is "reference";
attribute SigType of vbias1:terminal is "voltage";
attribute SigDir of vdd:terminal is "reference";
attribute SigType of vdd:terminal is "current";
attribute SigBias of vdd:terminal is "positive";
attribute SigDir of gnd:terminal is "reference";
attribute SigType of gnd:terminal is "current";
attribute SigBias of gnd:terminal is "negative";
attribute SigDir of vbias2:terminal is "reference";
attribute SigType of vbias2:terminal is "voltage";
attribute SigDir of vbias3:terminal is "reference";
attribute SigType of vbias3:terminal is "voltage";
attribute SigDir of vbias4:terminal is "reference";
attribute SigType of vbias4:terminal is "voltage";
terminal net1: electrical;
terminal net2: electrical;
terminal net3: electrical;
begin
subnet0_subnet0_m1 : entity pmos(behave)
generic map(
L => Ldiff_0,
W => Wdiff_0,
scope => private
)
port map(
D => net1,
G => in1,
S => net2
);
subnet0_subnet0_m2 : entity pmos(behave)
generic map(
L => Ldiff_0,
W => Wdiff_0,
scope => private
)
port map(
D => out1,
G => in2,
S => net2
);
subnet0_subnet0_m3 : entity pmos(behave)
generic map(
L => LBias,
W => W_0
)
port map(
D => net2,
G => vbias1,
S => vdd
);
subnet0_subnet1_m1 : entity nmos(behave)
generic map(
L => Lcm_1,
W => Wcm_1,
scope => private
)
port map(
D => net1,
G => net1,
S => gnd
);
subnet0_subnet1_m2 : entity nmos(behave)
generic map(
L => Lcm_1,
W => Wcmcout_1,
scope => private
)
port map(
D => out1,
G => net1,
S => gnd
);
subnet1_subnet0_m1 : entity pmos(behave)
generic map(
L => LBias,
W => (pfak)*(WBias)
)
port map(
D => vbias1,
G => vbias1,
S => vdd
);
subnet1_subnet0_m2 : entity pmos(behave)
generic map(
L => (pfak)*(LBias),
W => (pfak)*(WBias)
)
port map(
D => vbias2,
G => vbias2,
S => vbias1
);
subnet1_subnet0_i1 : entity idc(behave)
generic map(
dc => 1.145e-05
)
port map(
P => vdd,
N => vbias3
);
subnet1_subnet0_m3 : entity nmos(behave)
generic map(
L => (pfak)*(LBias),
W => WBias
)
port map(
D => vbias3,
G => vbias3,
S => vbias4
);
subnet1_subnet0_m4 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => vbias2,
G => vbias3,
S => net3
);
subnet1_subnet0_m5 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => vbias4,
G => vbias4,
S => gnd
);
subnet1_subnet0_m6 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => net3,
G => vbias4,
S => gnd
);
end simple;
|
package STRSYN is
attribute SigDir : string;
attribute SigType : string;
attribute SigBias : string;
end STRSYN;
entity op is
port (
terminal in1: electrical;
terminal in2: electrical;
terminal out1: electrical;
terminal vbias1: electrical;
terminal vdd: electrical;
terminal gnd: electrical;
terminal vbias2: electrical;
terminal vbias3: electrical;
terminal vbias4: electrical);
end op;
architecture simple of op is
-- Attributes for Ports
attribute SigDir of in1:terminal is "input";
attribute SigType of in1:terminal is "voltage";
attribute SigDir of in2:terminal is "input";
attribute SigType of in2:terminal is "voltage";
attribute SigDir of out1:terminal is "output";
attribute SigType of out1:terminal is "voltage";
attribute SigDir of vbias1:terminal is "reference";
attribute SigType of vbias1:terminal is "voltage";
attribute SigDir of vdd:terminal is "reference";
attribute SigType of vdd:terminal is "current";
attribute SigBias of vdd:terminal is "positive";
attribute SigDir of gnd:terminal is "reference";
attribute SigType of gnd:terminal is "current";
attribute SigBias of gnd:terminal is "negative";
attribute SigDir of vbias2:terminal is "reference";
attribute SigType of vbias2:terminal is "voltage";
attribute SigDir of vbias3:terminal is "reference";
attribute SigType of vbias3:terminal is "voltage";
attribute SigDir of vbias4:terminal is "reference";
attribute SigType of vbias4:terminal is "voltage";
terminal net1: electrical;
terminal net2: electrical;
terminal net3: electrical;
begin
subnet0_subnet0_m1 : entity pmos(behave)
generic map(
L => Ldiff_0,
W => Wdiff_0,
scope => private
)
port map(
D => net1,
G => in1,
S => net2
);
subnet0_subnet0_m2 : entity pmos(behave)
generic map(
L => Ldiff_0,
W => Wdiff_0,
scope => private
)
port map(
D => out1,
G => in2,
S => net2
);
subnet0_subnet0_m3 : entity pmos(behave)
generic map(
L => LBias,
W => W_0
)
port map(
D => net2,
G => vbias1,
S => vdd
);
subnet0_subnet1_m1 : entity nmos(behave)
generic map(
L => Lcm_1,
W => Wcm_1,
scope => private
)
port map(
D => net1,
G => net1,
S => gnd
);
subnet0_subnet1_m2 : entity nmos(behave)
generic map(
L => Lcm_1,
W => Wcmcout_1,
scope => private
)
port map(
D => out1,
G => net1,
S => gnd
);
subnet1_subnet0_m1 : entity pmos(behave)
generic map(
L => LBias,
W => (pfak)*(WBias)
)
port map(
D => vbias1,
G => vbias1,
S => vdd
);
subnet1_subnet0_m2 : entity pmos(behave)
generic map(
L => (pfak)*(LBias),
W => (pfak)*(WBias)
)
port map(
D => vbias2,
G => vbias2,
S => vbias1
);
subnet1_subnet0_i1 : entity idc(behave)
generic map(
dc => 1.145e-05
)
port map(
P => vdd,
N => vbias3
);
subnet1_subnet0_m3 : entity nmos(behave)
generic map(
L => (pfak)*(LBias),
W => WBias
)
port map(
D => vbias3,
G => vbias3,
S => vbias4
);
subnet1_subnet0_m4 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => vbias2,
G => vbias3,
S => net3
);
subnet1_subnet0_m5 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => vbias4,
G => vbias4,
S => gnd
);
subnet1_subnet0_m6 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => net3,
G => vbias4,
S => gnd
);
end simple;
|
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used
USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions
ENTITY mux_2x1_busInput IS
GENERIC(size: INTEGER);
PORT(a, b: IN STD_LOGIC_VECTOR(size-1 DOWNTO 0);
ctrl: IN STD_LOGIC;
q: OUT STD_LOGIC_VECTOR(size-1 DOWNTO 0));
END mux_2x1_busInput;
ARCHITECTURE dataflow OF mux_2x1_busInput IS
BEGIN
q<=a when ctrl='0' else b;
END dataflow; |
-- file : test_fb.vhdl
-- created by Yann Guidon / ygdes.com
-- version 2010/06/05
library work;
use work.fb_ghdl.all;
entity test_fb is
end test_fb;
architecture test of test_fb is
begin
process
begin
report " x=" & integer'image(fbx);
report " y=" & integer'image(fby);
report "xv=" & integer'image(fbxv);
report "yv=" & integer'image(fbyv);
-- Warning : fbp was available in a previous
-- version of the code, it is now useless
-- affiche un pixel vert+bleu
-- fbp.all := 65535;
wait;
end process;
end test;
|
architecture RTL of FIFO is
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
begin
end architecture RTL;
|
architecture RTL of FIFO is
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
begin
end architecture RTL;
|
architecture RTL of FIFO is
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
begin
end architecture RTL;
|
architecture RTL of FIFO is
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
begin
end architecture RTL;
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 22-02-2016
-- Module Name: process.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity process_t is
end entity;
architecture arch_process_t of process_t is
signal a, b : std_logic := '0';
signal y_normal, y_fun : std_logic := '0';
signal w_normal, w_fun, w_var : std_logic := '0';
begin
a <= '0', '1' after 500 ns, '0' after 1000 ns;
b <= '0';
-- checkout when w_normal signal updated.
process (a, b, y_normal)
begin
y_normal <= a xor b;
w_normal <= y_normal;
end process;
-- checkout when w_fun signal updated.
process (a, b)
begin
y_fun <= a xor b;
w_fun <= y_fun;
end process;
-- checkout when w_var signal updated.
process (a, b)
variable y_var : std_logic;
begin
y_var := a xor b;
w_var <= y_var;
end process;
end architecture arch_process_t;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: virage_simprims
-- File: virage_simprims.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: Simple simulation models for VIRAGE RAMs
-----------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
package virage_simprims is
component virage_syncram_sim
generic ( abits : integer := 10; dbits : integer := 8 );
port (
addr : in std_logic_vector((abits -1) downto 0);
clk : in std_logic;
di : in std_logic_vector((dbits -1) downto 0);
do : out std_logic_vector((dbits -1) downto 0);
me : in std_logic;
oe : in std_logic;
we : in std_logic
);
end component;
-- synchronous 2-port ram
component virage_2pram_sim
generic (
abits : integer := 8;
dbits : integer := 32;
words : integer := 256
);
port (
addra, addrb : in std_logic_vector((abits -1) downto 0);
clka, clkb : in std_logic;
dia : in std_logic_vector((dbits -1) downto 0);
dob : out std_logic_vector((dbits -1) downto 0);
mea, wea, meb, oeb : in std_logic
);
end component;
component virage_dpram_sim
generic (
abits : integer := 8;
dbits : integer := 32
);
port (
addra : in std_logic_vector((abits -1) downto 0);
clka : in std_logic;
dia : in std_logic_vector((dbits -1) downto 0);
doa : out std_logic_vector((dbits -1) downto 0);
mea, oea, wea : in std_logic;
addrb : in std_logic_vector((abits -1) downto 0);
clkb : in std_logic;
dib : in std_logic_vector((dbits -1) downto 0);
dob : out std_logic_vector((dbits -1) downto 0);
meb, oeb, web : in std_logic
);
end component;
end;
-- 1-port syncronous ram
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity virage_syncram_sim is
generic (
abits : integer := 10;
dbits : integer := 8
);
port (
addr : in std_logic_vector((abits -1) downto 0);
clk : in std_logic;
di : in std_logic_vector((dbits -1) downto 0);
do : out std_logic_vector((dbits -1) downto 0);
me : in std_logic;
oe : in std_logic;
we : in std_logic
);
end;
architecture behavioral of virage_syncram_sim is
subtype word is std_logic_vector((dbits -1) downto 0);
type mem is array(0 to (2**abits -1)) of word;
begin
main : process(clk, oe, me)
variable memarr : mem;-- := (others => (others => '0'));
variable doint : std_logic_vector((dbits -1) downto 0);
begin
if rising_edge(clk) and (me = '1') and not is_x(addr) then
if (we = '1') then memarr(to_integer(unsigned(addr))) := di; end if;
doint := memarr(to_integer(unsigned(addr)));
end if;
-- if (me and oe) = '1' then do <= doint;
if oe = '1' then do <= doint;
else do <= (others => 'Z'); end if;
end process;
end behavioral;
-- synchronous 2-port ram
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity virage_2pram_sim is
generic (
abits : integer := 10;
dbits : integer := 8;
words : integer := 1024
);
port (
addra, addrb : in std_logic_vector((abits -1) downto 0);
clka, clkb : in std_logic;
dia : in std_logic_vector((dbits -1) downto 0);
dob : out std_logic_vector((dbits -1) downto 0);
mea, wea, meb, oeb : in std_logic
);
end;
architecture behavioral of virage_2pram_sim is
subtype word is std_logic_vector((dbits -1) downto 0);
type mem is array(0 to (words-1)) of word;
begin
main : process(clka, clkb, oeb, mea, meb, wea)
variable memarr : mem;
variable doint : std_logic_vector((dbits -1) downto 0);
begin
if rising_edge(clka) and (mea = '1') and not is_x(addra) then
if (wea = '1') then memarr(to_integer(unsigned(addra)) mod words) := dia; end if;
end if;
if rising_edge(clkb) and (meb = '1') and not is_x(addrb) then
doint := memarr(to_integer(unsigned(addrb)) mod words);
end if;
if oeb = '1' then dob <= doint;
else dob <= (others => 'Z'); end if;
end process;
end behavioral;
-- synchronous dual-port ram
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity virage_dpram_sim is
generic (
abits : integer := 10;
dbits : integer := 8
);
port (
addra : in std_logic_vector((abits -1) downto 0);
clka : in std_logic;
dia : in std_logic_vector((dbits -1) downto 0);
doa : out std_logic_vector((dbits -1) downto 0);
mea, oea, wea : in std_logic;
addrb : in std_logic_vector((abits -1) downto 0);
clkb : in std_logic;
dib : in std_logic_vector((dbits -1) downto 0);
dob : out std_logic_vector((dbits -1) downto 0);
meb, oeb, web : in std_logic
);
end;
architecture behavioral of virage_dpram_sim is
subtype word is std_logic_vector((dbits -1) downto 0);
type mem is array(0 to (2**abits -1)) of word;
begin
main : process(clka, oea, mea, clkb, oeb, meb)
variable memarr : mem;
variable dointa, dointb : std_logic_vector((dbits -1) downto 0);
begin
if rising_edge(clka) and (mea = '1') and not is_x(addra) then
if (wea = '1') then memarr(to_integer(unsigned(addra))) := dia; end if;
dointa := memarr(to_integer(unsigned(addra)));
end if;
if oea = '1' then doa <= dointa;
else doa <= (others => 'Z'); end if;
if rising_edge(clkb) and (meb = '1') and not is_x(addrb) then
if (web = '1') then memarr(to_integer(unsigned(addrb))) := dib; end if;
dointb := memarr(to_integer(unsigned(addrb)));
end if;
if oeb = '1' then dob <= dointb;
else dob <= (others => 'Z'); end if;
end process;
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss1_128x32cm4sw0ab is
port (
addr, taddr : in std_logic_vector(6 downto 0);
clk : in std_logic;
di, tdi : in std_logic_vector(31 downto 0);
do : out std_logic_vector(31 downto 0);
me, oe, we, tme, twe, awt, biste, toe : in std_logic
);
end;
architecture behavioral of hdss1_128x32cm4sw0ab is
begin
syncram0 : virage_syncram_sim
generic map ( abits => 7, dbits => 32)
port map ( addr, clk, di, do, me, oe, we);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss1_256x32cm4sw0ab is
port (
addr, taddr : in std_logic_vector(7 downto 0);
clk : in std_logic;
di, tdi : in std_logic_vector(31 downto 0);
do : out std_logic_vector(31 downto 0);
me, oe, we, tme, twe, awt, biste, toe : in std_logic
);
end;
architecture behavioral of hdss1_256x32cm4sw0ab is
begin
syncram0 : virage_syncram_sim
generic map ( abits => 8, dbits => 32)
port map ( addr, clk, di, do, me, oe, we);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss1_512x32cm4sw0ab is
port (
addr, taddr : in std_logic_vector(8 downto 0);
clk : in std_logic;
di, tdi : in std_logic_vector(31 downto 0);
do : out std_logic_vector(31 downto 0);
me, oe, we, tme, twe, awt, biste, toe : in std_logic
);
end;
architecture behavioral of hdss1_512x32cm4sw0ab is
begin
syncram0 : virage_syncram_sim
generic map ( abits => 9, dbits => 32)
port map ( addr, clk, di, do, me, oe, we);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss1_512x38cm4sw0ab is
port (
addr, taddr : in std_logic_vector(8 downto 0);
clk : in std_logic;
di, tdi : in std_logic_vector(37 downto 0);
do : out std_logic_vector(37 downto 0);
me, oe, we, tme, twe, awt, biste, toe : in std_logic
);
end;
architecture behavioral of hdss1_512x38cm4sw0ab is
begin
syncram0 : virage_syncram_sim
generic map ( abits => 9, dbits => 38)
port map ( addr, clk, di, do, me, oe, we);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss1_1024x32cm4sw0ab is
port (
addr, taddr : in std_logic_vector(9 downto 0);
clk : in std_logic;
di, tdi : in std_logic_vector(31 downto 0);
do : out std_logic_vector(31 downto 0);
me, oe, we, tme, twe, awt, biste, toe : in std_logic
);
end;
architecture behavioral of hdss1_1024x32cm4sw0ab is
begin
syncram0 : virage_syncram_sim
generic map ( abits => 10, dbits => 32)
port map ( addr, clk, di, do, me, oe, we);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss1_2048x32cm8sw0ab is
port (
addr, taddr : in std_logic_vector(10 downto 0);
clk : in std_logic;
di, tdi : in std_logic_vector(31 downto 0);
do : out std_logic_vector(31 downto 0);
me, oe, we, tme, twe, awt, biste, toe : in std_logic
);
end;
architecture behavioral of hdss1_2048x32cm8sw0ab is
begin
syncram0 : virage_syncram_sim
generic map ( abits => 11, dbits => 32)
port map ( addr, clk, di, do, me, oe, we);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss1_4096x36cm8sw0ab is
port (
addr, taddr : in std_logic_vector(11 downto 0);
clk : in std_logic;
di, tdi : in std_logic_vector(35 downto 0);
do : out std_logic_vector(35 downto 0);
me, oe, we, tme, twe, awt, biste, toe : in std_logic
);
end;
architecture behavioral of hdss1_4096x36cm8sw0ab is
begin
syncram0 : virage_syncram_sim
generic map ( abits => 12, dbits => 36)
port map ( addr, clk, di, do, me, oe, we);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss1_16384x8cm16sw0 is
port (
addr : in std_logic_vector(13 downto 0);
clk : in std_logic;
di : in std_logic_vector(7 downto 0);
do : out std_logic_vector(7 downto 0);
me, oe, we : in std_logic
);
end;
architecture behavioral of hdss1_16384x8cm16sw0 is
begin
syncram0 : virage_syncram_sim
generic map ( abits => 14, dbits => 8)
port map ( addr, clk, di, do, me, oe, we);
end behavioral;
-- 2-port syncronous ram
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity rfss2_136x32cm2sw0ab is
port (
addra, taddra : in std_logic_vector(7 downto 0);
addrb, taddrb : in std_logic_vector(7 downto 0);
clka, clkb : in std_logic;
dia, tdia : in std_logic_vector(31 downto 0);
dob : out std_logic_vector(31 downto 0);
mea, wea, tmea, twea, bistea : in std_logic;
meb, oeb, tmeb, awtb, bisteb, toeb : in std_logic
);
end;
architecture behavioral of rfss2_136x32cm2sw0ab is
begin
syncram0 : virage_2pram_sim
generic map ( abits => 8, dbits => 32, words => 136)
port map ( addra, addrb, clka, clkb, dia, dob, mea, wea, meb, oeb);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity rfss2_136x40cm2sw0ab is
port (
addra, taddra : in std_logic_vector(7 downto 0);
addrb, taddrb : in std_logic_vector(7 downto 0);
clka, clkb : in std_logic;
dia, tdia : in std_logic_vector(39 downto 0);
dob : out std_logic_vector(39 downto 0);
mea, wea, tmea, twea, bistea : in std_logic;
meb, oeb, tmeb, awtb, bisteb, toeb : in std_logic
);
end;
architecture behavioral of rfss2_136x40cm2sw0ab is
begin
syncram0 : virage_2pram_sim
generic map ( abits => 8, dbits => 40, words => 136)
port map ( addra, addrb, clka, clkb, dia, dob, mea, wea, meb, oeb);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity rfss2_168x32cm2sw0ab is
port (
addra, taddra : in std_logic_vector(7 downto 0);
addrb, taddrb : in std_logic_vector(7 downto 0);
clka, clkb : in std_logic;
dia, tdia : in std_logic_vector(31 downto 0);
dob : out std_logic_vector(31 downto 0);
mea, wea, tmea, twea, bistea : in std_logic;
meb, oeb, tmeb, awtb, bisteb, toeb : in std_logic
);
end;
architecture behavioral of rfss2_168x32cm2sw0ab is
begin
syncram0 : virage_2pram_sim
generic map ( abits => 8, dbits => 32, words => 168)
port map ( addra, addrb, clka, clkb, dia, dob, mea, wea, meb, oeb);
end behavioral;
-- dual-port syncronous ram
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss2_64x32cm4sw0ab is
port (
addra, taddra : in std_logic_vector(5 downto 0);
addrb, taddrb : in std_logic_vector(5 downto 0);
clka, clkb : in std_logic;
dia, tdia : in std_logic_vector(31 downto 0);
dib, tdib : in std_logic_vector(31 downto 0);
doa, dob : out std_logic_vector(31 downto 0);
mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
);
end;
architecture behavioral of hdss2_64x32cm4sw0ab is
begin
syncram0 : virage_dpram_sim
generic map ( abits => 6, dbits => 32)
port map ( addra, clka, dia, doa, mea, oea, wea,
addrb, clkb, dib, dob, meb, oeb, web);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss2_128x32cm4sw0ab is
port (
addra, taddra : in std_logic_vector(6 downto 0);
addrb, taddrb : in std_logic_vector(6 downto 0);
clka, clkb : in std_logic;
dia, tdia : in std_logic_vector(31 downto 0);
dib, tdib : in std_logic_vector(31 downto 0);
doa, dob : out std_logic_vector(31 downto 0);
mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
);
end;
architecture behavioral of hdss2_128x32cm4sw0ab is
begin
syncram0 : virage_dpram_sim
generic map ( abits => 7, dbits => 32)
port map ( addra, clka, dia, doa, mea, oea, wea,
addrb, clkb, dib, dob, meb, oeb, web);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss2_256x32cm4sw0ab is
port (
addra, taddra : in std_logic_vector(7 downto 0);
addrb, taddrb : in std_logic_vector(7 downto 0);
clka, clkb : in std_logic;
dia, tdia : in std_logic_vector(31 downto 0);
dib, tdib : in std_logic_vector(31 downto 0);
doa, dob : out std_logic_vector(31 downto 0);
mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
);
end;
architecture behavioral of hdss2_256x32cm4sw0ab is
begin
syncram0 : virage_dpram_sim
generic map ( abits => 8, dbits => 32)
port map ( addra, clka, dia, doa, mea, oea, wea,
addrb, clkb, dib, dob, meb, oeb, web);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss2_512x32cm4sw0ab is
port (
addra, taddra : in std_logic_vector(8 downto 0);
addrb, taddrb : in std_logic_vector(8 downto 0);
clka, clkb : in std_logic;
dia, tdia : in std_logic_vector(31 downto 0);
dib, tdib : in std_logic_vector(31 downto 0);
doa, dob : out std_logic_vector(31 downto 0);
mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
);
end;
architecture behavioral of hdss2_512x32cm4sw0ab is
begin
syncram0 : virage_dpram_sim
generic map ( abits => 9, dbits => 32)
port map ( addra, clka, dia, doa, mea, oea, wea,
addrb, clkb, dib, dob, meb, oeb, web);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss2_512x38cm4sw0ab is
port (
addra, taddra : in std_logic_vector(8 downto 0);
addrb, taddrb : in std_logic_vector(8 downto 0);
clka, clkb : in std_logic;
dia, tdia : in std_logic_vector(37 downto 0);
dib, tdib : in std_logic_vector(37 downto 0);
doa, dob : out std_logic_vector(37 downto 0);
mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
);
end;
architecture behavioral of hdss2_512x38cm4sw0ab is
begin
syncram0 : virage_dpram_sim
generic map ( abits => 9, dbits => 38)
port map ( addra, clka, dia, doa, mea, oea, wea,
addrb, clkb, dib, dob, meb, oeb, web);
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
library virage;
use virage.virage_simprims.all;
entity hdss2_8192x8cm16sw0ab is
port (
addra, taddra : in std_logic_vector(12 downto 0);
addrb, taddrb : in std_logic_vector(12 downto 0);
clka, clkb : in std_logic;
dia, tdia : in std_logic_vector(7 downto 0);
dib, tdib : in std_logic_vector(7 downto 0);
doa, dob : out std_logic_vector(7 downto 0);
mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
);
end;
architecture behavioral of hdss2_8192x8cm16sw0ab is
begin
syncram0 : virage_dpram_sim
generic map ( abits => 13, dbits => 8)
port map ( addra, clka, dia, doa, mea, oea, wea,
addrb, clkb, dib, dob, meb, oeb, web);
end behavioral;
-- pragma translate_on
|
------------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2013 Aeroflex Gaisler
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
use techmap.allclkgen.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.spi.all;
use gaisler.net.all;
use gaisler.jtag.all;
--pragma translate_off
use gaisler.sim.all;
--pragma translate_on
library esa;
use esa.memoryctrl.all;
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW
);
port (
clk : in std_ulogic;
-- onBoard Cellular RAM, Numonyx StrataFlash and Numonyx Quad Flash
MemOE : out std_ulogic;
MemWR : out std_ulogic;
RamAdv : out std_ulogic;
RamCS : out std_ulogic;
RamClk : out std_ulogic;
RamCRE : out std_ulogic;
RamLB : out std_ulogic;
RamUB : out std_ulogic;
RamWait : out std_ulogic;
FlashRp : out std_ulogic;
FlashCS : out std_ulogic;
QuadSpiFlashCS : out std_ulogic;
QuadSpiFlashSck : out std_ulogic;
QuadSpiFlashDB : inout std_logic_vector(0 downto 0);
address : out std_logic_vector(25 downto 0);
data : inout std_logic_vector(15 downto 0);
-- 7 segment display
--seg : out std_logic_vector(7 downto 0);
--an : out std_logic_vector(3 downto 0);
-- LEDs
led : out std_logic_vector(7 downto 0);
-- Switches
sw : in std_logic_vector(7 downto 0);
-- Buttons
btn : in std_logic_vector(4 downto 0); -- reset on btn0
-- VGA Connector
--vgaRed : out std_logic_vector(2 downto 0);
--vgaGreen : out std_logic_vector(2 downto 0);
--vgaBlue : out std_logic_vector(2 downto 1);
--Hsync : out std_ulogic;
--Vsync : out std_ulogic;
-- 12 pin connectors
--ja : inout std_logic_vector(7 downto 0);
--jb : inout std_logic_vector(7 downto 0);
--jc : inout std_logic_vector(7 downto 0);
--jd : inout std_logic_vector(7 downto 0);
-- SMSC ethernet PHY
PhyRstn : out std_ulogic;
PhyCrs : in std_ulogic;
PhyCol : in std_ulogic;
PhyClk25Mhz : out std_ulogic;
PhyTxd : out std_logic_vector(3 downto 0);
PhyTxEn : out std_ulogic;
PhyTxClk : in std_ulogic;
PhyTxEr : out std_ulogic;
PhyRxd : in std_logic_vector(3 downto 0);
PhyRxDv : in std_ulogic;
PhyRxEr : in std_ulogic;
PhyRxClk : in std_ulogic;
PhyMdc : out std_ulogic;
PhyMdio : inout std_logic;
-- Pic USB-HID interface
--PS2KeyboardData : inout std_logic;
--PS2KeyboardClk : inout std_logic;
--PS2MouseData : inout std_logic;
--PS2MouseClk : inout std_logic;
--PicGpio : out std_logic_vector(1 downto 0);
-- USB-RS232 interface
RsRx : in std_logic;
RsTx : out std_logic
);
end;
architecture rtl of leon3mp is
signal vcc : std_logic;
signal gnd : std_logic;
signal memi : memory_in_type;
signal memo : memory_out_type;
signal wpo : wprot_out_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal cgi : clkgen_in_type;
signal cgo : clkgen_out_type;
signal u1i, dui : uart_in_type;
signal u1o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal ethi : eth_in_type;
signal etho : eth_out_type;
signal gpti : gptimer_in_type;
signal spii : spi_in_type;
signal spio : spi_out_type;
signal slvsel : std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0);
signal spmi : spimctrl_in_type;
signal spmo : spimctrl_out_type;
signal clkm, rstn, clkml : std_ulogic;
signal tck, tms, tdi, tdo : std_ulogic;
signal rstraw : std_logic;
signal lock : std_logic;
-- RS232 APB Uart
signal rxd1 : std_logic;
signal txd1 : std_logic;
attribute keep : boolean;
attribute syn_keep : boolean;
attribute syn_preserve : boolean;
attribute syn_keep of lock : signal is true;
attribute syn_keep of clkml : signal is true;
attribute syn_keep of clkm : signal is true;
attribute syn_preserve of clkml : signal is true;
attribute syn_preserve of clkm : signal is true;
attribute keep of lock : signal is true;
attribute keep of clkml : signal is true;
attribute keep of clkm : signal is true;
constant BOARD_FREQ : integer := 100000; -- CLK input frequency in KHz
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= '1';
gnd <= '0';
led(7 downto 4) <= (others =>'0'); -- unused leds off
cgi.pllctrl <= "00";
cgi.pllrst <= rstraw;
rst0 : rstgen generic map (acthigh => 1)
port map (btn(0), clkm, lock, rstn, rstraw);
lock <= cgo.clklock;
-- clock generator
clkgen0 : clkgen
generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
port map (clk, gnd, clkm, open, open, open, open, cgi, cgo, open, open, open);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
nahbm => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH,
nahbs => 8)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
-- LEON3 processor
leon3gen : if CFG_LEON3 = 1 generate
cpu : for i in 0 to CFG_NCPU-1 generate
u0 : leon3s
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR,
CFG_NCPU-1)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
led(3) <= not dbgo(0).error;
led(2) <= not dsuo.active;
-- LEON3 Debug Support Unit
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsui.enable <= '1';
end generate;
end generate;
nodsu : if CFG_DSU = 0 generate
ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
end generate;
-- Debug UART
dcomgen : if CFG_AHB_UART = 1 generate
dcom0 : ahbuart
generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU));
dsurx_pad : inpad generic map (tech => padtech) port map (RsRx, dui.rxd);
dsutx_pad : outpad generic map (tech => padtech) port map (RsTx, duo.txd);
led(0) <= not dui.rxd;
led(1) <= not duo.txd;
end generate;
nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate;
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
open, open, open, open, open, open, open, gnd);
end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller
sr1 : mctrl generic map (hindex => 5, pindex => 0, paddr => 0, iomask => 0,
ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,srbanks=>1)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(5), apbi, apbo(0), wpo, open);
end generate;
memi.brdyn <= '1';
memi.bexcn <= '1';
memi.writen <= '1';
memi.wrn <= "1111";
memi.bwidth <= "01";
mg0 : if (CFG_MCTRL_LEON2 = 0) generate
apbo(0) <= apb_none;
ahbso(5) <= ahbs_none;
memo.bdrive(0) <= '1';
end generate;
mgpads : if (CFG_MCTRL_LEON2 /= 0) generate
addr_pad : outpadv generic map (tech => padtech, width => 26)
port map (address, memo.address(26 downto 1));
oen_pad : outpad generic map (tech => padtech)
port map (MemOE, memo.oen);
cs_pad : outpad generic map (tech => padtech)
port map (RamCS, memo.ramsn(0));
lb_pad : outpad generic map (tech => padtech)
port map (RamLB, memo.mben(0));
ub_pad : outpad generic map (tech => padtech)
port map (RamUB, memo.mben(1));
wri_pad : outpad generic map (tech => padtech)
port map (MemWR, memo.writen);
fce_pad : outpad generic map (tech => padtech)
port map (FlashCS, memo.romsn(0));
frp_pad : outpad generic map (tech => padtech)
port map (FlashRp, memo.writen);
end generate;
bdr : iopadv generic map (tech => padtech, width => 8)
port map (data(7 downto 0), memo.data(23 downto 16),
memo.bdrive(1), memi.data(23 downto 16));
bdr2 : iopadv generic map (tech => padtech, width => 8)
port map (data(15 downto 8), memo.data(31 downto 24),
memo.bdrive(0), memi.data(31 downto 24));
RamCRE <= '0';
RamClk <= '0';
RamAdv <= '0';
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
-- APB Bridge
apb0 : apbctrl
generic map (hindex => 1, haddr => CFG_APBADDR)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
-- Interrupt controller
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp
generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to CFG_NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
-- Time Unit
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW,
ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW)
port map (rstn, clkm, apbi, apbo(3), gpti, open);
gpti.dhalt <= dsuo.tstop;
gpti.extclk <= '0';
end generate;
notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
-- Console UART.
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.rxd <= rxd1;
u1i.ctsn <= '0';
u1i.extclk <= '0';
txd1 <= u1o.txd;
-- The USB UART is curently mapped to ahbuart.
-- serrx_pad : inpad generic map (tech => padtech) port map (RsRx, rxd1);
-- sertx_pad : outpad generic map (tech => padtech) port map (RsTx, txd1);
-- led(0) <= not rxd1;
-- led(1) <= not txd1;
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
nospi: if CFG_SPICTRL_ENABLE = 0 and CFG_SPIMCTRL = 0 generate
apbo(7) <= apb_none;
end generate;
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : grethm
generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 7,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G)
port map(rst => rstn, clk => clkm, ahbmi => ahbmi,
ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG),
apbi => apbi, apbo => apbo(15), ethi => ethi, etho => etho);
PhyRstn<=rstn;
end generate;
ethpads : if (CFG_GRETH = 1) generate -- eth pads
emdio_pad : iopad generic map (tech => padtech)
port map (PhyMdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
etxc_pad : clkpad generic map (tech => padtech, arch => 2)
port map (PhyTxClk, ethi.tx_clk);
erxc_pad : clkpad generic map (tech => padtech, arch => 2)
port map (PhyRxClk, ethi.rx_clk);
erxd_pad : inpadv generic map (tech => padtech, width => 4)
port map (PhyRxd, ethi.rxd(3 downto 0));
erxdv_pad : inpad generic map (tech => padtech)
port map (PhyRxDv, ethi.rx_dv);
erxer_pad : inpad generic map (tech => padtech)
port map (PhyRxEr, ethi.rx_er);
erxco_pad : inpad generic map (tech => padtech)
port map (PhyCol, ethi.rx_col);
erxcr_pad : inpad generic map (tech => padtech)
port map (PhyCrs, ethi.rx_crs);
etxd_pad : outpadv generic map (tech => padtech, width => 4)
port map (PhyTxd, etho.txd(3 downto 0));
etxen_pad : outpad generic map (tech => padtech)
port map (PhyTxEn, etho.tx_en);
etxer_pad : outpad generic map (tech => padtech)
port map (PhyTxEr, etho.tx_er);
emdc_pad : outpad generic map (tech => padtech)
port map (PhyMdc, etho.mdc);
end generate;
-----------------------------------------------------------------------
--- AHB ROM ----------------------------------------------------------
-----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 generate
brom : entity work.ahbrom
generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map ( rstn, clkm, ahbsi, ahbso(6));
end generate;
nobpromgen : if CFG_AHBROMEN = 0 generate
ahbso(6) <= ahbs_none;
end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ahbramgen : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram
generic map (hindex => 3, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH,
kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE)
port map (rstn, clkm, ahbsi, ahbso(3));
end generate;
nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate;
-----------------------------------------------------------------------
-- Test report module, only used for simulation ----------------------
-----------------------------------------------------------------------
--pragma translate_off
test0 : ahbrep generic map (hindex => 4, haddr => 16#200#)
port map (rstn, clkm, ahbsi, ahbso(4));
--pragma translate_on
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+1) to NAHBMST-1 generate
ahbmo(i) <= ahbm_none;
end generate;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 Demonstration design for Digilent NEXYS 3 board",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end rtl;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fg_tb_synth.vhd
--
-- Description:
-- This is the demo testbench for fifo_generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.STD_LOGIC_1164.ALL;
USE ieee.STD_LOGIC_unsigned.ALL;
USE IEEE.STD_LOGIC_arith.ALL;
USE ieee.numeric_std.ALL;
USE ieee.STD_LOGIC_misc.ALL;
LIBRARY std;
USE std.textio.ALL;
LIBRARY unisim;
USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.fg_tb_pkg.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY fg_tb_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE simulation_arch OF fg_tb_synth IS
-- FIFO interface signal declarations
SIGNAL wr_clk_i : STD_LOGIC;
SIGNAL rd_clk_i : STD_LOGIC;
SIGNAL wr_data_count : STD_LOGIC_VECTOR(9-1 DOWNTO 0);
SIGNAL rd_data_count : STD_LOGIC_VECTOR(9-1 DOWNTO 0);
SIGNAL almost_full : STD_LOGIC;
SIGNAL almost_empty : STD_LOGIC;
SIGNAL rst : STD_LOGIC;
SIGNAL wr_en : STD_LOGIC;
SIGNAL rd_en : STD_LOGIC;
SIGNAL din : STD_LOGIC_VECTOR(128-1 DOWNTO 0);
SIGNAL dout : STD_LOGIC_VECTOR(128-1 DOWNTO 0);
SIGNAL full : STD_LOGIC;
SIGNAL empty : STD_LOGIC;
-- TB Signals
SIGNAL wr_data : STD_LOGIC_VECTOR(128-1 DOWNTO 0);
SIGNAL dout_i : STD_LOGIC_VECTOR(128-1 DOWNTO 0);
SIGNAL wr_en_i : STD_LOGIC := '0';
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL full_i : STD_LOGIC := '0';
SIGNAL empty_i : STD_LOGIC := '0';
SIGNAL almost_full_i : STD_LOGIC := '0';
SIGNAL almost_empty_i : STD_LOGIC := '0';
SIGNAL prc_we_i : STD_LOGIC := '0';
SIGNAL prc_re_i : STD_LOGIC := '0';
SIGNAL dout_chk_i : STD_LOGIC := '0';
SIGNAL rst_int_rd : STD_LOGIC := '0';
SIGNAL rst_int_wr : STD_LOGIC := '0';
SIGNAL rst_s_wr1 : STD_LOGIC := '0';
SIGNAL rst_s_wr2 : STD_LOGIC := '0';
SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL rst_s_wr3 : STD_LOGIC := '0';
SIGNAL rst_s_rd : STD_LOGIC := '0';
SIGNAL reset_en : STD_LOGIC := '0';
SIGNAL rst_async_wr1 : STD_LOGIC := '0';
SIGNAL rst_async_wr2 : STD_LOGIC := '0';
SIGNAL rst_async_wr3 : STD_LOGIC := '0';
SIGNAL rst_async_rd1 : STD_LOGIC := '0';
SIGNAL rst_async_rd2 : STD_LOGIC := '0';
SIGNAL rst_async_rd3 : STD_LOGIC := '0';
BEGIN
---- Reset generation logic -----
rst_int_wr <= rst_async_wr3 OR rst_s_wr3;
rst_int_rd <= rst_async_rd3 OR rst_s_rd;
--Testbench reset synchronization
PROCESS(rd_clk_i,RESET)
BEGIN
IF(RESET = '1') THEN
rst_async_rd1 <= '1';
rst_async_rd2 <= '1';
rst_async_rd3 <= '1';
ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN
rst_async_rd1 <= RESET;
rst_async_rd2 <= rst_async_rd1;
rst_async_rd3 <= rst_async_rd2;
END IF;
END PROCESS;
PROCESS(wr_clk_i,RESET)
BEGIN
IF(RESET = '1') THEN
rst_async_wr1 <= '1';
rst_async_wr2 <= '1';
rst_async_wr3 <= '1';
ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN
rst_async_wr1 <= RESET;
rst_async_wr2 <= rst_async_wr1;
rst_async_wr3 <= rst_async_wr2;
END IF;
END PROCESS;
--Soft reset for core and testbench
PROCESS(rd_clk_i)
BEGIN
IF(rd_clk_i'event AND rd_clk_i='1') THEN
rst_gen_rd <= rst_gen_rd + "1";
IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN
rst_s_rd <= '1';
assert false
report "Reset applied..Memory Collision checks are not valid"
severity note;
ELSE
IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN
rst_s_rd <= '0';
END IF;
END IF;
END IF;
END PROCESS;
PROCESS(wr_clk_i)
BEGIN
IF(wr_clk_i'event AND wr_clk_i='1') THEN
rst_s_wr1 <= rst_s_rd;
rst_s_wr2 <= rst_s_wr1;
rst_s_wr3 <= rst_s_wr2;
IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN
assert false
report "Reset removed..Memory Collision checks are valid"
severity note;
END IF;
END IF;
END PROCESS;
------------------
---- Clock buffers for testbench ----
wr_clk_buf: bufg
PORT map(
i => WR_CLK,
o => wr_clk_i
);
rdclk_buf: bufg
PORT map(
i => RD_CLK,
o => rd_clk_i
);
------------------
rst <= RESET OR rst_s_rd AFTER 12 ns;
din <= wr_data;
dout_i <= dout;
wr_en <= wr_en_i;
rd_en <= rd_en_i;
full_i <= full;
empty_i <= empty;
almost_empty_i <= almost_empty;
almost_full_i <= almost_full;
fg_dg_nv: fg_tb_dgen
GENERIC MAP (
C_DIN_WIDTH => 128,
C_DOUT_WIDTH => 128,
TB_SEED => TB_SEED,
C_CH_TYPE => 0
)
PORT MAP ( -- Write Port
RESET => rst_int_wr,
WR_CLK => wr_clk_i,
PRC_WR_EN => prc_we_i,
FULL => full_i,
WR_EN => wr_en_i,
WR_DATA => wr_data
);
fg_dv_nv: fg_tb_dverif
GENERIC MAP (
C_DOUT_WIDTH => 128,
C_DIN_WIDTH => 128,
C_USE_EMBEDDED_REG => 0,
TB_SEED => TB_SEED,
C_CH_TYPE => 0
)
PORT MAP(
RESET => rst_int_rd,
RD_CLK => rd_clk_i,
PRC_RD_EN => prc_re_i,
RD_EN => rd_en_i,
EMPTY => empty_i,
DATA_OUT => dout_i,
DOUT_CHK => dout_chk_i
);
fg_pc_nv: fg_tb_pctrl
GENERIC MAP (
AXI_CHANNEL => "Native",
C_APPLICATION_TYPE => 0,
C_DOUT_WIDTH => 128,
C_DIN_WIDTH => 128,
C_WR_PNTR_WIDTH => 9,
C_RD_PNTR_WIDTH => 9,
C_CH_TYPE => 0,
FREEZEON_ERROR => FREEZEON_ERROR,
TB_SEED => TB_SEED,
TB_STOP_CNT => TB_STOP_CNT
)
PORT MAP(
RESET_WR => rst_int_wr,
RESET_RD => rst_int_rd,
RESET_EN => reset_en,
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
PRC_WR_EN => prc_we_i,
PRC_RD_EN => prc_re_i,
FULL => full_i,
ALMOST_FULL => almost_full_i,
ALMOST_EMPTY => almost_empty_i,
DOUT_CHK => dout_chk_i,
EMPTY => empty_i,
DATA_IN => wr_data,
DATA_OUT => dout,
SIM_DONE => SIM_DONE,
STATUS => STATUS
);
fg_inst : pcie_command_send_fifo_top
PORT MAP (
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
WR_DATA_COUNT => wr_data_count,
RD_DATA_COUNT => rd_data_count,
ALMOST_FULL => almost_full,
ALMOST_EMPTY => almost_empty,
RST => rst,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
END ARCHITECTURE;
|
--
-- Counter
--
-- Author(s):
-- * Rodrigo A. Melo
--
-- Copyright (c) 2017 Authors and INTI
-- Distributed under the BSD 3-Clause License
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library FPGALIB;
use FPGALIB.numeric.all;
entity Counter is
generic (
DEPTH : positive:=8
);
port (
clk_i : in std_logic; -- Clock
rst_i : in std_logic; -- Reset
ena_i : in std_logic; -- Input Enable
count_o : out std_logic_vector(clog2(DEPTH)-1 downto 0); -- Counter value
last_o : out std_logic -- Last value
);
end entity Counter;
architecture RTL of Counter is
constant AWIDTH : positive:=clog2(DEPTH);
signal count : unsigned(AWIDTH-1 downto 0):=(others => '0');
begin
count_p:
process (clk_i)
begin
if rising_edge(clk_i) then
last_o <= '0';
if rst_i='1' then
count <= (others => '0');
else
if ena_i='1' then
if count < DEPTH-1 then
count <= count + 1;
if count = DEPTH-2 then
last_o <= '1';
end if;
else
count <= (others => '0');
end if;
end if;
end if;
end if;
end process count_p;
count_o <= std_logic_vector(count);
end architecture RTL;
|
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_ARITH.ALL;
use IEEE.std_logic_UNSIGNED.ALL;
--
-- Copyright (C) 2007, Peter C. Wallace, Mesa Electronics
-- http://www.mesanet.com
--
-- This program is is licensed under a disjunctive dual license giving you
-- the choice of one of the two following sets of free software/open source
-- licensing terms:
--
-- * GNU General Public License (GPL), version 2.0 or later
-- * 3-clause BSD License
--
--
-- The GNU GPL License:
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
--
--
-- The 3-clause BSD License:
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- * Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- * Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- * Neither the name of Mesa Electronics nor the names of its
-- contributors may be used to endorse or promote products
-- derived from this software without specific prior written
-- permission.
--
--
-- Disclaimer:
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
entity qcounter is
generic (
buswidth : integer := 32
);
port (
obus: out std_logic_vector (buswidth-1 downto 0);
ibus: in std_logic_vector (buswidth-1 downto 0);
quada: in std_logic;
quadb: in std_logic;
index: in std_logic;
loadccr: in std_logic;
readccr: in std_logic;
readcount: in std_logic;
countclear: in std_logic;
timestamp: in std_logic_vector (15 downto 0);
indexmask: in std_logic;
filterrate: in std_logic;
clk: in std_logic
);
end qcounter;
architecture behavioral of qcounter is
signal count: std_logic_vector (15 downto 0);
signal up: std_logic;
signal down: std_logic;
signal countlatch: std_logic_vector (15 downto 0);
signal timestamplatch: std_logic_vector (15 downto 0);
signal quadadel: std_logic;
signal quada1: std_logic;
signal quada2: std_logic;
signal quadacnt: std_logic_vector (3 downto 0);
signal quadafilt: std_logic;
signal quadbdel: std_logic;
signal quadb1: std_logic;
signal quadb2: std_logic;
signal quadbcnt: std_logic_vector (3 downto 0);
signal quadbfilt: std_logic;
signal indexdel: std_logic;
signal index1: std_logic;
signal index2: std_logic;
signal indexdet: std_logic;
signal indexcnt: std_logic_vector (3 downto 0);
signal indexfilt: std_logic;
signal qcountup: std_logic;
signal qcountdown: std_logic;
signal udcountup: std_logic;
signal udcountdown: std_logic;
signal doclear: std_logic;
signal clearonindex: std_logic; -- ccr register bits...
signal latchonindex:std_logic;
signal justonce: std_logic;
signal abgateindex: std_logic;
signal indexsrc: std_logic;
signal quadfilter: std_logic;
signal countermode: std_logic;
signal quaderror: std_logic;
signal indexpol: std_logic;
signal fixedindexmask: std_logic;
signal indexmaskpol: std_logic;
signal useindexmask: std_logic;
signal abmaskpol: std_logic;
signal flimit: std_logic_vector(3 downto 0);
begin
aqcounter: process (clk,abgateindex, indexpol, indexdel, abmaskpol,
quadadel, quadbdel, indexmaskpol, indexmask,
quadfilter, countermode, doclear, quada2,
quada1, quadb2, quadb1, index1, index2,
useindexmask, readcount, timestamplatch, count,
readccr, countlatch, quaderror, justonce,
clearonindex, latchonindex)
begin
-- new index logic 02/09/2006 PCW
if abgateindex = '0' then -- not gated by A,B
if indexpol = '1' then
indexsrc <= indexdel;
else
indexsrc <= not indexdel;
end if;
else -- gated by A,B
if indexpol = '1' then -- normal index
if abmaskpol = '1' then
indexsrc <= quadadel and quadbdel and indexdel; -- enable by A,B high
else
indexsrc <= (not (quadadel or quadbdel)) and indexdel; -- enable by A,B low
end if;
else -- inverted index
if abmaskpol = '1' then
indexsrc <= quadadel and quadbdel and (not indexdel); -- enable by A,B high
else
indexsrc <= (not (quadadel or quadbdel)) and (not indexdel);-- enable by A,B low
end if;
end if;
end if;
if indexmaskpol = '1' then
fixedindexmask <= indexmask;
else
fixedindexmask <= not indexmask;
end if;
if quadfilter = '1' then
flimit <= "1111";
else
flimit <= "0011";
end if;
if countermode = '0' and doclear = '0' and (
(quada2 = '0' and quada1 = '1' and quadb2 = '0' and quadb1 = '0') or
(quada2 = '0' and quada1 = '0' and quadb2 = '1' and quadb1 = '0') or
(quada2 = '1' and quada1 = '1' and quadb2 = '0' and quadb1 = '1') or
(quada2 = '1' and quada1 = '0' and quadb2 = '1' and quadb1 = '1')) then
qcountup <= '1';
else
qcountup <= '0';
end if;
if (countermode = '1' and doclear = '0' and
quadb2 = '1' and quada2 = '0' and quada1 = '1') then -- up down mode: count up on rising edge of A when B is high
udcountup <= '1';
else
udcountup <= '0';
end if;
if countermode = '0' and doclear = '0' and (
(quada2 = '0' and quada1 = '0' and quadb2 = '0' and quadb1 = '1') or
(quada2 = '0' and quada1 = '1' and quadb2 = '1' and quadb1 = '1') or
(quada2 = '1' and quada1 = '0' and quadb2 = '0' and quadb1 = '0') or
(quada2 = '1' and quada1 = '1' and quadb2 = '1' and quadb1 = '0')) then
qcountdown <= '1';
else
qcountdown <= '0';
end if;
if (countermode = '1' and doclear = '0' and
quadb2 = '0' and quada2 = '0' and quada1 = '1') then
udcountdown <= '1';
else
udcountdown <= '0';
end if;
if rising_edge(clk) then
quadadel <= quada;
quada1 <= quadafilt;
quada2 <= quada1;
quadbdel <= quadb;
quadb1 <= quadbfilt;
quadb2 <= quadb1;
indexdel <= index;
index1 <= indexfilt;
index2 <= index1;
if filterrate = '1' then
-- deadended counter for A input filter --
if (quadadel = '1') and (quadacnt < flimit) then
quadacnt <= quadacnt + 1;
end if;
if (quadadel = '0') and (quadacnt /= 0) then
quadacnt <= quadacnt -1;
end if;
if quadacnt >= flimit then
quadafilt<= '1';
end if;
if quadacnt = 0 then
quadafilt<= '0';
end if;
-- deadended counter for A input filter --
if (quadbdel = '1') and (quadbcnt < flimit ) then
quadbcnt <= quadbcnt + 1;
end if;
if (quadbdel = '0') and (quadbcnt /= 0) then
quadbcnt <= quadbcnt -1;
end if;
if quadbcnt >= flimit then
quadbfilt<= '1';
end if;
if quadbcnt = 0 then
quadbfilt <= '0';
end if;
-- deadended counter for index input filter --
if (indexsrc = '1') and (indexcnt < flimit ) then
indexcnt <= indexcnt + 1;
end if;
if (indexsrc = '0') and (indexcnt /= 0) then
indexcnt <= indexcnt -1;
end if;
if indexcnt >= flimit then
indexfilt<= '1';
end if;
if indexcnt = 0 then
indexfilt<= '0';
end if;
end if;
if (countclear = '1') or
((clearonindex = '1') and (indexdet = '1')) then -- rising edge of conditioned index
doclear <= '1';
if justonce = '1' then
clearonindex <= '0';
end if;
else
doclear <= '0';
end if;
if ((latchonindex = '1') and (indexdet = '1') ) then -- rising edge of conditioned index
countlatch <= count;
if justonce = '1' then
latchonindex <= '0';
end if;
end if;
if countermode = '0' and (
(quada2 = '0' and quada1 = '1' and quadb2 = '0' and quadb1 = '1') or -- any time both a,b change at same time
(quada2 = '1' and quada1 = '0' and quadb2 = '1' and quadb1 = '0') or -- indicates a quadrature count error
(quada2 = '0' and quada1 = '1' and quadb2 = '1' and quadb1 = '0') or
(quada2 = '1' and quada1 = '0' and quadb2 = '0' and quadb1 = '1')) then
quaderror <= '1';
end if;
if up /= down then
timestamplatch <= timestamp; -- time stamp whenever we count
if up = '1' then
count <= count + 1;
else
count <= count - 1;
end if;
end if;
if doclear = '1' then
count <= x"0000";
end if;
if loadccr = '1' then
quaderror <= ibus(15);
abmaskpol <= ibus(14);
-- latchonprobe (bit 13);
-- probepol (bit 12);
quadfilter <= ibus(11);
countermode <= ibus(10);
useindexmask <= ibus(9);
indexmaskpol <= ibus(8);
abgateindex <= ibus(7);
justonce <= ibus(6);
clearonindex <= ibus(5);
latchonindex <= ibus(4);
indexpol <= ibus(3);
end if;
end if; --(clock edge)
if (index1 = '1') and (index2 = '0') and ((fixedindexmask = '1') or (useindexmask = '0')) then
indexdet <= '1';
else
indexdet <= '0';
end if;
if (qcountup = '1' or udcountup = '1' ) and doclear = '0' then
up <= '1';
else
up <= '0';
end if;
if (qcountdown = '1' or udcountdown = '1' ) and doclear = '0' then
down <= '1';
else
down <= '0';
end if;
obus <= (others => 'Z');
if (readcount = '1') then
obus(31 downto 16) <= timestamplatch;
obus(15 downto 0) <= count;
end if;
if (readccr = '1') then
obus(31 downto 16) <= countlatch;
obus(15) <= quaderror;
obus(14) <= abmaskpol;
obus(11) <= quadfilter;
obus(10) <= countermode;
obus(9) <= useindexmask;
obus(8) <= indexmaskpol;
obus(7) <= abgateindex;
obus(6) <= justonce;
obus(5) <= clearonindex;
obus(4) <= latchonindex;
obus(3) <= indexpol;
obus(2) <= index1;
obus(1) <= quadb1;
obus(0) <= quada1;
end if;
end process;
end behavioral;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2263.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p11n01i02263ent IS
END c07s02b06x00p11n01i02263ent;
ARCHITECTURE c07s02b06x00p11n01i02263arch OF c07s02b06x00p11n01i02263ent IS
BEGIN
TESTING: PROCESS
variable V1,V2,V3 : Integer ;
variable A : Integer := 10 ;
variable B : Integer := 5 ;
BEGIN
V1 := (-A)/B ;
V2 := -(A/B) ;
assert NOT(V1 = V2)
report "***PASSED TEST: c07s02b06x00p11n01i02263"
severity NOTE;
assert (V1 = V2)
report "***FAILED TEST: c07s02b06x00p11n01i02263 - Integer division satisfies the following identity: (-A)/B = -(A/B) = A/(-B)."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p11n01i02263arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2263.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p11n01i02263ent IS
END c07s02b06x00p11n01i02263ent;
ARCHITECTURE c07s02b06x00p11n01i02263arch OF c07s02b06x00p11n01i02263ent IS
BEGIN
TESTING: PROCESS
variable V1,V2,V3 : Integer ;
variable A : Integer := 10 ;
variable B : Integer := 5 ;
BEGIN
V1 := (-A)/B ;
V2 := -(A/B) ;
assert NOT(V1 = V2)
report "***PASSED TEST: c07s02b06x00p11n01i02263"
severity NOTE;
assert (V1 = V2)
report "***FAILED TEST: c07s02b06x00p11n01i02263 - Integer division satisfies the following identity: (-A)/B = -(A/B) = A/(-B)."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p11n01i02263arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2263.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p11n01i02263ent IS
END c07s02b06x00p11n01i02263ent;
ARCHITECTURE c07s02b06x00p11n01i02263arch OF c07s02b06x00p11n01i02263ent IS
BEGIN
TESTING: PROCESS
variable V1,V2,V3 : Integer ;
variable A : Integer := 10 ;
variable B : Integer := 5 ;
BEGIN
V1 := (-A)/B ;
V2 := -(A/B) ;
assert NOT(V1 = V2)
report "***PASSED TEST: c07s02b06x00p11n01i02263"
severity NOTE;
assert (V1 = V2)
report "***FAILED TEST: c07s02b06x00p11n01i02263 - Integer division satisfies the following identity: (-A)/B = -(A/B) = A/(-B)."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p11n01i02263arch;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
izbBDZYB6iieKc0FeuaFEOzhUe4WWqFoCG77AlYNwcdU6Lq5YyuFxLeNYdeISvWtLkWrI/6Y0fp4
/YsuHybYUw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dPglY7PMeFbvuB0uF3iRbBobLduJZhywVuyB9s41K+4HFLENQGMDvnBcBmrmSuGJF4N0lWrka88q
uj7aqhaR1QPrKzHmPwL58lc2yZq9uwc437imuxbI1yI2jxI6GS8ibyIDEwqCwkneeNsch3eUfMuZ
P+q4W/otVxXEdUIBqJ4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Btpq/R3Rf3v7lr/jQ4gFz0FnbWlys9kaMNFX6InjEtQrcpRXVX47Q6Ljc/XtA5srJFoqnWjW2FRR
dDa76hvE1QZvUvS0VQT6xbmI0IO75QeoH1Kne9JJqM3RSYDPfQoLcg/Ms9hExWzGMwqTzVYk0xmg
/szBZ2s3FGHlxf7avSKmV5aXoftN21MbAA+UUdCODA270bl06XfQ8IZIXwFJSw9VaHsXWlCaHoex
+dcg5ZEvgnShLGZViJwy/oVWRVC2vCiJB1C24KBj9+CsCoxld26GZNRjWh7fA+lgHFaRbMLP2r/u
pZmdSKXn1wyaOcWyH9tGzgGXnhTwoslpHp8Gvg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dsLdfy5qEAz7hMfrsv+8JI0w6NAUm8jcH3r1Tewwj3szQLhD2BCIa0FEDErrosh+fSwY7qQgCPXc
ekxmpnF8BMm3xqciDn1ojpmlSqHKZPPJMhHn79pRL7EBnjhbWF6j/uCyLve7eIqVPgE9fZHXocK+
E/CiHV9r0frWClAngD8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mkyN79eSWL0Uv10EzKDNPPw57uNOdjlvYXB+mgviMfc5VjvMgnID4kbUTrez9zhlNyam/Stpic4j
hHUqZU0xqP27ZAFUohzRKkzi5+vh6BJ2R78vZG0NwCtoE+QK/eF48ByALMbxIy3N/K4/L+tazKG4
YScggpEU9JtmTBxq9KsfbshtJw9D/KKdnblP/fAPZYVS/5t+AUf69dsYsVwsFBraRteUo4/+Wm7e
YZtKPPcf+P3YkVYEyZYQx/cq/OC+0fTAfK65WQcyN7seqZVy/GiZOgIRJGrb9I1EskB06RcB2bVl
u8XiVCwx0oXvvolMxeKnkUKrM5rmKLHuVA50NA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17184)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
izbBDZYB6iieKc0FeuaFEOzhUe4WWqFoCG77AlYNwcdU6Lq5YyuFxLeNYdeISvWtLkWrI/6Y0fp4
/YsuHybYUw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dPglY7PMeFbvuB0uF3iRbBobLduJZhywVuyB9s41K+4HFLENQGMDvnBcBmrmSuGJF4N0lWrka88q
uj7aqhaR1QPrKzHmPwL58lc2yZq9uwc437imuxbI1yI2jxI6GS8ibyIDEwqCwkneeNsch3eUfMuZ
P+q4W/otVxXEdUIBqJ4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Btpq/R3Rf3v7lr/jQ4gFz0FnbWlys9kaMNFX6InjEtQrcpRXVX47Q6Ljc/XtA5srJFoqnWjW2FRR
dDa76hvE1QZvUvS0VQT6xbmI0IO75QeoH1Kne9JJqM3RSYDPfQoLcg/Ms9hExWzGMwqTzVYk0xmg
/szBZ2s3FGHlxf7avSKmV5aXoftN21MbAA+UUdCODA270bl06XfQ8IZIXwFJSw9VaHsXWlCaHoex
+dcg5ZEvgnShLGZViJwy/oVWRVC2vCiJB1C24KBj9+CsCoxld26GZNRjWh7fA+lgHFaRbMLP2r/u
pZmdSKXn1wyaOcWyH9tGzgGXnhTwoslpHp8Gvg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dsLdfy5qEAz7hMfrsv+8JI0w6NAUm8jcH3r1Tewwj3szQLhD2BCIa0FEDErrosh+fSwY7qQgCPXc
ekxmpnF8BMm3xqciDn1ojpmlSqHKZPPJMhHn79pRL7EBnjhbWF6j/uCyLve7eIqVPgE9fZHXocK+
E/CiHV9r0frWClAngD8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mkyN79eSWL0Uv10EzKDNPPw57uNOdjlvYXB+mgviMfc5VjvMgnID4kbUTrez9zhlNyam/Stpic4j
hHUqZU0xqP27ZAFUohzRKkzi5+vh6BJ2R78vZG0NwCtoE+QK/eF48ByALMbxIy3N/K4/L+tazKG4
YScggpEU9JtmTBxq9KsfbshtJw9D/KKdnblP/fAPZYVS/5t+AUf69dsYsVwsFBraRteUo4/+Wm7e
YZtKPPcf+P3YkVYEyZYQx/cq/OC+0fTAfK65WQcyN7seqZVy/GiZOgIRJGrb9I1EskB06RcB2bVl
u8XiVCwx0oXvvolMxeKnkUKrM5rmKLHuVA50NA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17184)
`protect data_block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`protect end_protected
|
------------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
-- Copyright (C) 2013 Aeroflex Gaisler AB
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
use work.debug.all;
library techmap;
use techmap.gencomp.all;
library micron;
use micron.components.all;
use gaisler.jtagtst.all;
library dare;
use work.config.all; -- configuration
entity testbench_netlist is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 1000; -- system clock period
romwidth : integer := 32; -- rom data width (8/32)
romdepth : integer := 20; -- rom address depth
sramwidth : integer := 32; -- ram data width (8/16/32)
sramdepth : integer := 20; -- ram address depth
srambanks : integer := 2; -- number of ram banks
testen : integer := 0;
scanen : integer := 0;
testrst : integer := 0;
testoen : integer := 0
);
end;
architecture behav of testbench_netlist is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
signal clk : std_logic := '0';
signal Rst : std_logic := '0'; -- Reset
constant ct : integer := clkperiod/2;
signal address : std_logic_vector(27 downto 0);
signal data : std_logic_vector(31 downto 0);
signal cb : std_logic_vector(15 downto 0);
signal ramsn : std_logic_vector(4 downto 0);
signal ramoen : std_logic_vector(4 downto 0);
signal rwen : std_logic_vector(3 downto 0);
signal rwenx : std_logic_vector(3 downto 0);
signal romsn : std_logic_vector(1 downto 0);
signal iosn : std_ulogic;
signal oen : std_ulogic;
signal read : std_ulogic;
signal writen : std_ulogic;
signal brdyn : std_ulogic;
signal bexcn : std_ulogic;
signal wdogn : std_logic;
signal dsuen, dsutx, dsurx, dsubre, dsuact : std_ulogic;
signal dsurst : std_ulogic;
signal test : std_ulogic;
signal error : std_logic;
signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal clk2 : std_ulogic := '1';
signal sdcke : std_logic_vector ( 1 downto 0); -- clk en
signal sdcsn : std_logic_vector ( 1 downto 0); -- chip sel
signal sdwen : std_ulogic; -- write en
signal sdrasn : std_ulogic; -- row addr stb
signal sdcasn : std_ulogic; -- col addr stb
signal sddqm : std_logic_vector ( 3 downto 0); -- data i/o mask
signal sdclk : std_ulogic := '0';
signal plllock : std_ulogic;
signal txd1, rxd1 : std_ulogic;
signal txd2, rxd2 : std_ulogic;
signal roen, roout, nandout, promedac : std_ulogic;
constant lresp : boolean := false;
signal gnd : std_logic_vector(3 downto 0);
signal clksel : std_logic_vector(1 downto 0);
signal promwidth: std_logic_vector(1 downto 0);
signal spw_clksel : std_logic_vector(1 downto 0);
signal spw_clk : std_ulogic := '0';
signal spw_rxdp : std_logic_vector(0 to CFG_SPW_NUM-1);
signal spw_rxsp : std_logic_vector(0 to CFG_SPW_NUM-1);
signal spw_txdp : std_logic_vector(0 to CFG_SPW_NUM-1);
signal spw_txsp : std_logic_vector(0 to CFG_SPW_NUM-1);
signal spw_rxdn : std_logic_vector(0 to CFG_SPW_NUM-1);
signal spw_rxsn : std_logic_vector(0 to CFG_SPW_NUM-1);
signal spw_txdn : std_logic_vector(0 to CFG_SPW_NUM-1);
signal spw_txsn : std_logic_vector(0 to CFG_SPW_NUM-1);
begin
-- clock and reset
test <= '0' when testen = 0 else '1';
rxd1 <= '1' when (testen = 1) and (testoen = 1) else
'0' when (testen = 1) and (testoen = 0) else txd1;
dsuen <= '1' when (testen = 1) and (testrst = 1) else
'0' when (testen = 1) and (testrst = 0) else '1';
dsubre <= '1' when (testen = 1) and (scanen = 1) else
'0' when (testen = 1) and (scanen = 0) else '0';
clksel <= "00";
spw_clksel <= "00";
error <= 'H';
gnd <= "0000";
clk <= not clk after ct * 1 ns;
spw_clk <= not spw_clk after 10 ns;
rst <= dsurst;
bexcn <= '1'; wdogn <= 'H';
gpio(2 downto 0) <= "HHL";
-- gpio(CFG_GRGPIO_WIDTH-1 downto 3) <= (others => 'H');
gpio(15 downto 11) <= "HLLHH"; --19
gpio(10 downto 8) <= "HLL"; --4
gpio(7 downto 0) <= (others => 'L');
cb(15 downto 8) <= "HHHHHHHH";
spw_rxdp <= spw_txdp; spw_rxsp <= spw_txsp;
spw_rxdn <= spw_txdn; spw_rxsn <= spw_txsn;
roen <= '0';
promedac <= '0';
promwidth <= "10";
rxd2 <= txd2;
d3 : entity dare.leon3mp
port map (rst, clksel, clk, error, wdogn, address, data,
cb(7 downto 0), sdclk, sdcsn, sdwen,
sdrasn, sdcasn, sddqm, dsutx, dsurx, dsuen, dsubre, dsuact,
txd1, rxd1, txd2, rxd2,
ramsn, ramoen, rwen, oen, writen, read, iosn, romsn, brdyn, bexcn, gpio,
promwidth, promedac,
spw_clksel, spw_clk, spw_rxdp, spw_rxdn, spw_rxsp, spw_rxsn, spw_txdp, spw_txdn,
spw_txsp, spw_txsn, gnd(0), roen, roout, nandout, test);
-- optional sdram
sd0 : if (CFG_MCTRLFT_SDEN = 1) and (CFG_MCTRLFT_SEPBUS = 0) generate
u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile)
PORT MAP(
Dq => data(31 downto 16), Addr => address(14 downto 2),
Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0),
Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen,
Dqm => sddqm(3 downto 2));
u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile)
PORT MAP(
Dq => data(15 downto 0), Addr => address(14 downto 2),
Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0),
Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen,
Dqm => sddqm(1 downto 0));
cb0: ftmt48lc16m16a2 generic map (index => 8, fname => sdramfile)
PORT MAP(
Dq => cb(15 downto 0), Addr => address(14 downto 2),
Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0),
Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen,
Dqm => sddqm(1 downto 0));
u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile)
PORT MAP(
Dq => data(31 downto 16), Addr => address(14 downto 2),
Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0),
Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen,
Dqm => sddqm(3 downto 2));
u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile)
PORT MAP(
Dq => data(15 downto 0), Addr => address(14 downto 2),
Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0),
Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen,
Dqm => sddqm(1 downto 0));
cb1: ftmt48lc16m16a2 generic map (index => 8, fname => sdramfile)
PORT MAP(
Dq => cb(15 downto 0), Addr => address(14 downto 2),
Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0),
Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen,
Dqm => sddqm(1 downto 0));
end generate;
prom0 : for i in 0 to (romwidth/8)-1 generate
sr0 : sram generic map (index => i, abits => romdepth, fname => promfile)
port map (address(romdepth+1 downto 2), data(31-i*8 downto 24-i*8), romsn(0),
rwen(i), oen);
end generate;
promcb0 : sramft generic map (index => 7, abits => romdepth, fname => promfile)
port map (address(romdepth+1 downto 2), cb(7 downto 0), romsn(0), writen, oen);
sram0 : for i in 0 to (sramwidth/8)-1 generate
sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile)
port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), ramsn(0),
rwen(0), ramoen(0));
end generate;
sramcb0 : sramft generic map (index => 7, abits => sramdepth, fname => sramfile)
port map (address(sramdepth+1 downto 2), cb(7 downto 0), ramsn(0), rwen(0), ramoen(0));
iuerr : process
begin
wait for (100*clkperiod) * 1 ns;
if to_x01(error) = '1' then wait on error; end if;
assert (to_x01(error) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
test0 : grtestmod
port map ( rst, clk, error, address(21 downto 2), data,
iosn, oen, writen, brdyn);
data <= buskeep(data), (others => 'H') after 250 ns;
cb <= buskeep(cb), (others => 'H') after 250 ns;
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := clkperiod*16 * 1 ns;
begin
dsutx <= '1';
dsurst <= '0';
wait for 500 ns;
dsurst <= '1';
wait; -- remove to run the DSU UART
wait for 5010 ns;
txc(dsutx, 16#55#, txp); -- sync uart
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#40#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0e#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#30#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#40#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#06#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#30#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#40#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#30#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp);
txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
end;
begin
dsucfg(dsutx, dsurx);
wait;
end process;
end ;
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.2 (lin64) Build 1266856 Fri Jun 26 16:35:25 MDT 2015
-- Date : Sat Oct 31 15:04:15 2015
-- Host : cascade.andrew.cmu.edu running 64-bit Red Hat Enterprise Linux Server release 7.1 (Maipo)
-- Command : write_vhdl -force -mode synth_stub
-- /afs/ece.cmu.edu/usr/rmrobert/Private/18545/Atari7800/Atari7800/Atari7800.srcs/sources_1/ip/BIOS_ROM/BIOS_ROM_stub.vhdl
-- Design : BIOS_ROM
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity BIOS_ROM is
Port (
clka : in STD_LOGIC;
ena : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
douta : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
end BIOS_ROM;
architecture stub of BIOS_ROM is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,ena,wea[0:0],addra[11:0],dina[7:0],douta[7:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_2,Vivado 2015.2";
begin
end;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: k7_sfifo_15x128_dverif.vhd
--
-- Description:
-- Used for FIFO read interface stimulus generation and data checking
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.k7_sfifo_15x128_pkg.ALL;
ENTITY k7_sfifo_15x128_dverif IS
GENERIC(
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_USE_EMBEDDED_REG : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT(
RESET : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
PRC_RD_EN : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
RD_EN : OUT STD_LOGIC;
DOUT_CHK : OUT STD_LOGIC
);
END ENTITY;
ARCHITECTURE fg_dv_arch OF k7_sfifo_15x128_dverif IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8);
SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL data_chk : STD_LOGIC := '1';
SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0);
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL pr_r_en : STD_LOGIC := '0';
SIGNAL rd_en_d1 : STD_LOGIC := '0';
BEGIN
DOUT_CHK <= data_chk;
RD_EN <= rd_en_i;
rd_en_i <= PRC_RD_EN;
data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE
-------------------------------------------------------
-- Expected data generation and checking for data_fifo
-------------------------------------------------------
PROCESS (RD_CLK,RESET)
BEGIN
IF (RESET = '1') THEN
rd_en_d1 <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF(EMPTY = '0' AND rd_en_i='1' AND rd_en_d1 = '0') THEN
rd_en_d1 <= '1';
END IF;
END IF;
END PROCESS;
pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1;
expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0);
gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
rd_gen_inst2:k7_sfifo_15x128_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+N
)
PORT MAP(
CLK => RD_CLK,
RESET => RESET,
RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N),
ENABLE => pr_r_en
);
END GENERATE;
PROCESS (RD_CLK,RESET)
BEGIN
IF(RESET = '1') THEN
data_chk <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF((EMPTY = '0') AND (rd_en_i = '1' AND rd_en_d1 = '1')) THEN
IF(DATA_OUT = expected_dout) THEN
data_chk <= '0';
ELSE
data_chk <= '1';
END IF;
END IF;
END IF;
END PROCESS;
END GENERATE data_fifo_chk;
END ARCHITECTURE;
|
-------------------------------------------------------------------------------
-- Title : includeModuleVHDL Project :
-------------------------------------------------------------------------------
-- File : includeModuleVHDL.vhdl Author : Adrian Fiergolski <[email protected]> Company : CERN Created : 2014-09-26 Last update: 2014-09-26 Platform : Standard : VHDL'2008
-------------------------------------------------------------------------------
-- Description: The module to test HDLMake
-------------------------------------------------------------------------------
-- Copyright (c) 2014 CERN
--
-- This file is part of .
--
-- is free firmware: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
--
-- is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along with . If not, see http://www.gnu.org/licenses/.
-------------------------------------------------------------------------------
-- Revisions : Date Version Author Description 2014-09-26 1.0 afiergol Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity includeModuleVHDL is
end entity includeModuleVHDL;
architecture Behavioral of includeModuleVHDL is
signal probe : STD_LOGIC;
begin -- architecture Behavioral
end architecture Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
library stack;
use stack.OneHotStack.all;
entity MRAM is
port (
CLK: in std_logic;
RW: in std_logic;
ADDR: in mem_addr;
DIN: in operand;
DOUT: out operand
);
end MRAM;
architecture Beh of MRAM is
type tRAM is array (0 to 31) of operand;
signal RAM: tRAM:= (
-- | BIN | ADR BIN
"0000000000000000", -- | 00000 |
"0000000000000000", -- | 00001 |
"0000000000000000", -- | 00010 |
"0000000000000000", -- | 00011 |
"0000000000000000", -- | 00100 |
"0000000000000000", -- | 00101 |
"0000000000000000", -- | 00110 |
"0000000000000000", -- | 00111 |
"0000000000000000", -- | 01000 |
"0000000000000000", -- | 01001 |
"0000000000000000", -- | 01010 |
"0000000000000000", -- | 01011 |
"0000000000000000", -- | 01100 |
"0000000000000000", -- | 01101 |
"0000000000000000", -- | 01110 |
"0000000000000000", -- | 01111 |
"0000000000001000", -- | 10000 |
"0000000000000000", -- | 10001 |
"0000000000000000", -- | 10010 |
"0000000000000001", -- | 10011 |
"0000000000000000", -- | 10100 |
"0000000000000001", -- | 10101 |
others => "0000000000000000"
);
signal data_in: operand;
signal data_out: operand;
Begin
data_in <= Din;
WRITE: process (CLK, RW, ADDR, data_in)
begin
if (RW = '0') then
if (rising_edge(CLK)) then
RAM(conv_integer(ADDR)) <= data_in;
end if;
end if;
end process;
data_out <= RAM (conv_integer(ADDR));
RDP: process (RW, RAM, data_out)
begin
if (RW = '1') then
DOUT <= data_out;
else
DOUT <= (others => 'Z');
end if;
end process;
end Beh; |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc665.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:57 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:25 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:36 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00665ent IS
END c03s04b01x00p01n01i00665ent;
ARCHITECTURE c03s04b01x00p01n01i00665arch OF c03s04b01x00p01n01i00665ent IS
type record_std_package is record
a:boolean;
b:bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
end record;
type array_rec_std is array (integer range <>) of record_std_package;
type array_rec_std_file is file of array_rec_std;
constant C26 : record_std_package := (true,'1','s',note,3,3.0,3 ns,3,3);
constant C57 : array_rec_std(0 to 7) := (others => C26);
signal k : integer := 0;
BEGIN
TESTING: PROCESS
file filein : array_rec_std_file open read_mode is "iofile.11";
variable v : array_rec_std(0 to 7);
variable len : natural;
BEGIN
for i in 1 to 100 loop
assert(endfile(filein) = false) report"end of file reached before expected";
read(filein,v,len);
assert(len = 8) report "wrong length passed during read operation";
if (v /= C57) then
k <= 1;
end if;
end loop;
wait for 1 ns;
assert NOT(k = 0)
report "***PASSED TEST: c03s04b01x00p01n01i00665"
severity NOTE;
assert (k = 0)
report "***FAILED TEST: c03s04b01x00p01n01i00665 - File reading of array_rec_std_file operation failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00665arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc665.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:57 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:25 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:36 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00665ent IS
END c03s04b01x00p01n01i00665ent;
ARCHITECTURE c03s04b01x00p01n01i00665arch OF c03s04b01x00p01n01i00665ent IS
type record_std_package is record
a:boolean;
b:bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
end record;
type array_rec_std is array (integer range <>) of record_std_package;
type array_rec_std_file is file of array_rec_std;
constant C26 : record_std_package := (true,'1','s',note,3,3.0,3 ns,3,3);
constant C57 : array_rec_std(0 to 7) := (others => C26);
signal k : integer := 0;
BEGIN
TESTING: PROCESS
file filein : array_rec_std_file open read_mode is "iofile.11";
variable v : array_rec_std(0 to 7);
variable len : natural;
BEGIN
for i in 1 to 100 loop
assert(endfile(filein) = false) report"end of file reached before expected";
read(filein,v,len);
assert(len = 8) report "wrong length passed during read operation";
if (v /= C57) then
k <= 1;
end if;
end loop;
wait for 1 ns;
assert NOT(k = 0)
report "***PASSED TEST: c03s04b01x00p01n01i00665"
severity NOTE;
assert (k = 0)
report "***FAILED TEST: c03s04b01x00p01n01i00665 - File reading of array_rec_std_file operation failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00665arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc665.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:57 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:25 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:36 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00665ent IS
END c03s04b01x00p01n01i00665ent;
ARCHITECTURE c03s04b01x00p01n01i00665arch OF c03s04b01x00p01n01i00665ent IS
type record_std_package is record
a:boolean;
b:bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
end record;
type array_rec_std is array (integer range <>) of record_std_package;
type array_rec_std_file is file of array_rec_std;
constant C26 : record_std_package := (true,'1','s',note,3,3.0,3 ns,3,3);
constant C57 : array_rec_std(0 to 7) := (others => C26);
signal k : integer := 0;
BEGIN
TESTING: PROCESS
file filein : array_rec_std_file open read_mode is "iofile.11";
variable v : array_rec_std(0 to 7);
variable len : natural;
BEGIN
for i in 1 to 100 loop
assert(endfile(filein) = false) report"end of file reached before expected";
read(filein,v,len);
assert(len = 8) report "wrong length passed during read operation";
if (v /= C57) then
k <= 1;
end if;
end loop;
wait for 1 ns;
assert NOT(k = 0)
report "***PASSED TEST: c03s04b01x00p01n01i00665"
severity NOTE;
assert (k = 0)
report "***FAILED TEST: c03s04b01x00p01n01i00665 - File reading of array_rec_std_file operation failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00665arch;
|
entity driver1 is
end entity;
architecture test of driver1 is
signal s : bit_vector(1 to 3);
begin
p1: process is
begin
if 3 < 2 then -- Cannot delete this
s(5) <= '1'; -- Error
else
s(7) <= '0'; -- Error
end if;
while false loop
s(-1) <= '0'; -- Error
end loop;
wait;
end process;
end architecture;
|
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENTITY edgeDet IS
PORT (
din : IN STD_LOGIC;
rising : OUT STD_LOGIC;
falling : OUT STD_LOGIC;
any : OUT STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC
);
END ENTITY edgeDet;
ARCHITECTURE rtl OF edgeDet IS
signal RegDin, RegDinL : std_logic;
BEGIN
any <= RegDinL xor RegDin;
falling <= RegDinL and not RegDin;
rising <= not RegDinL and RegDin;
process(clk)
begin
if rising_edge(clk) then
RegDin <= din;
RegDinL <= RegDin;
end if;
end process;
END ARCHITECTURE rtl;
|
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENTITY edgeDet IS
PORT (
din : IN STD_LOGIC;
rising : OUT STD_LOGIC;
falling : OUT STD_LOGIC;
any : OUT STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC
);
END ENTITY edgeDet;
ARCHITECTURE rtl OF edgeDet IS
signal RegDin, RegDinL : std_logic;
BEGIN
any <= RegDinL xor RegDin;
falling <= RegDinL and not RegDin;
rising <= not RegDinL and RegDin;
process(clk)
begin
if rising_edge(clk) then
RegDin <= din;
RegDinL <= RegDin;
end if;
end process;
END ARCHITECTURE rtl;
|
------------------------------------------------------------------------------
-- "fixed_pkg" package contains functions for fixed point math.
-- Please see the documentation for the fixed point package.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee.numeric_std.all;
-- use ieee_proposed.fixed_pkg.all;
-- Last Modified: $Date: 2006/05/09 19:21:24 $
-- RCS ID: $Id: fixed_pkg_c.vhd,v 1.1 2006/05/09 19:21:24 sandeepd Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- synthesis translate_off
use std.textio.all;
-- synthesis translate_on
package fixed_pkg is
--%%% Uncomment the Generics
-- new work.fixed_generic_pkg
-- generic map (
-- fixed_round_style => true; -- fixed_round
-- fixed_overflow_style => true; -- fixed_saturate
-- fixed_guard_bits => 3; -- number of guard bits
-- no_warning => false -- show warnings
-- );
--%%% REMOVE THE REST OF THIS FILE.
constant fixed_round_style : BOOLEAN := true; -- round
constant fixed_overflow_style : BOOLEAN := true; -- saturate
constant fixed_guard_bits : NATURAL := 3; -- number of guard bits
constant no_warning : BOOLEAN := false; -- issue warnings
-- Author David Bishop ([email protected])
-- These 5 constants are used as defaults.
-- There is a mechanism to override them in every function
constant fixed_round : BOOLEAN := true; -- Turn on rounding routine
constant fixed_truncate : BOOLEAN := false; -- Trun off rounding routine
constant fixed_saturate : BOOLEAN := true; -- Saturate large numbers
constant fixed_wrap : BOOLEAN := false; -- Wrap large numbers
constant fixedsynth_or_real : BOOLEAN; -- differed constant
-- base Unsigned fixed point type, downto direction assumed
type ufixed is array (INTEGER range <>) of STD_LOGIC;
-- base Signed fixed point type, downto direction assumed
type sfixed is array (INTEGER range <>) of STD_LOGIC;
-----------------------------------------------------------------------------
-- Fixed point type is defined as follows:
-- 0000000000
-- 4321012345
-- 4 0 -5
-- The decimal point is assumed between the "0" and "-1" index
-- Thus "0011010000" = 6.5 and would be written as 00110.10000
-- All types are assumed to be in the "downto" direction.
--===========================================================================
-- Arithmetic Operators:
--===========================================================================
-- Modify the sign of the number, 2's complement
function "abs" (arg : sfixed) return sfixed;
function "-" (arg : sfixed)return sfixed;
-- Convert a signed fixed to an unsigned fixed
function "abs" (arg : sfixed) return ufixed;
-- Addition
-- ufixed(a downto b) + ufixed(c downto d)
-- = ufixed(max(a,c)+1 downto min(b,d))
function "+" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) + sfixed(c downto d)
-- = sfixed(max(a,c)+1 downto min(b,d))
function "+" (l, r : sfixed) return sfixed;
-- Subtraction
-- ufixed(a downto b) - ufixed(c downto d)
-- = ufixed(max(a,c)+1 downto min(b,d))
function "-" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) - sfixed(c downto d)
-- = sfixed(max(a,c)+1 downto min(b,d))
function "-" (l, r : sfixed) return sfixed;
-- Multiplication
-- ufixed(a downto b) * ufixed(c downto d) = ufixed(a+c+1 downto b+d)
function "*" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) * sfixed(c downto d) = sfixed(a+c+1 downto b+d)
function "*" (l, r : sfixed) return sfixed;
-- Division
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function "/" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function "/" (l, r : sfixed) return sfixed;
-- Remainder
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function "rem" (l, r : ufixed) return ufixed;
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function "rem" (l, r : sfixed) return sfixed;
-- Modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function "mod" (l, r : ufixed) return ufixed;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function "mod" (l, r : sfixed) return sfixed;
----------------------------------------------------------------------------
-- Overload routines. In these routines the "real" or "natural" (integer)
-- are converted into a fixed point number and then the operation is
-- performed. It is assumed that the array will be large enough.
-- If the input is "real" then the real number is converted into a fixed of
-- the same size as the fixed point input. If the number is an "integer"
-- then it is converted into fixed with the range (l'high downto 0).
----------------------------------------------------------------------------
-- ufixed(a downto b) + ufixed(a downto b) = ufixed(a+1 downto b)
function "+" (l : ufixed; r : REAL) return ufixed;
-- ufixed(c downto d) + ufixed(c downto d) = ufixed(c+1 downto d)
function "+" (l : REAL; r : ufixed) return ufixed;
-- ufixed(a downto b) + ufixed(a downto 0) = ufixed(a+1 downto min(0,b))
function "+" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto min(0,d))
function "+" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed(a downto b) - ufixed(a downto b) = ufixed(a+1 downto b)
function "-" (l : ufixed; r : REAL) return ufixed;
-- ufixed(c downto d) - ufixed(c downto d) = ufixed(c+1 downto d)
function "-" (l : REAL; r : ufixed) return ufixed;
-- ufixed(a downto b) - ufixed(a downto 0) = ufixed(a+1 downto min(0,b))
function "-" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto min(0,d))
function "-" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed(a downto b) * ufixed(a downto b) = ufixed(2a+1 downto 2b)
function "*" (l : ufixed; r : REAL) return ufixed;
-- ufixed(c downto d) * ufixed(c downto d) = ufixed(2c+1 downto 2d)
function "*" (l : REAL; r : ufixed) return ufixed;
-- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b)
function "*" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b)
function "*" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1)
function "/" (l : ufixed; r : REAL) return ufixed;
-- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1)
function "/" (l : REAL; r : ufixed) return ufixed;
-- ufixed(a downto b) / ufixed(a downto 0) = ufixed(a downto b-a-1)
function "/" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed(c downto 0) / ufixed(c downto d) = ufixed(c-d downto -c-1)
function "/" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed (a downto b) rem ufixed (a downto b) = ufixed (a downto b)
function "rem" (l : ufixed; r : REAL) return ufixed;
-- ufixed (c downto d) rem ufixed (c downto d) = ufixed (c downto d)
function "rem" (l : REAL; r : ufixed) return ufixed;
-- ufixed (a downto b) rem ufixed (a downto 0) = ufixed (a downto min(b,0))
function "rem" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed (c downto 0) rem ufixed (c downto d) = ufixed (c downto min(d,0))
function "rem" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed (a downto b) mod ufixed (a downto b) = ufixed (a downto b)
function "mod" (l : ufixed; r : REAL) return ufixed;
-- ufixed (c downto d) mod ufixed (c downto d) = ufixed (c downto d)
function "mod" (l : REAL; r : ufixed) return ufixed;
-- ufixed (a downto b) mod ufixed (a downto 0) = ufixed (a downto min(b,0))
function "mod" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed (c downto 0) mod ufixed (c downto d) = ufixed (c downto min(d,0))
function "mod" (l : NATURAL; r : ufixed) return ufixed;
-- sfixed(a downto b) + sfixed(a downto b) = sfixed(a+1 downto b)
function "+" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) + sfixed(c downto d) = sfixed(c+1 downto d)
function "+" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) + sfixed(a downto 0) = sfixed(a+1 downto min(0,b))
function "+" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) + sfixed(c downto d) = sfixed(c+1 downto min(0,d))
function "+" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed(a downto b) - sfixed(a downto b) = sfixed(a+1 downto b)
function "-" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) - sfixed(c downto d) = sfixed(c+1 downto d)
function "-" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) - sfixed(a downto 0) = sfixed(a+1 downto min(0,b))
function "-" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) - sfixed(c downto d) = sfixed(c+1 downto min(0,d))
function "-" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed(a downto b) * sfixed(a downto b) = sfixed(2a+1 downto 2b)
function "*" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) * sfixed(c downto d) = sfixed(2c+1 downto 2d)
function "*" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) * sfixed(a downto 0) = sfixed(2a+1 downto b)
function "*" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) * sfixed(c downto d) = sfixed(2c+1 downto d)
function "*" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed(a downto b) / sfixed(a downto b) = sfixed(a-b+1 downto b-a)
function "/" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) / sfixed(c downto d) = sfixed(c-d+1 downto d-c)
function "/" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) / sfixed(a downto 0) = sfixed(a+1 downto b-a)
function "/" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) / sfixed(c downto d) = sfixed(c-d+1 downto -c)
function "/" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed (a downto b) rem sfixed (a downto b) = sfixed (a downto b)
function "rem" (l : sfixed; r : REAL) return sfixed;
-- sfixed (c downto d) rem sfixed (c downto d) = sfixed (c downto d)
function "rem" (l : REAL; r : sfixed) return sfixed;
-- sfixed (a downto b) rem sfixed (a downto 0) = sfixed (a downto min(b,0))
function "rem" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed (c downto 0) rem sfixed (c downto d) = sfixed (c downto min(d,0))
function "rem" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed (a downto b) mod sfixed (a downto b) = sfixed (a downto b)
function "mod" (l : sfixed; r : REAL) return sfixed;
-- sfixed (c downto d) mod sfixed (c downto d) = sfixed (c downto d)
function "mod" (l : REAL; r : sfixed) return sfixed;
-- sfixed (a downto b) mod sfixed (a downto 0) = sfixed (a downto min(b,0))
function "mod" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed (c downto 0) mod sfixed (c downto d) = sfixed (c downto min(d,0))
function "mod" (l : INTEGER; r : sfixed) return sfixed;
-- This version of divide gives the user more control
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function divide (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed;
-- This version of divide gives the user more control
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function divide (
l, r : sfixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed;
-- These functions return 1/X
-- 1 / ufixed(a downto b) = ufixed(-b downto -a-1)
function reciprocal (
arg : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed;
-- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a)
function reciprocal (
arg : sfixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed;
-- REM function
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function remainder (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style)
return ufixed;
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function remainder (
l, r : sfixed;
constant round_style : BOOLEAN := fixed_round_style)
return sfixed;
-- mod function
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function modulo (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style)
return ufixed;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function modulo (
l, r : sfixed;
constant overflow_style : BOOLEAN := fixed_overflow_style;
constant round_style : BOOLEAN := fixed_round_style)
return sfixed;
-- Procedure for those who need an "accumulator" function.
-- add_carry (ufixed(a downto b), ufixed (c downto d))
-- = ufixed (max(a,c) downto min(b,d))
procedure add_carry (
L, R : in ufixed;
c_in : in STD_ULOGIC;
result : out ufixed;
c_out : out STD_ULOGIC);
-- add_carry (sfixed(a downto b), sfixed (c downto d))
-- = sfixed (max(a,c) downto min(b,d))
procedure add_carry (
L, R : in sfixed;
c_in : in STD_ULOGIC;
result : out sfixed;
c_out : out STD_ULOGIC);
-- Scales the result by a power of 2. Width of input = width of output with
-- the decimal point moved.
function scalb (y : ufixed; N : integer) return ufixed;
function scalb (y : ufixed; N : SIGNED) return ufixed;
function scalb (y : sfixed; N : integer) return sfixed;
function scalb (y : sfixed; N : SIGNED) return sfixed;
function Is_Negative (arg : sfixed) return BOOLEAN;
--===========================================================================
-- Comparison Operators
--===========================================================================
function ">" (l, r : ufixed) return BOOLEAN;
function ">" (l, r : sfixed) return BOOLEAN;
function "<" (l, r : ufixed) return BOOLEAN;
function "<" (l, r : sfixed) return BOOLEAN;
function "<=" (l, r : ufixed) return BOOLEAN;
function "<=" (l, r : sfixed) return BOOLEAN;
function ">=" (l, r : ufixed) return BOOLEAN;
function ">=" (l, r : sfixed) return BOOLEAN;
function "=" (l, r : ufixed) return BOOLEAN;
function "=" (l, r : sfixed) return BOOLEAN;
function "/=" (l, r : ufixed) return BOOLEAN;
function "/=" (l, r : sfixed) return BOOLEAN;
--%%% Uncomment the following (new syntax)
-- function "?=" (L, R : ufixed) return BOOLEAN;
-- function "?=" (L, R : sfixed) return BOOLEAN;
-- --%%% remove the following (old syntax)
function \?=\ (L, R : ufixed) return STD_ULOGIC;
function \?=\ (L, R : sfixed) return STD_ULOGIC;
-- These need to be overloaded for sfixed and ufixed
function \?/=\ (L, R : ufixed) return STD_ULOGIC;
function \?>\ (L, R : ufixed) return STD_ULOGIC;
function \?>=\ (L, R : ufixed) return STD_ULOGIC;
function \?<\ (L, R : ufixed) return STD_ULOGIC;
function \?<=\ (L, R : ufixed) return STD_ULOGIC;
function \?/=\ (L, R : sfixed) return STD_ULOGIC;
function \?>\ (L, R : sfixed) return STD_ULOGIC;
function \?>=\ (L, R : sfixed) return STD_ULOGIC;
function \?<\ (L, R : sfixed) return STD_ULOGIC;
function \?<=\ (L, R : sfixed) return STD_ULOGIC;
-- %%% Replace with the following (new syntax)
-- function "?=" (L, R : ufixed) return STD_ULOGIC;
-- function "?/=" (L, R : ufixed) return STD_ULOGIC;
-- function "?>" (L, R : ufixed) return STD_ULOGIC;
-- function "?>=" (L, R : ufixed) return STD_ULOGIC;
-- function "?<" (L, R : ufixed) return STD_ULOGIC;
-- function "?<=" (L, R : ufixed) return STD_ULOGIC;
-- function "?=" (L, R : sfixed) return STD_ULOGIC;
-- function "?/=" (L, R : sfixed) return STD_ULOGIC;
-- function "?>" (L, R : sfixed) return STD_ULOGIC;
-- function "?>=" (L, R : sfixed) return STD_ULOGIC;
-- function "?<" (L, R : sfixed) return STD_ULOGIC;
-- function "?<=" (L, R : sfixed) return STD_ULOGIC;
function std_match (L, R : ufixed) return BOOLEAN;
function std_match (L, R : sfixed) return BOOLEAN;
-- Overloads the default "maximum" and "minimum" function
function maximum (l, r : ufixed) return ufixed;
function minimum (l, r : ufixed) return ufixed;
function maximum (l, r : sfixed) return sfixed;
function minimum (l, r : sfixed) return sfixed;
----------------------------------------------------------------------------
-- In these compare functions a natural is converted into a
-- fixed point number of the bounds "max(l'high,0) downto 0"
----------------------------------------------------------------------------
function "=" (l : ufixed; r : NATURAL) return BOOLEAN;
function "/=" (l : ufixed; r : NATURAL) return BOOLEAN;
function ">=" (l : ufixed; r : NATURAL) return BOOLEAN;
function "<=" (l : ufixed; r : NATURAL) return BOOLEAN;
function ">" (l : ufixed; r : NATURAL) return BOOLEAN;
function "<" (l : ufixed; r : NATURAL) return BOOLEAN;
function "=" (l : NATURAL; r : ufixed) return BOOLEAN;
function "/=" (l : NATURAL; r : ufixed) return BOOLEAN;
function ">=" (l : NATURAL; r : ufixed) return BOOLEAN;
function "<=" (l : NATURAL; r : ufixed) return BOOLEAN;
function ">" (l : NATURAL; r : ufixed) return BOOLEAN;
function "<" (l : NATURAL; r : ufixed) return BOOLEAN;
----------------------------------------------------------------------------
-- In these compare functions a real is converted into a
-- fixed point number of the bounds "l'high+1 downto l'low"
----------------------------------------------------------------------------
function "=" (l : ufixed; r : REAL) return BOOLEAN;
function "/=" (l : ufixed; r : REAL) return BOOLEAN;
function ">=" (l : ufixed; r : REAL) return BOOLEAN;
function "<=" (l : ufixed; r : REAL) return BOOLEAN;
function ">" (l : ufixed; r : REAL) return BOOLEAN;
function "<" (l : ufixed; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : ufixed) return BOOLEAN;
function "/=" (l : REAL; r : ufixed) return BOOLEAN;
function ">=" (l : REAL; r : ufixed) return BOOLEAN;
function "<=" (l : REAL; r : ufixed) return BOOLEAN;
function ">" (l : REAL; r : ufixed) return BOOLEAN;
function "<" (l : REAL; r : ufixed) return BOOLEAN;
----------------------------------------------------------------------------
-- In these compare functions an integer is converted into a
-- fixed point number of the bounds "max(l'high,1) downto 0"
----------------------------------------------------------------------------
function "=" (l : sfixed; r : INTEGER) return BOOLEAN;
function "/=" (l : sfixed; r : INTEGER) return BOOLEAN;
function ">=" (l : sfixed; r : INTEGER) return BOOLEAN;
function "<=" (l : sfixed; r : INTEGER) return BOOLEAN;
function ">" (l : sfixed; r : INTEGER) return BOOLEAN;
function "<" (l : sfixed; r : INTEGER) return BOOLEAN;
function "=" (l : INTEGER; r : sfixed) return BOOLEAN;
function "/=" (l : INTEGER; r : sfixed) return BOOLEAN;
function ">=" (l : INTEGER; r : sfixed) return BOOLEAN;
function "<=" (l : INTEGER; r : sfixed) return BOOLEAN;
function ">" (l : INTEGER; r : sfixed) return BOOLEAN;
function "<" (l : INTEGER; r : sfixed) return BOOLEAN;
----------------------------------------------------------------------------
-- In these compare functions a real is converted into a
-- fixed point number of the bounds "l'high+1 downto l'low"
----------------------------------------------------------------------------
function "=" (l : sfixed; r : REAL) return BOOLEAN;
function "/=" (l : sfixed; r : REAL) return BOOLEAN;
function ">=" (l : sfixed; r : REAL) return BOOLEAN;
function "<=" (l : sfixed; r : REAL) return BOOLEAN;
function ">" (l : sfixed; r : REAL) return BOOLEAN;
function "<" (l : sfixed; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : sfixed) return BOOLEAN;
function "/=" (l : REAL; r : sfixed) return BOOLEAN;
function ">=" (l : REAL; r : sfixed) return BOOLEAN;
function "<=" (l : REAL; r : sfixed) return BOOLEAN;
function ">" (l : REAL; r : sfixed) return BOOLEAN;
function "<" (l : REAL; r : sfixed) return BOOLEAN;
--===========================================================================
-- Shift and Rotate Functions.
-- Note that sra and sla are not the same as the BIT_VECTOR version
--===========================================================================
function "sll" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "srl" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "rol" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "ror" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "sla" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "sra" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "sll" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "srl" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "rol" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "ror" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "sla" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "sra" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function SHIFT_LEFT (ARG : ufixed; COUNT : NATURAL) return ufixed;
function SHIFT_RIGHT (ARG : ufixed; COUNT : NATURAL) return ufixed;
function SHIFT_LEFT (ARG : sfixed; COUNT : NATURAL) return sfixed;
function SHIFT_RIGHT (ARG : sfixed; COUNT : NATURAL) return sfixed;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (L : ufixed) return ufixed;
function "and" (L, R : ufixed) return ufixed;
function "or" (L, R : ufixed) return ufixed;
function "nand" (L, R : ufixed) return ufixed;
function "nor" (L, R : ufixed) return ufixed;
function "xor" (L, R : ufixed) return ufixed;
function "xnor" (L, R : ufixed) return ufixed;
function "not" (L : sfixed) return sfixed;
function "and" (L, R : sfixed) return sfixed;
function "or" (L, R : sfixed) return sfixed;
function "nand" (L, R : sfixed) return sfixed;
function "nor" (L, R : sfixed) return sfixed;
function "xor" (L, R : sfixed) return sfixed;
function "xnor" (L, R : sfixed) return sfixed;
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "and" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "or" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "or" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "nand" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "nand" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "nor" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "nor" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "xor" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "xor" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "xnor" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "xnor" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "and" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "and" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "or" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "or" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "nand" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "nand" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "nor" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "nor" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "xor" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "xor" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "xnor" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "xnor" (L : sfixed; R : STD_ULOGIC) return sfixed;
-- Reduction operators, same as numeric_std functions
-- %%% remove 12 functions (old syntax)
function and_reduce(arg : ufixed) return STD_ULOGIC;
function nand_reduce(arg : ufixed) return STD_ULOGIC;
function or_reduce(arg : ufixed) return STD_ULOGIC;
function nor_reduce(arg : ufixed) return STD_ULOGIC;
function xor_reduce(arg : ufixed) return STD_ULOGIC;
function xnor_reduce(arg : ufixed) return STD_ULOGIC;
function and_reduce(arg : sfixed) return STD_ULOGIC;
function nand_reduce(arg : sfixed) return STD_ULOGIC;
function or_reduce(arg : sfixed) return STD_ULOGIC;
function nor_reduce(arg : sfixed) return STD_ULOGIC;
function xor_reduce(arg : sfixed) return STD_ULOGIC;
function xnor_reduce(arg : sfixed) return STD_ULOGIC;
-- %%% Uncomment the following 12 functions (new syntax)
-- function "and" ( arg : ufixed ) RETURN std_ulogic;
-- function "nand" ( arg : ufixed ) RETURN std_ulogic;
-- function "or" ( arg : ufixed ) RETURN std_ulogic;
-- function "nor" ( arg : ufixed ) RETURN std_ulogic;
-- function "xor" ( arg : ufixed ) RETURN std_ulogic;
-- function "xnor" ( arg : ufixed ) RETURN std_ulogic;
-- function "and" ( arg : sfixed ) RETURN std_ulogic;
-- function "nand" ( arg : sfixed ) RETURN std_ulogic;
-- function "or" ( arg : sfixed ) RETURN std_ulogic;
-- function "nor" ( arg : sfixed ) RETURN std_ulogic;
-- function "xor" ( arg : sfixed ) RETURN std_ulogic;
-- function "xnor" ( arg : sfixed ) RETURN std_ulogic;
-- returns arg'low-1 if not found
function find_msb (arg : ufixed; y : STD_ULOGIC) return INTEGER;
function find_msb (arg : sfixed; y : STD_ULOGIC) return INTEGER;
-- returns arg'high+1 if not found
function find_lsb (arg : ufixed; y : STD_ULOGIC) return INTEGER;
function find_lsb (arg : sfixed; y : STD_ULOGIC) return INTEGER;
--===========================================================================
-- RESIZE Functions
--===========================================================================
-- resizes the number (larger or smaller)
-- The returned result will be ufixed (left_index downto right_index)
-- If "round_style" is true, then the result will be rounded. If the MSB
-- of the remainder is a "1" AND the LSB of the unround result is a '1' or
-- the lower bits of the remainder include a '1' then the result will be
-- increased by the smallest representable number for that type.
-- The default is "true" for round_style.
-- "overflow_style" can be "true" (saturate mode) or "false" (wrap mode).
-- In saturate mode, if the number overflows then the largest possible
-- representable number is returned. If wrap mode, then the upper bits
-- of the number are truncated.
function resize (
arg : ufixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed;
-- "size_res" functions create the size of the output from the length
-- of the "size_res" input. The actual value of "size_res" is not used.
function resize (
arg : ufixed; -- input
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed;
-- Note that in "wrap" mode the sign bit is not replicated. Thus the
-- resize of a negative number can have a positive result in wrap mode.
function resize (
arg : sfixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
function resize (
arg : sfixed; -- input
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
--===========================================================================
-- Conversion Functions
--===========================================================================
-- integer (natural) to unsigned fixed point.
-- arguments are the upper and lower bounds of the number, thus
-- ufixed (7 downto -3) <= to_ufixed (int, 7, -3);
function to_ufixed (
arg : NATURAL; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding
return ufixed;
function to_ufixed (
arg : NATURAL; -- integer
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding
return ufixed;
-- real to unsigned fixed point
function to_ufixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed;
function to_ufixed (
arg : REAL; -- real
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed;
-- unsigned to unsigned fixed point
function to_ufixed (
arg : UNSIGNED; -- unsigned
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return ufixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return ufixed;
-- Performs a casting. ufixed (arg'range) is returned
function to_ufixed (
arg : UNSIGNED) -- unsigned
return ufixed;
-- unsigned fixed point to unsigned
function to_unsigned (
arg : ufixed; -- fixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED;
-- unsigned fixed point to unsigned
function to_unsigned (
arg : ufixed; -- fixed point input
size_res : UNSIGNED; -- used for length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED;
-- unsigned fixed point to real
function to_real (
arg : ufixed) -- fixed point input
return REAL;
-- unsigned fixed point to integer
function to_integer (
arg : ufixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return NATURAL;
-- Integer to sfixed
function to_sfixed (
arg : INTEGER; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
function to_sfixed (
arg : INTEGER; -- integer
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
-- Real to sfixed
function to_sfixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed;
function to_sfixed (
arg : REAL; -- real
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed;
-- signed to sfixed
function to_sfixed (
arg : SIGNED; -- signed
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
function to_sfixed (
arg : SIGNED; -- signed
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
-- signed to sfixed (output assumed to be size of signed input)
function to_sfixed (
arg : SIGNED) -- signed
return sfixed;
-- unsigned fixed point to signed fixed point (adds a "0" sign bit)
function add_sign (
arg : ufixed) -- unsigned fixed point
return sfixed;
-- signed fixed point to signed
function to_signed (
arg : sfixed; -- fixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED;
-- signed fixed point to signed
function to_signed (
arg : sfixed; -- fixed point input
size_res : SIGNED; -- used for length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED;
-- signed fixed point to real
function to_real (
arg : sfixed) -- fixed point input
return REAL;
-- signed fixed point to integer
function to_integer (
arg : sfixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return INTEGER;
-- Because of the farily complicated sizing rules in the fixed point
-- packages these functions are provided to compute the result ranges
-- Example:
-- signal uf1 : ufixed (3 downto -3);
-- signal uf2 : ufixed (4 downto -2);
-- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto
-- ufixed_low (3, -3, '*', 4, -2));
-- uf1multuf2 <= uf1 * uf2;
-- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod)
function ufixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function ufixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function sfixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function sfixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
-- Same as above, but using the "size_res" input only for their ranges:
-- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto
-- ufixed_low (uf1, '*', uf2));
-- uf1multuf2 <= uf1 * uf2;
function ufixed_high (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER;
function ufixed_low (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER;
function sfixed_high (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER;
function sfixed_low (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function saturate (
size_res : ufixed) -- only the size of this is used
return ufixed;
function saturate (
size_res : sfixed) -- only the size of this is used
return sfixed;
--===========================================================================
-- Translation Functions
--===========================================================================
-- Maps meta-logical values
function to_01 (
s : ufixed; -- fixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return ufixed;
-- maps meta-logical values
function to_01 (
s : sfixed; -- fixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return sfixed;
function Is_X (arg : ufixed) return BOOLEAN;
function Is_X (arg : sfixed) return BOOLEAN;
function to_X01 (arg : ufixed) return ufixed;
function to_X01 (arg : sfixed) return sfixed;
function to_X01Z (arg : ufixed) return ufixed;
function to_X01Z (arg : sfixed) return sfixed;
function to_UX01 (arg : ufixed) return ufixed;
function to_UX01 (arg : sfixed) return sfixed;
-- straight vector conversion routines, needed for synthesis.
-- These functions are here so that a std_logic_vector can be
-- converted to and from sfixed and ufixed. Note that you can
-- not cast these vectors because of their negative index.
function to_slv (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR;
-- alias to_StdLogicVector is to_slv [ufixed return STD_LOGIC_VECTOR];
-- alias to_Std_Logic_Vector is to_slv [ufixed return STD_LOGIC_VECTOR];
function to_slv (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR;
-- alias to_StdLogicVector is to_slv [sfixed return STD_LOGIC_VECTOR];
-- alias to_Std_Logic_Vector is to_slv [sfixed return STD_LOGIC_VECTOR];
function to_sulv (
arg : ufixed) -- fp vector
return STD_ULOGIC_VECTOR;
-- alias to_StdULogicVector is to_sulv [ufixed return STD_ULOGIC_VECTOR];
-- alias to_Std_ULogic_Vector is to_sulv [ufixed return STD_ULOGIC_VECTOR];
function to_sulv (
arg : sfixed) -- fp vector
return STD_ULOGIC_VECTOR;
-- alias to_StdULogicVector is to_sulv [sfixed return STD_ULOGIC_VECTOR];
-- alias to_Std_ULogic_Vector is to_sulv [sfixed return STD_ULOGIC_VECTOR];
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed;
-- As a concession to those who use a graphical DSP environment,
-- these functions take parameters in those tools format and create
-- fixed point numbers. These functions are designed to convert from
-- a std_logic_vector to the VHDL fixed point format using the conventions
-- of these packages. In a pure VHDL environment you should use the
-- "to_ufixed" and "to_sfixed" routines.
-- Unsigned fixed point
function to_UFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return ufixed;
-- signed fixed point
function to_SFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return sfixed;
-- finding the bounds of a number. These functions can be used like this:
-- signal xxx : ufixed (7 downto -3);
-- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))"
-- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3)
-- downto UFix_low(11, 3, "+", 11, 3));
-- Where "11" is the width of xxx (xxx'length),
-- and 3 is the lower bound (abs (xxx'low))
-- In a pure VHDL environment use "ufixed_high" and "ufixed_low"
function UFix_high (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
function UFix_low (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
-- Same as above but for signed fixed point. Note that the width
-- of a signed fixed point number ignores the sign bit, thus
-- width = sxxx'length-1
function SFix_high (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
function SFix_low (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
--===========================================================================
-- string and textio Functions
--===========================================================================
-- rtl_synthesis off
-- synthesis translate_off
-- purpose: writes fixed point into a line
procedure WRITE (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
-- purpose: writes fixed point into a line
procedure WRITE (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure READ(L : inout LINE;
VALUE : out ufixed);
procedure READ(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN);
procedure READ(L : inout LINE;
VALUE : out sfixed);
procedure READ(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN);
alias bwrite is WRITE [LINE, ufixed, SIDE, width];
alias bwrite is WRITE [LINE, sfixed, SIDE, width];
alias bread is READ [LINE, ufixed];
alias bread is READ [LINE, ufixed, BOOLEAN];
alias bread is READ [LINE, sfixed];
alias bread is READ [LINE, sfixed, BOOLEAN];
-- octal read and write
procedure OWRITE (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure OWRITE (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure OREAD(L : inout LINE;
VALUE : out ufixed);
procedure OREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN);
procedure OREAD(L : inout LINE;
VALUE : out sfixed);
procedure OREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN);
-- hex read and write
procedure HWRITE (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
-- purpose: writes fixed point into a line
procedure HWRITE (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure HREAD(L : inout LINE;
VALUE : out ufixed);
procedure HREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN);
procedure HREAD(L : inout LINE;
VALUE : out sfixed);
procedure HREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN);
-- returns a string, useful for:
-- assert (x = y) report "error found " & to_string(x) severity error;
function to_string (
value : ufixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
alias to_bstring is to_string [ufixed, SIDE, width return STRING];
function to_ostring (
value : ufixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
function to_hstring (
value : ufixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
function to_string (
value : sfixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
alias to_bstring is to_string [sfixed, SIDE, width return STRING];
function to_ostring (
value : sfixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
function to_hstring (
value : sfixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
-- From string functions allow you to convert a string into a fixed
-- point number. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5
-- The "." is optional in this syntax, however it exist and is
-- in the wrong location an error is produced. Overflow will
-- result in saturation.
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
alias from_bstring is from_string [STRING, INTEGER, INTEGER return ufixed];
-- Octal and hex conversions work as follows:
-- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped)
-- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped)
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
alias from_bstring is from_string [STRING, INTEGER, INTEGER return sfixed];
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
-- Same as above, "size_res" is used for it's range only.
function from_string (
bstring : STRING; -- binary string
size_res : ufixed)
return ufixed;
alias from_bstring is from_string [STRING, ufixed return ufixed];
function from_ostring (
ostring : STRING; -- Octal string
size_res : ufixed)
return ufixed;
function from_hstring (
hstring : STRING; -- hex string
size_res : ufixed)
return ufixed;
function from_string (
bstring : STRING; -- binary string
size_res : sfixed)
return sfixed;
alias from_bstring is from_string [STRING, sfixed return sfixed];
function from_ostring (
ostring : STRING; -- Octal string
size_res : sfixed)
return sfixed;
function from_hstring (
hstring : STRING; -- hex string
size_res : sfixed)
return sfixed;
-- Direct converstion functions. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100"); -- 6.5
-- In this case the "." is not optional, and the size of
-- the output must match exactly.
function from_string (
bstring : STRING) -- binary string
return ufixed;
alias from_bstring is from_string [STRING return ufixed];
-- Direct octal and hex converstion functions. In this case
-- the string lengths must match. Example:
-- signal sf1 := sfixed (5 downto -3);
-- sf1 <= from_ostring ("71.4") -- -6.5
function from_ostring (
ostring : STRING) -- Octal string
return ufixed;
function from_hstring (
hstring : STRING) -- hex string
return ufixed;
function from_string (
bstring : STRING) -- binary string
return sfixed;
alias from_bstring is from_string [STRING return sfixed];
function from_ostring (
ostring : STRING) -- Octal string
return sfixed;
function from_hstring (
hstring : STRING) -- hex string
return sfixed;
-- synthesis translate_on
-- rtl_synthesis on
-- This type is here for the floating point package.
type round_type is (round_nearest, -- Default, nearest LSB '0'
round_inf, -- Round to positive
round_neginf, -- Round to negate
round_zero); -- Round towards zero
-- These are the same as the C FE_TONEAREST, FE_UPWARD, FE_DOWNWARD,
-- and FE_TOWARDZERO floating point rounding macros.
function to_StdLogicVector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR;
function to_Std_Logic_Vector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR;
function to_StdLogicVector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR;
function to_Std_Logic_Vector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR;
end package fixed_pkg;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use std.textio.all;
use ieee.std_logic_textio.all; -- %%% for testing only
package body fixed_pkg is
-- Author David Bishop ([email protected])
-- Other contributers: Jim Lewis, Yannick Grugni, Ryan W. Hilton
-- null array constants
constant NAUF : ufixed (0 downto 1) := (others => '0');
constant NASF : sfixed (0 downto 1) := (others => '0');
constant NSLV : STD_LOGIC_VECTOR (0 downto 1) := (others => '0');
-- This differed constant will tell you if the package body is synthesizable
-- or implemented as real numbers, set to "true" if synthesizable.
constant fixedsynth_or_real : BOOLEAN := true;
--%%% Can be removed in vhdl-200x, will be implicit.
-- purpose: To find the largest of 2 numbers
function maximum (l, r : INTEGER)
return INTEGER is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
function minimum (l, r : INTEGER)
return INTEGER is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
-- %%% Remove the following function (duplicates of new numeric_std)
function "sra" (arg : SIGNED; count : INTEGER)
return SIGNED is
begin
if (COUNT >= 0) then
return SHIFT_RIGHT(arg, count);
else
return SHIFT_LEFT(arg, -count);
end if;
end function "sra";
-- %%% Replace or_reducex with "or", and_reducex with "and", and
-- %%% xor_reducex with "xor", then remove the following 3 functions
-- purpose: OR all of the bits in a vector together
-- This is a copy of the proposed "or_reduce" from 1076.3
function or_reducex (arg : STD_LOGIC_VECTOR)
return STD_LOGIC is
variable Upper, Lower : STD_LOGIC;
variable Half : INTEGER;
variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_LOGIC;
begin
if (arg'length < 1) then -- In the case of a NULL range
Result := '0';
else
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int (BUS_int'right) or BUS_int (BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := or_reducex (BUS_int (BUS_int'left downto Half));
Lower := or_reducex (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper or Lower;
end if;
end if;
return Result;
end function or_reducex;
-- purpose: AND all of the bits in a vector together
-- This is a copy of the proposed "and_reduce" from 1076.3
function and_reducex (arg : STD_LOGIC_VECTOR)
return STD_LOGIC is
variable Upper, Lower : STD_LOGIC;
variable Half : INTEGER;
variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_LOGIC;
begin
if (arg'length < 1) then -- In the case of a NULL range
Result := '1';
else
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int (BUS_int'right) and BUS_int (BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := and_reducex (BUS_int (BUS_int'left downto Half));
Lower := and_reducex (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper and Lower;
end if;
end if;
return Result;
end function and_reducex;
function xor_reducex (arg : STD_LOGIC_VECTOR) return STD_ULOGIC is
variable Upper, Lower : STD_ULOGIC;
variable Half : INTEGER;
variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_ULOGIC := '0'; -- In the case of a NULL range
begin
if (arg'length >= 1) then
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int(BUS_int'right) xor BUS_int(BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := xor_reducex (BUS_int (BUS_int'left downto Half));
Lower := xor_reducex (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper xor Lower;
end if;
end if;
return Result;
end function xor_reducex;
--%%% remove the following function and table
-- Match table, copied form new std_logic_1164
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% end remove
-- Special version of "minimum" to do some boundary checking without errors
function mins (l, r : INTEGER)
return INTEGER is
begin -- function mins
if (L = INTEGER'low or R = INTEGER'low) then
return 0; -- error condition
end if;
return minimum (L, R);
end function mins;
-- Special version of "minimum" to do some boundary checking with errors
function mine (l, r : INTEGER)
return INTEGER is
begin -- function mine
if (L = INTEGER'low or R = INTEGER'low) then
report "FIXED_GENERIC_PKG: Unbounded number passed, was a literal used?"
severity error;
return 0;
end if;
return minimum (L, R);
end function mine;
-- The following functions are used only internally. Every function
-- calls "cleanvec" either directly or indirectly.
-- purpose: Fixes "downto" problem and resolves meta states
function cleanvec (
arg : sfixed) -- input
return sfixed is
constant left_index : INTEGER := maximum(arg'left, arg'right);
constant right_index : INTEGER := mins(arg'left, arg'right);
variable result : sfixed (arg'range);
begin -- function cleanvec
assert not ((arg'left < arg'right) and (arg'low /= INTEGER'low))
report "FIXED_GENERIC_PKG: Vector passed using a ""to"" range, expected is ""downto"""
severity error;
return arg;
end function cleanvec;
-- purpose: Fixes "downto" problem and resolves meta states
function cleanvec (
arg : ufixed) -- input
return ufixed is
constant left_index : INTEGER := maximum(arg'left, arg'right);
constant right_index : INTEGER := mins(arg'left, arg'right);
variable result : ufixed (arg'range);
begin -- function cleanvec
assert not ((arg'left < arg'right) and (arg'low /= INTEGER'low))
report "FIXED_GENERIC_PKG: Vector passed using a ""to"" range, expected is ""downto"""
severity error;
return arg;
end function cleanvec;
-- Type cast a "unsigned" into a "ufixed", used internally
function to_fixed (
arg : UNSIGNED; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
-- variable j : INTEGER := arg'high; -- index for arg
begin -- function to_fixed
result := ufixed(arg);
-- floop : for i in result'range loop
-- result(i) := arg(j); -- res(4) := arg (4 + 3)
-- j := j - 1;
-- end loop floop;
return result;
end function to_fixed;
-- Type cast a "signed" into an "sfixed", used internally
function to_fixed (
arg : SIGNED; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
-- variable j : INTEGER := arg'high; -- index for arg
begin -- function to_fixed
result := sfixed(arg);
-- floop : for i in result'range loop
-- result(i) := arg(j); -- res(4) := arg (4 + 3)
-- j := j - 1;
-- end loop floop;
return result;
end function to_fixed;
-- Type cast a "ufixed" into an "unsigned", used internally
function to_uns (
arg : ufixed) -- fp vector
return UNSIGNED is
subtype t is UNSIGNED(arg'high - arg'low downto 0);
variable slv : t;
begin -- function to_uns
slv := t(arg);
-- floop : for i in slv'range loop
-- slv(i) := arg(i + arg'low); -- slv(7) := arg (7 - 3)
-- end loop floop;
return UNSIGNED(to_X01(std_logic_vector(slv)));
end function to_uns;
-- Type cast an "sfixed" into a "signed", used internally
function to_s (
arg : sfixed) -- fp vector
return SIGNED is
subtype t is SIGNED(arg'high - arg'low downto 0);
variable slv : t;
begin -- function to_s
slv := t(arg);
-- floop : for i in slv'range loop
-- slv(i) := arg(i + arg'low); -- slv(7) := arg (7 - 3)
-- end loop floop;
return SIGNED(to_X01(std_logic_vector(slv)));
end function to_s;
-- adds 1 to the LSB of the number
procedure round_up (arg : in ufixed;
result : out ufixed;
overflowx : out BOOLEAN) is
variable arguns, resuns : UNSIGNED (arg'high-arg'low+1 downto 0) :=
(others => '0');
begin -- round_up
arguns (arguns'high-1 downto 0) := to_uns (arg);
resuns := arguns + 1;
result := to_fixed(resuns(arg'high-arg'low
downto 0), arg'high, arg'low);
overflowx := (resuns(resuns'high) = '1');
end procedure round_up;
-- adds 1 to the LSB of the number
procedure round_up (arg : in sfixed;
result : out sfixed;
overflowx : out BOOLEAN) is
variable args, ress : SIGNED (arg'high-arg'low+1 downto 0);
begin -- round_up
args (args'high-1 downto 0) := to_s (arg);
args(args'high) := arg(arg'high); -- sign extend
ress := args + 1;
result := to_fixed(ress (ress'high-1
downto 0), arg'high, arg'low);
overflowx := ((arg(arg'high) /= ress(ress'high-1))
and (or_reducex (STD_LOGIC_VECTOR(ress)) /= '0'));
end procedure round_up;
-- Rounding - Performs a "round_nearest" (IEEE 754) which rounds up
-- when the remainder is > 0.5. If the remainder IS 0.5 then if the
-- bottom bit is a "1" it is rounded, otherwise it remains the same.
function round_fixed (arg : ufixed;
remainder : ufixed;
overflow_style : BOOLEAN := fixed_overflow_style)
return ufixed is
variable rounds : BOOLEAN;
variable round_overflow : BOOLEAN;
variable result : ufixed (arg'range);
begin
rounds := false;
if (remainder'length > 1) then
if (remainder (remainder'high) = '1') then
rounds := (arg(arg'low) = '1')
or (or_reducex (to_slv(remainder(remainder'high-1 downto
remainder'low))) = '1');
end if;
else
rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1');
end if;
if rounds then
round_up(arg => arg,
result => result,
overflowx => round_overflow);
else
result := arg;
end if;
if (overflow_style = fixed_saturate) and round_overflow then
result := saturate (result'high, result'low);
end if;
return result;
end function round_fixed;
-- Rounding case statement
function round_fixed (arg : sfixed;
remainder : sfixed;
overflow_style : BOOLEAN := fixed_overflow_style)
return sfixed is
variable rounds : BOOLEAN;
variable round_overflow : BOOLEAN;
variable result : sfixed (arg'range);
begin
rounds := false;
if (remainder'length > 1) then
if (remainder (remainder'high) = '1') then
rounds := (arg(arg'low) = '1')
or (or_reducex (to_slv(remainder(remainder'high-1 downto
remainder'low))) = '1');
end if;
else
rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1');
end if;
if rounds then
round_up(arg => arg,
result => result,
overflowx => round_overflow);
else
result := arg;
end if;
if round_overflow then
if (overflow_style = fixed_saturate) then
if arg(arg'high) = '0' then
result := saturate (result'high, result'low);
else
result := not saturate (result'high, result'low);
end if;
-- else
-- result(result'high) := arg(arg'high); -- fix sign bit in wrap
end if;
end if;
return result;
end function round_fixed;
-----------------------------------------------------------------------------
-- Visible functions
-----------------------------------------------------------------------------
-- casting functions. These are needed for synthesis where typically
-- the only input and output type is a std_logic_vector.
function to_slv (
arg : ufixed) -- fixed point vector
return STD_LOGIC_VECTOR is
subtype t is STD_LOGIC_VECTOR (arg'high - arg'low downto 0);
variable slv : t;
begin
if arg'length < 1 then
return NSLV;
end if;
slv := t (arg);
return slv;
end function to_slv;
function to_slv (
arg : sfixed) -- fixed point vector
return STD_LOGIC_VECTOR is
subtype t is STD_LOGIC_VECTOR (arg'high - arg'low downto 0);
variable slv : t;
begin
if arg'length < 1 then
return NSLV;
end if;
slv := t (arg);
return slv;
end function to_slv;
function to_sulv (
arg : ufixed) -- fixed point vector
return STD_ULOGIC_VECTOR is
begin
return to_stdulogicvector (to_slv(arg));
end function to_sulv;
function to_sulv (
arg : sfixed) -- fixed point vector
return STD_ULOGIC_VECTOR is
begin
return to_stdulogicvector (to_slv(arg));
end function to_sulv;
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
begin
if (arg'length < 1 or right_index > left_index) then
return NAUF;
end if;
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_UFIXED (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NAUF;
else
result := to_fixed (arg => UNSIGNED(arg),
left_index => left_index,
right_index => right_index);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
begin
if (arg'length < 1 or right_index > left_index) then
return NASF;
end if;
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_SFIXED (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NASF;
else
result := to_fixed (arg => SIGNED(arg),
left_index => left_index,
right_index => right_index);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
begin
return to_ufixed (arg => to_stdlogicvector(arg),
left_index => left_index,
right_index => right_index);
end function to_ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
begin
return to_sfixed (arg => to_stdlogicvector(arg),
left_index => left_index,
right_index => right_index);
end function to_sfixed;
-- Two's complement number, Grows the vector by 1 bit.
-- because "abs (1000.000) = 01000.000" or abs(-16) = 16.
function "abs" (
arg : sfixed) -- fixed point input
return sfixed is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable ressns : SIGNED (arg'length downto 0);
variable result : sfixed (left_index+1 downto right_index);
begin
if (arg'length < 1 or result'length < 1) then
return NASF;
end if;
ressns (arg'length-1 downto 0) := to_s (cleanvec (arg));
ressns (arg'length) := ressns (arg'length-1); -- expand sign bit
result := to_fixed (abs(ressns), left_index+1, right_index);
return result;
end function "abs";
-- also grows the vector by 1 bit.
function "-" (
arg : sfixed) -- fixed point input
return sfixed is
constant left_index : INTEGER := arg'high+1;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable ressns : SIGNED (arg'length downto 0);
variable result : sfixed (left_index downto right_index);
begin
if (arg'length < 1 or result'length < 1) then
return NASF;
end if;
ressns (arg'length-1 downto 0) := to_s (cleanvec(arg));
ressns (arg'length) := ressns (arg'length-1); -- expand sign bit
result := to_fixed (-ressns, left_index, right_index);
return result;
end function "-";
function "abs" (arg : sfixed) return ufixed is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable xarg : sfixed(left_index+1 downto right_index);
variable result : ufixed(left_index downto right_index);
begin
if arg'length < 1 then
return NAUF;
end if;
xarg := abs(arg);
result := ufixed (xarg (left_index downto right_index));
return result;
end function "abs";
-- Addition
function "+" (
l, r : ufixed) -- ufixed(a downto b) + ufixed(c downto d) =
return ufixed is -- ufixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NAUF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv + rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "+";
function "+" (
l, r : sfixed) -- sfixed(a downto b) + sfixed(c downto d) =
return sfixed is -- sfixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index downto 0);
variable result_slv : SIGNED (left_index-right_index downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NASF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv + rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "+";
-- Subtraction
function "-" (
l, r : ufixed) -- ufixed(a downto b) - ufixed(c downto d) =
return ufixed is -- ufixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NAUF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv - rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "-";
function "-" (
l, r : sfixed) -- sfixed(a downto b) - sfixed(c downto d) =
return sfixed is -- sfixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index downto 0);
variable result_slv : SIGNED (left_index-right_index downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NASF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv - rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "-";
function "*" (
l, r : ufixed) -- ufixed(a downto b) * ufixed(c downto d) =
return ufixed is -- ufixed(a+c+1 downto b+d)
variable lslv : UNSIGNED (l'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (r'length+l'length-1 downto 0);
variable result : ufixed (l'high + r'high+1 downto
mine(l'low, l'low) + mine(r'low, r'low));
begin
if (l'length < 1 or r'length < 1 or
result'length /= result_slv'length) then
return NAUF;
end if;
lslv := to_uns (cleanvec(l));
rslv := to_uns (cleanvec(r));
result_slv := lslv * rslv;
result := to_fixed (result_slv, result'high, result'low);
return result;
end function "*";
function "*" (
l, r : sfixed) -- sfixed(a downto b) * sfixed(c downto d) =
return sfixed is -- sfixed(a+c+1 downto b+d)
variable lslv : SIGNED (l'length-1 downto 0);
variable rslv : SIGNED (r'length-1 downto 0);
variable result_slv : SIGNED (r'length+l'length-1 downto 0);
variable result : sfixed (l'high + r'high+1 downto
mine(l'low, l'low) + mine(r'low, r'low));
begin
if (l'length < 1 or r'length < 1 or
result'length /= result_slv'length) then
return NASF;
end if;
lslv := to_s (cleanvec(l));
rslv := to_s (cleanvec(r));
result_slv := lslv * rslv;
result := to_fixed (result_slv, result'high, result'low);
return result;
end function "*";
function "/" (
l, r : ufixed) -- ufixed(a downto b) / ufixed(c downto d) =
return ufixed is -- ufixed(a-d downto b-c-1)
begin
return divide (l, r);
end function "/";
function "/" (
l, r : sfixed) -- sfixed(a downto b) / sfixed(c downto d) =
return sfixed is -- sfixed(a-d+1 downto b-c)
begin
return divide (l, r);
end function "/";
-- This version of divide gives the user more control
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function divide (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed is
variable result : ufixed (l'high - mine(r'low, r'low)
downto mine (l'low, l'low) - r'high -1);
variable dresult : ufixed (result'high downto result'low -guard_bits);
variable lresize : ufixed (l'high downto l'high - dresult'length+1);
variable lslv : UNSIGNED (lresize'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NAUF;
end if;
lresize := resize (l, lresize'high, lresize'low);
lslv := to_uns (cleanvec (lresize));
rslv := to_uns (cleanvec (r));
if (rslv = 0) then
report "FIXED_GENERIC_PKG.DIVIDE uFixed point Division by zero" severity error;
result := saturate (result'high, result'low); -- saturate
else
result_slv := lslv / rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
round_style => round_style,
overflow_style => fixed_wrap); -- overflow impossible
end if;
return result;
end function divide;
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function divide (
l, r : sfixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed is
variable result : sfixed (l'high - mine(r'low, r'low)+1
downto mine (l'low, l'low) - r'high);
variable dresult : sfixed (result'high downto result'low-guard_bits);
variable lresize : sfixed (l'high+1 downto l'high+1 -dresult'length+1);
variable lslv : SIGNED (lresize'length-1 downto 0);
variable rslv : SIGNED (r'length-1 downto 0);
variable result_slv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
lresize := resize (l, lresize'high, lresize'low);
lslv := to_s (cleanvec (lresize));
rslv := to_s (cleanvec (r));
if (rslv = 0) then
report "FIXED_GENERIC_PKG.DIVIDE uFixed point Division by zero" severity error;
result := saturate (result'high, result'low);
else
result_slv := lslv / rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
round_style => round_style,
overflow_style => fixed_wrap); -- overflow impossible
end if;
return result;
end function divide;
-- 1 / ufixed(a downto b) = ufixed(-b downto -a-1)
function reciprocal (
arg : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed is
constant one : ufixed (0 downto 0) := "1";
begin
return divide(l => one,
r => arg,
round_style => round_style,
guard_bits => guard_bits);
end function reciprocal;
-- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a)
function reciprocal (
arg : sfixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed is
constant one : sfixed (1 downto 0) := "01"; -- extra bit.
variable resultx : sfixed (-mine(arg'low, arg'low)+2 downto -arg'high);
begin
if (arg'length < 1 or resultx'length < 1) then
return NASF;
else
resultx := divide(l => one,
r => arg,
round_style => round_style,
guard_bits => guard_bits);
return resultx (resultx'high-1 downto resultx'low); -- remove extra bit
end if;
end function reciprocal;
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function "rem" (
l, r : ufixed) -- fixed point input
return ufixed is
begin
return remainder (l => l,
r => r,
round_style => fixed_round_style);
end function "rem";
-- remainder
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function "rem" (
l, r : sfixed) -- fixed point input
return sfixed is
begin
return remainder (l => l,
r => r,
round_style => fixed_round_style);
end function "rem";
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function remainder (
l, r : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style)
return ufixed is
variable result : ufixed (minimum(l'high, r'high) downto mine(l'low, r'low));
variable dresult : ufixed (r'high downto r'low);
variable lresize : ufixed (maximum(l'high, r'low) downto mins(r'low, r'low));
variable lslv : UNSIGNED (lresize'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (rslv'range);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NAUF;
end if;
lresize := resize (arg => l,
left_index => lresize'high,
right_index => lresize'low,
overflow_style => fixed_wrap, -- vector only grows
round_style => fixed_truncate);
lslv := to_uns (lresize);
rslv := to_uns (cleanvec(r));
if (rslv = 0) then
report "FIXED_GENERIC_PKG.rem uFixed point Division by zero" severity error;
result := saturate (result'high, result'low); -- saturate
else
if (r'low <= l'high) then
result_slv := lslv rem rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
overflow_style => fixed_wrap,
round_style => round_style);
-- result(result'high downto r'low) := dresult(result'high downto r'low);
end if;
if l'low < r'low then
result(mins(r'low-1, l'high) downto l'low) :=
cleanvec(l(mins(r'low-1, l'high) downto l'low));
end if;
end if;
return result;
end function remainder;
-- remainder
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function remainder (
l, r : sfixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style)
return sfixed is
variable l_abs : ufixed (l'range);
variable r_abs : ufixed (r'range);
variable result : sfixed (minimum(r'high, l'high) downto mine(r'low, l'low));
variable neg_result : sfixed (minimum(r'high, l'high)+1 downto mins(r'low, l'low));
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
l_abs := abs(l);
r_abs := abs(r);
result := sfixed(remainder (l => l_abs,
r => r_abs,
round_style => round_style));
neg_result := -result;
if l(l'high) = '1' then
result := neg_result(result'range);
end if;
return result;
end function remainder;
-- modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function "mod" (
l, r : ufixed) -- fixed point input
return ufixed is
begin
return modulo (l => l,
r => r,
round_style => fixed_round_style);
end function "mod";
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function "mod" (
l, r : sfixed) -- fixed point input
return sfixed is
begin
return modulo(l => l,
r => r,
round_style => fixed_round_style);
end function "mod";
-- modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function modulo (
l, r : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style)
return ufixed is
begin
return remainder(l => l,
r => r,
round_style => round_style);
end function modulo;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function modulo (
l, r : sfixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style;
constant round_style : BOOLEAN := fixed_round_style)
return sfixed is
variable l_abs : ufixed (l'range);
variable r_abs : ufixed (r'range);
variable result : sfixed (r'high downto
mine(r'low, l'low));
variable dresult : sfixed (minimum(r'high, l'high)+1 downto
mins(r'low, l'low));
variable dresult_not_zero : BOOLEAN;
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
l_abs := abs(l);
r_abs := abs(r);
dresult := "0" & sfixed(remainder (l => l_abs,
r => r_abs,
round_style => round_style));
if (to_s(dresult) = 0) then
dresult_not_zero := false;
else
dresult_not_zero := true;
end if;
if to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '0'
and dresult_not_zero then
result := resize (arg => r - dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
elsif to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '1' then
result := resize (arg => -dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
elsif to_x01(l(l'high)) = '0' and to_x01(r(r'high)) = '1'
and dresult_not_zero then
result := resize (arg => dresult + r,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
else
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
end if;
return result;
end function modulo;
-- Procedure for those who need an "accumulator" function
procedure add_carry (
L, R : in ufixed;
c_in : in STD_ULOGIC;
result : out ufixed;
c_out : out STD_ULOGIC) is
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
variable cx : UNSIGNED (0 downto 0); -- Carry in
begin
if (l'length < 1 or r'length < 1) then
result := NAUF;
c_out := '0';
else
cx (0) := c_in;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv + rslv + cx;
c_out := result_slv(left_index);
result := to_fixed(result_slv (left_index-right_index-1 downto 0),
left_index-1, right_index);
end if;
end procedure add_carry;
procedure add_carry (
L, R : in sfixed;
c_in : in STD_ULOGIC;
result : out sfixed;
c_out : out STD_ULOGIC) is
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index
downto 0);
variable result_slv : SIGNED (left_index-right_index
downto 0);
variable cx : SIGNED (1 downto 0); -- Carry in
begin
if (l'length < 1 or r'length < 1) then
result := NASF;
c_out := '0';
else
cx (1) := '0';
cx (0) := c_in;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv + rslv + cx;
c_out := result_slv(left_index);
result := to_fixed(result_slv (left_index-right_index-1 downto 0),
left_index-1, right_index);
end if;
end procedure add_carry;
-- Scales the result by a power of 2. Width of input = width of output with
-- the decimal point moved.
function scalb (y : ufixed; N : integer) return ufixed is
variable result : ufixed (y'high+N downto y'low+N);
begin
if y'length < 1 then
return NAUF;
else
result := y;
return result;
end if;
end function scalb;
function scalb (y : ufixed; N : SIGNED) return ufixed is
begin
return scalb (y => y,
N => to_integer(N));
end function scalb;
function scalb (y : sfixed; N : integer) return sfixed is
variable result : sfixed (y'high+N downto y'low+N);
begin
if y'length < 1 then
return NASF;
else
result := y;
return result;
end if;
end function scalb;
function scalb (y : sfixed; N : SIGNED) return sfixed is
begin
return scalb (y => y,
N => to_integer(N));
end function scalb;
function Is_Negative (arg : sfixed) return BOOLEAN is
begin
if to_X01(arg(arg'high)) = '1' then
return true;
else
return false;
end if;
end function Is_Negative;
function find_lsb (arg : ufixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'low to arg'high loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'high+1; -- return out of bounds 'high
end function find_lsb;
function find_msb (arg : ufixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'high downto arg'low loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'low-1; -- return out of bounds 'low
end function find_msb;
function find_lsb (arg : sfixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'low to arg'high loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'high+1; -- return out of bounds 'high
end function find_lsb;
function find_msb (arg : sfixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'high downto arg'low loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'low-1; -- return out of bounds 'low
end function find_msb;
function "sll" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sll";
function "srl" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "srl";
function "rol" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv rol COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "rol";
function "ror" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv ror COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "ror";
function "sla" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
-- Arithmetic shift on an unsigned is a logical shift
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sla";
function "sra" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
-- Arithmetic shift on an unsigned is a logical shift
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sra";
function "sll" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sll";
function "srl" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "srl";
function "rol" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv rol COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "rol";
function "ror" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv ror COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "ror";
function "sla" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
if COUNT > 0 then
-- Arithmetic shift left on a 2's complement number is a logic shift
argslv := argslv sll COUNT;
else
argslv := argslv sra -COUNT;
end if;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sla";
function "sra" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
if COUNT > 0 then
argslv := argslv sra COUNT;
else
-- Arithmetic shift left on a 2's complement number is a logic shift
argslv := argslv sll -COUNT;
end if;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sra";
-- Because some people want the older functions.
function SHIFT_LEFT (ARG : ufixed; COUNT : NATURAL) return ufixed is
begin
if (ARG'length < 1) then
return NAUF;
end if;
return ARG sla COUNT;
end function SHIFT_LEFT;
function SHIFT_RIGHT (ARG : ufixed; COUNT : NATURAL) return ufixed is
begin
if (ARG'length < 1) then
return NAUF;
end if;
return ARG sra COUNT;
end function SHIFT_RIGHT;
function SHIFT_LEFT (ARG : sfixed; COUNT : NATURAL) return sfixed is
begin
if (ARG'length < 1) then
return NASF;
end if;
return ARG sla COUNT;
end function SHIFT_LEFT;
function SHIFT_RIGHT (ARG : sfixed; COUNT : NATURAL) return sfixed is
begin
if (ARG'length < 1) then
return NASF;
end if;
return ARG sra COUNT;
end function SHIFT_RIGHT;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (L : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
RESULT := not to_slv(L);
return to_ufixed(RESULT, L'high, L'low);
end function "not";
function "and" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) and to_slv(R);
else
report "FIXED_GENERIC_PKG.""and"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "and";
function "or" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) or to_slv(R);
else
report "FIXED_GENERIC_PKG.""or"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "or";
function "nand" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nand to_slv(R);
else
report "FIXED_GENERIC_PKG.""nand"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "nand";
function "nor" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nor to_slv(R);
else
report "FIXED_GENERIC_PKG.""nor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "nor";
function "xor" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "xor";
function "xnor" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xnor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xnor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "xnor";
function "not" (L : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
RESULT := not to_slv(L);
return to_sfixed(RESULT, L'high, L'low);
end function "not";
function "and" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) and to_slv(R);
else
report "FIXED_GENERIC_PKG.""and"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "and";
function "or" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) or to_slv(R);
else
report "FIXED_GENERIC_PKG.""or"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "or";
function "nand" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nand to_slv(R);
else
report "FIXED_GENERIC_PKG.""nand"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "nand";
function "nor" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nor to_slv(R);
else
report "FIXED_GENERIC_PKG.""nor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "nor";
function "xor" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "xor";
function "xnor" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xnor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xnor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "xnor";
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L and R(i);
end loop;
return result;
end function "and";
function "and" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) and R;
end loop;
return result;
end function "and";
function "or" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L or R(i);
end loop;
return result;
end function "or";
function "or" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) or R;
end loop;
return result;
end function "or";
function "nand" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L nand R(i);
end loop;
return result;
end function "nand";
function "nand" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nand R;
end loop;
return result;
end function "nand";
function "nor" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L nor R(i);
end loop;
return result;
end function "nor";
function "nor" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nor R;
end loop;
return result;
end function "nor";
function "xor" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L xor R(i);
end loop;
return result;
end function "xor";
function "xor" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xor R;
end loop;
return result;
end function "xor";
function "xnor" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L xnor R(i);
end loop;
return result;
end function "xnor";
function "xnor" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xnor R;
end loop;
return result;
end function "xnor";
function "and" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L and R(i);
end loop;
return result;
end function "and";
function "and" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) and R;
end loop;
return result;
end function "and";
function "or" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L or R(i);
end loop;
return result;
end function "or";
function "or" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) or R;
end loop;
return result;
end function "or";
function "nand" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L nand R(i);
end loop;
return result;
end function "nand";
function "nand" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nand R;
end loop;
return result;
end function "nand";
function "nor" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L nor R(i);
end loop;
return result;
end function "nor";
function "nor" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nor R;
end loop;
return result;
end function "nor";
function "xor" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L xor R(i);
end loop;
return result;
end function "xor";
function "xor" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xor R;
end loop;
return result;
end function "xor";
function "xnor" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L xnor R(i);
end loop;
return result;
end function "xnor";
function "xnor" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xnor R;
end loop;
return result;
end function "xnor";
-- Reduction operators, same as numeric_std functions
-- %%% remove 12 functions (old syntax)
function and_reduce(arg : ufixed) return STD_ULOGIC is
begin
return and_reducex (to_slv(arg));
end function and_reduce;
function nand_reduce(arg : ufixed) return STD_ULOGIC is
begin
return not and_reducex (to_slv(arg));
end function nand_reduce;
function or_reduce(arg : ufixed) return STD_ULOGIC is
begin
return or_reducex (to_slv(arg));
end function or_reduce;
function nor_reduce(arg : ufixed) return STD_ULOGIC is
begin
return not or_reducex (to_slv(arg));
end function nor_reduce;
function xor_reduce(arg : ufixed) return STD_ULOGIC is
begin
return xor_reducex (to_slv(arg));
end function xor_reduce;
function xnor_reduce(arg : ufixed) return STD_ULOGIC is
begin
return not xor_reducex (to_slv(arg));
end function xnor_reduce;
function and_reduce(arg : sfixed) return STD_ULOGIC is
begin
return and_reducex (to_slv(arg));
end function and_reduce;
function nand_reduce(arg : sfixed) return STD_ULOGIC is
begin
return not and_reducex (to_slv(arg));
end function nand_reduce;
function or_reduce(arg : sfixed) return STD_ULOGIC is
begin
return or_reducex (to_slv(arg));
end function or_reduce;
function nor_reduce(arg : sfixed) return STD_ULOGIC is
begin
return not or_reducex (to_slv(arg));
end function nor_reduce;
function xor_reduce(arg : sfixed) return STD_ULOGIC is
begin
return xor_reducex (to_slv(arg));
end function xor_reduce;
function xnor_reduce(arg : sfixed) return STD_ULOGIC is
begin
return not xor_reducex (to_slv(arg));
end function xnor_reduce;
-- %%% Uncomment the following 12 functions (new syntax)
-- function "and" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return and to_slv(arg);
-- end function "and";
-- function "nand" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return nand to_slv(arg);
-- end function "nand";;
-- function "or" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return or to_slv(arg);
-- end function "or";
-- function "nor" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return nor to_slv(arg);
-- end function "nor";
-- function "xor" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return xor to_slv(arg);
-- end function "xor";
-- function "xnor" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return xnor to_slv(arg);
-- end function "xnor";
-- function "and" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return and to_slv(arg);
-- end function "and";;
-- function "nand" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return nand to_slv(arg);
-- end function "nand";;
-- function "or" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return or to_slv(arg);
-- end function "or";
-- function "nor" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return nor to_slv(arg);
-- end function "nor";
-- function "xor" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return xor to_slv(arg);
-- end function "xor";
-- function "xnor" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return xnor to_slv(arg);
-- end function "xnor";
-- %%% Replace with the following (new syntax)
-- function "?=" (L, R : ufixed) return STD_ULOGIC is
function \?=\ (L, R : ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '1';
for i in lresize'reverse_range loop
result1 := \?=\(lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- end function "?=";
-- function "?/=" (L, R : ufixed) return STD_ULOGIC is
function \?/=\ (L, R : ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?/=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?/="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '0';
for i in lresize'reverse_range loop
result1 := \?/=\ (lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- end function "?/=";
-- function "?>" (L, R : ufixed) return STD_ULOGIC is
function \?>\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?>
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l > r then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- end function "?>";
-- function "?>=" (L, R : ufixed) return STD_ULOGIC is
function \?>=\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?>=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l >= r then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- end function "?>=";
-- function "?<" (L, R : ufixed) return STD_ULOGIC is
function \?<\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?<
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l < r then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- end function "?<";
-- function "?<=" (L, R : ufixed) return STD_ULOGIC is
function \?<=\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?<=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l <= r then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- end function "?<=";
-- function "?=" (L, R : sfixed) return STD_ULOGIC is
function \?=\ (L, R : sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '1';
for i in lresize'reverse_range loop
result1 := \?=\ (lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- end function "?=";
-- function "?/=" (L, R : sfixed) return STD_ULOGIC is
function \?/=\ (L, R : sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?/=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?/="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '0';
for i in lresize'reverse_range loop
result1 := \?/=\ (lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- end function "?/=";
-- function "?>" (L, R : sfixed) return STD_ULOGIC is
function \?>\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?>
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l > r then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- end function "?>";
-- function "?>=" (L, R : sfixed) return STD_ULOGIC is
function \?>=\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?>=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l >= r then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- end function "?>=";
-- function "?<" (L, R : sfixed) return STD_ULOGIC is
function \?<\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?<
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l < r then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- end function "?<";
-- function "?<=" (L, R : sfixed) return STD_ULOGIC is
function \?<=\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?<=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l <= r then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- end function "?<=";
-- %%% end replace
-- Match function, similar to "std_match" from numeric_std
function std_match (L, R : ufixed) return BOOLEAN is
begin
if (L'high = R'high and L'low = R'low) then
return std_match(to_slv(L), to_slv(R));
else
report "FIXED_GENERIC_PKG.STD_MATCH: L'RANGE /= R'RANGE, returning FALSE"
severity warning;
return false;
end if;
end function std_match;
function std_match (L, R : sfixed) return BOOLEAN is
begin
if (L'high = R'high and L'low = R'low) then
return std_match(to_slv(L), to_slv(R));
else
report "FIXED_GENERIC_PKG.STD_MATCH: L'RANGE /= R'RANGE, returning FALSE"
severity warning;
return false;
end if;
end function std_match;
--%%% end remove
-- compare functions
function "=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv = rslv;
end function "=";
function "=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv = rslv;
end function "=";
function "/=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": null argument detected, returning TRUE"
severity warning;
return true;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": metavalue detected, returning TRUE"
severity warning;
return true;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv /= rslv;
end function "/=";
function "/=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": null argument detected, returning TRUE"
severity warning;
return true;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": metavalue detected, returning TRUE"
severity warning;
return true;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv /= rslv;
end function "/=";
function ">" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv > rslv;
end function ">";
function ">" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv > rslv;
end function ">";
function "<" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv < rslv;
end function "<";
function "<" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv < rslv;
end function "<";
function ">=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv >= rslv;
end function ">=";
function ">=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv >= rslv;
end function ">=";
function "<=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv <= rslv;
end function "<=";
function "<=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv <= rslv;
end function "<=";
-- overloads of the default maximum and minimum functions
function maximum (l, r : ufixed) return ufixed is
begin
if l > r then return l;
else return r;
end if;
end function maximum;
function maximum (l, r : sfixed) return sfixed is
begin
if l > r then return l;
else return r;
end if;
end function maximum;
function minimum (l, r : ufixed) return ufixed is
begin
if l > r then return r;
else return l;
end if;
end function minimum;
function minimum (l, r : sfixed) return sfixed is
begin
if l > r then return r;
else return l;
end if;
end function minimum;
function to_ufixed (
arg : NATURAL; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return ufixed is
variable argx : INTEGER;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : ufixed (left_index downto fw) := (others => '0');
variable sresult : UNSIGNED (left_index downto 0); -- integer portion
variable bound : NATURAL; -- find the numerical bounds
begin
if (left_index < fw) then
return NAUF;
end if;
if left_index >= 0 then
if (left_index < 30) then
bound := 2**(left_index+1);
else
bound := INTEGER'high;
end if;
end if;
if (arg /= 0) then
if arg >= bound or left_index < 0 then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_UFIXED(NATURAL): vector truncated"
severity warning;
if (overflow_style = fixed_wrap) then -- wrap
if bound = 0 then
argx := 0;
else
argx := arg mod bound;
end if;
else -- saturate
return saturate (result'high, result'low);
end if;
else
argx := arg;
end if;
else
return result; -- return zero
end if;
sresult := to_unsigned (argx, sresult'high+1);
result := resize (arg => ufixed (sresult),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_ufixed;
function to_sfixed (
arg : INTEGER; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
variable argx : INTEGER;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : sfixed (left_index downto fw) := (others => '0');
variable sresult : SIGNED (left_index+1 downto 0); -- integer portion
variable bound : NATURAL := 0;
begin
if (left_index < fw) then -- null range
return NASF;
end if;
if left_index >= 0 then
if (left_index < 30) then
bound := 2**(left_index);
else
bound := INTEGER'high;
end if;
end if;
if (arg /= 0) then
if (arg >= bound or arg < -bound or left_index < 0) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_SFIXED(INTEGER): vector truncated"
severity warning;
if overflow_style = fixed_wrap then -- wrap
if bound = 0 then -- negative integer_range trap
argx := 0;
else -- shift off the top bits
argx := arg rem (bound*2);
end if;
else -- saturate
if arg < 0 then
result := not saturate (result'high, result'low); -- underflow
else
result := saturate (result'high, result'low); -- overflow
end if;
return result;
end if;
else
argx := arg;
end if;
else
return result; -- return zero
end if;
sresult := to_signed (argx, sresult'length);
result := resize (arg => sfixed (sresult),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_sfixed;
function to_ufixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed is
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : ufixed (left_index downto fw) := (others => '0');
variable Xresult : ufixed (left_index downto fw-guard_bits) := (others => '0');
variable presult : REAL;
variable overflow_needed : BOOLEAN;
begin
-- If negative or null range, return.
if (left_index < fw) then
return NAUF;
end if;
if (arg < 0.0) then
report "FIXED_GENERIC_PKG.TO_UFIXED: Negative argument passed "
& REAL'image(arg) severity error;
return result;
end if;
presult := arg;
if presult >= (2.0**(left_index+1)) then
assert NO_WARNING report "FIXED_GENERIC_PKG.TO_UFIXED(REAL): vector truncated"
severity warning;
overflow_needed := (overflow_style = fixed_saturate);
if overflow_style = fixed_wrap then
presult := presult mod (2.0**(left_index+1)); -- wrap
else
return saturate (result'high, result'low);
end if;
end if;
for i in Xresult'range loop
if presult >= 2.0**i then
Xresult(i) := '1';
presult := presult - 2.0**i;
else
Xresult(i) := '0';
end if;
end loop;
if guard_bits > 0 and round_style = fixed_round then
result := round_fixed (arg => Xresult (left_index
downto right_index),
remainder => Xresult (right_index-1 downto
right_index-guard_bits),
overflow_style => overflow_style);
else
result := Xresult (result'range);
end if;
return result;
end function to_ufixed;
function to_sfixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed is
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : sfixed (left_index downto fw) := (others => '0');
variable Xresult : sfixed (left_index+1 downto fw-guard_bits) := (others => '0');
variable presult : REAL;
begin
if (left_index < fw) then -- null range
return NASF;
end if;
if (arg >= (2.0**left_index) or arg < -(2.0**left_index)) then
assert NO_WARNING report "FIXED_GENERIC_PKG.TO_SFIXED(REAL): vector truncated"
severity warning;
if overflow_style = fixed_saturate then
if arg < 0.0 then -- saturate
result := not saturate (result'high, result'low); -- underflow
else
result := saturate (result'high, result'low); -- overflow
end if;
return result;
else
presult := abs(arg) mod (2.0**(left_index+1)); -- wrap
end if;
else
presult := abs(arg);
end if;
for i in Xresult'range loop
if presult >= 2.0**i then
Xresult(i) := '1';
presult := presult - 2.0**i;
else
Xresult(i) := '0';
end if;
end loop;
if arg < 0.0 then
Xresult := to_fixed(-to_s(Xresult), Xresult'high, Xresult'low);
end if;
if guard_bits > 0 and round_style then
result := round_fixed (arg => Xresult (left_index
downto right_index),
remainder => Xresult (right_index-1 downto
right_index-guard_bits),
overflow_style => overflow_style);
else
result := Xresult (result'range);
end if;
return result;
end function to_sfixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return ufixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : ufixed (left_index downto fw);
begin
if arg'length < 1 or (left_index < fw) then
return NAUF;
end if;
result := resize (arg => ufixed (XARG),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_ufixed;
-- casted version
function to_ufixed (
arg : UNSIGNED) -- unsigned
return ufixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG;
begin
if arg'length < 1 then
return NAUF;
end if;
return ufixed(xarg);
end function to_ufixed;
function to_sfixed (
arg : SIGNED; -- signed
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : SIGNED(ARG_LEFT downto 0) is ARG;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : sfixed (left_index downto fw);
begin
if arg'length < 1 or (left_index < fw) then
return NASF;
end if;
result := resize (arg => sfixed (XARG),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_sfixed;
-- casted version
function to_sfixed (
arg : SIGNED) -- signed
return sfixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : SIGNED(ARG_LEFT downto 0) is ARG;
begin
if arg'length < 1 then
return NASF;
end if;
return sfixed(xarg);
end function to_sfixed;
function add_sign (arg : ufixed) return sfixed is
variable result : sfixed (arg'high+1 downto arg'low);
begin
if arg'length < 1 then
return NASF;
end if;
result (arg'high downto arg'low) := sfixed(cleanvec(arg));
result (arg'high+1) := '0';
return result;
end function add_sign;
-- Because of the farily complicated sizing rules in the fixed point
-- packages these functions are provided to compute the result ranges
-- Example:
-- signal uf1 : ufixed (3 downto -3);
-- signal uf2 : ufixed (4 downto -2);
-- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto
-- ufixed_low (3, -3, '*', 4, -2));
-- uf1multuf2 <= uf1 * uf2;
-- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod),
-- '1' (reciprocal), 'A', 'a' (abs), 'N', 'n' (-sfixed)
function ufixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return maximum (left_index, left_index2) + 1;
when '*' => return left_index + left_index2 + 1;
when '/' => return left_index - right_index2;
when '1' => return -right_index; -- reciprocal
when 'R'|'r' => return mins (left_index, left_index2); -- "rem"
when 'M'|'m' => return mins (left_index, left_index2); -- "mod"
when others => return left_index; -- For abs and default
end case;
end function ufixed_high;
function ufixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return mins (right_index, right_index2);
when '*' => return right_index + right_index2;
when '/' => return right_index - left_index2 - 1;
when '1' => return -left_index - 1; -- reciprocal
when 'R'|'r' => return mins (right_index, right_index2); -- "rem"
when 'M'|'m' => return mins (right_index, right_index2); -- "mod"
when others => return right_index; -- for abs and default
end case;
end function ufixed_low;
function sfixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return maximum (left_index, left_index2) + 1;
when '*' => return left_index + left_index2 + 1;
when '/' => return left_index - right_index2 + 1;
when '1' => return -right_index + 1; -- reciprocal
when 'R'|'r' => return mins (left_index, left_index2); -- "rem"
when 'M'|'m' => return left_index2; -- "mod"
when 'A'|'a' => return left_index + 1; -- "abs"
when 'N'|'n' => return left_index + 1; -- -sfixed
when others => return left_index;
end case;
end function sfixed_high;
function sfixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return mins (right_index, right_index2);
when '*' => return right_index + right_index2;
when '/' => return right_index - left_index2;
when '1' => return -left_index; -- reciprocal
when 'R'|'r' => return mins (right_index, right_index2); -- "rem"
when 'M'|'m' => return mins (right_index, right_index2); -- "mod"
when others => return right_index; -- default for abs, neg and default
end case;
end function sfixed_low;
-- Same as above, but using the "size_res" input only for their ranges:
-- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto
-- ufixed_low (uf1, '*', uf2));
-- uf1multuf2 <= uf1 * uf2;
function ufixed_high (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER is
begin
return ufixed_high (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function ufixed_high;
function ufixed_low (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER is
begin
return ufixed_low (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function ufixed_low;
function sfixed_high (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER is
begin
return sfixed_high (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function sfixed_high;
function sfixed_low (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER is
begin
return sfixed_low (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function sfixed_low;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
constant sat : ufixed (left_index downto right_index) := (others => '1');
begin
return sat;
end function saturate;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable sat : sfixed (left_index downto right_index) := (others => '1');
begin
-- saturate positive, to saturate negative, just do "not saturate()"
sat (left_index) := '0';
return sat;
end function saturate;
function saturate (
size_res : ufixed) -- only the size of this is used
return ufixed is
begin
return saturate (size_res'high, size_res'low);
end function saturate;
function saturate (
size_res : sfixed) -- only the size of this is used
return sfixed is
begin
return saturate (size_res'high, size_res'low);
end function saturate;
-- As a concession to those who use a graphical DSP environment,
-- these functions take parameters in those tools format and create
-- fixed point numbers. These functions are designed to convert from
-- a std_logic_vector to the VHDL fixed point format using the conventions
-- of these packages. In a pure VHDL environment you should use the
-- "to_ufixed" and "to_sfixed" routines.
-- Unsigned fixed point
function to_UFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return ufixed is
variable result : ufixed (width-fraction-1 downto -fraction);
begin
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_UFIX (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NAUF;
else
result := to_ufixed (arg, result'high, result'low);
return result;
end if;
end function to_UFix;
-- signed fixed point
function to_SFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return sfixed is
variable result : sfixed (width-fraction-1 downto -fraction);
begin
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_SFIX (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NASF;
else
result := to_sfixed (arg, result'high, result'low);
return result;
end if;
end function to_SFix;
-- finding the bounds of a number. These functions can be used like this:
-- signal xxx : ufixed (7 downto -3);
-- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))"
-- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3)
-- downto UFix_low(11, 3, "+", 11, 3));
-- Where "11" is the width of xxx (xxx'length),
-- and 3 is the lower bound (abs (xxx'low))
-- In a pure VHDL environment use "ufixed_high" and "ufixed_low"
function ufix_high (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return ufixed_high (left_index => width - 1 - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - 1 - fraction2,
right_index2 => -fraction2);
end function ufix_high;
function ufix_low (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return ufixed_low (left_index => width - 1 - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - 1 - fraction2,
right_index2 => -fraction2);
end function ufix_low;
function sfix_high (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return sfixed_high (left_index => width - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - fraction2,
right_index2 => -fraction2);
end function sfix_high;
function sfix_low (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return sfixed_low (left_index => width - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - fraction2,
right_index2 => -fraction2);
end function sfix_low;
function to_unsigned (
arg : ufixed; -- ufixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED is
begin
return to_uns(resize (arg => arg,
left_index => size-1,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
end function to_unsigned;
function to_unsigned (
arg : ufixed; -- ufixed point input
size_res : UNSIGNED; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED is
begin
return to_unsigned (arg => arg,
size => size_res'length,
round_style => round_style,
overflow_style => overflow_style);
end function to_unsigned;
function to_signed (
arg : sfixed; -- ufixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED is
begin
return to_s(resize (arg => arg,
left_index => size-1,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
end function to_signed;
function to_signed (
arg : sfixed; -- ufixed point input
size_res : SIGNED; -- used for length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED is
begin
return to_signed (arg => arg,
size => size_res'length,
round_style => round_style,
overflow_style => overflow_style);
end function to_signed;
function to_real (
arg : ufixed) -- ufixed point input
return REAL is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable result : REAL; -- result
variable arg_int : ufixed (left_index downto right_index);
begin
if (arg'length < 1) then
return 0.0;
end if;
arg_int := cleanvec(arg);
if (Is_X(arg_int)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_REAL: metavalue detected, returning 0.0"
severity warning;
return 0.0;
end if;
result := 0.0;
for i in arg_int'range loop
if (arg_int(i) = '1') then
result := result + (2.0**i);
end if;
end loop;
return result;
end function to_real;
function to_real (
arg : sfixed) -- ufixed point input
return REAL is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable result : REAL; -- result
variable arg_int : sfixed (left_index downto right_index);
-- unsigned version of argument
variable arg_uns : ufixed (left_index downto right_index);
-- absolute of argument
begin
if (arg'length < 1) then
return 0.0;
end if;
arg_int := cleanvec(arg);
if (Is_X(arg_int)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_REAL: metavalue detected, returning 0.0"
severity warning;
return 0.0;
end if;
arg_uns := abs(arg_int);
result := to_real (arg_uns);
if (arg_int(arg_int'high) = '1') then
result := -result;
end if;
return result;
end function to_real;
function to_integer (
arg : ufixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return NATURAL is
constant left_index : INTEGER := arg'high;
variable arg_uns : UNSIGNED (minimum(31, left_index+1) downto 0)
:= (others => '0');
begin
if (arg'length < 1) then
return 0;
end if;
if (Is_X (arg)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_INTEGER: metavalue detected, returning 0"
severity warning;
return 0;
end if;
if (left_index < -1) then
return 0;
end if;
arg_uns := to_uns(resize (arg => arg,
left_index => arg_uns'high,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
return to_integer (arg_uns);
end function to_integer;
function to_integer (
arg : sfixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return INTEGER is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable arg_s : SIGNED (minimum(31, left_index+1) downto 0);
begin
if (arg'length < 1) then
return 0;
end if;
if (Is_X (arg)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_INTEGER: metavalue detected, returning 0"
severity warning;
return 0;
end if;
if (left_index < -1) then
return 0;
end if;
arg_s := to_s(resize (arg => arg,
left_index => arg_s'high,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
return to_integer (arg_s);
end function to_integer;
function to_01 (
s : ufixed; -- ufixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return ufixed is
variable result : ufixed (s'range); -- result
begin
for i in s'range loop
case s(i) is
when '0' | 'L' => result(i) := '0';
when '1' | 'H' => result(i) := '1';
when others => result(i) := XMAP;
end case;
end loop;
return result;
end function to_01;
function to_01 (
s : sfixed; -- ufixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return sfixed is
variable result : sfixed (s'range);
begin
for i in s'range loop
case s(i) is
when '0' | 'L' => result(i) := '0';
when '1' | 'H' => result(i) := '1';
when others => result(i) := XMAP;
end case;
end loop;
return result;
end function to_01;
function Is_X (
arg : ufixed)
return BOOLEAN is
variable argslv : STD_LOGIC_VECTOR (arg'length-1 downto 0); -- slv
begin
argslv := to_slv(arg);
return Is_X(argslv);
end function Is_X;
function Is_X (
arg : sfixed)
return BOOLEAN is
variable argslv : STD_LOGIC_VECTOR (arg'length-1 downto 0); -- slv
begin
argslv := to_slv(arg);
return Is_X(argslv);
end function Is_X;
function To_X01 (
arg : ufixed)
return ufixed is
begin
return to_ufixed (To_X01(to_slv(arg)), arg'high, arg'low);
end function To_X01;
function to_X01 (
arg : sfixed)
return sfixed is
begin
return to_sfixed (To_X01(to_slv(arg)), arg'high, arg'low);
end function To_X01;
function To_X01Z (
arg : ufixed)
return ufixed is
begin
return to_ufixed (To_X01Z(to_slv(arg)), arg'high, arg'low);
end function To_X01Z;
function to_X01Z (
arg : sfixed)
return sfixed is
begin
return to_sfixed (To_X01Z(to_slv(arg)), arg'high, arg'low);
end function To_X01Z;
function To_UX01 (
arg : ufixed)
return ufixed is
begin
return to_ufixed (To_UX01(to_slv(arg)), arg'high, arg'low);
end function To_UX01;
function to_UX01 (
arg : sfixed)
return sfixed is
begin
return to_sfixed (To_UX01(to_slv(arg)), arg'high, arg'low);
end function To_UX01;
function resize (
arg : ufixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed is
constant arghigh : INTEGER := maximum (arg'high, arg'low);
constant arglow : INTEGER := mine (arg'high, arg'low);
variable invec : ufixed (arghigh downto arglow);
variable result : ufixed(left_index downto right_index) :=
(others => '0');
variable needs_rounding : BOOLEAN := false;
begin -- resize
if (arg'length < 1) or (result'length < 1) then
return NAUF;
elsif (invec'length < 1) then
return result; -- string literal value
else
invec := cleanvec(arg);
if (right_index > arghigh) then -- return top zeros
needs_rounding := (round_style = fixed_round) and
(right_index = arghigh+1);
elsif (left_index < arglow) then -- return overflow
if (overflow_style = fixed_saturate) and
(or_reducex(to_slv(invec)) = '1') then
result := saturate (result'high, result'low); -- saturate
end if;
elsif (arghigh > left_index) then
-- wrap or saturate?
if (overflow_style and
or_reducex(to_slv(invec(arghigh downto left_index+1))) = '1')
then
result := saturate (result'high, result'low); -- saturate
else
if (arglow >= right_index) then
result (left_index downto arglow) :=
invec(left_index downto arglow);
else
result (left_index downto right_index) :=
invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
end if;
else -- arghigh <= integer width
if (arglow >= right_index) then
result (arghigh downto arglow) := invec;
else
result (arghigh downto right_index) :=
invec (arghigh downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
end if;
-- Round result
if needs_rounding then
result := round_fixed (arg => result,
remainder => invec (right_index-1
downto arglow),
overflow_style => overflow_style);
end if;
return result;
end if;
end function resize;
function resize (
arg : sfixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return sfixed is
constant arghigh : INTEGER := maximum (arg'high, arg'low);
constant arglow : INTEGER := mine (arg'high, arg'low);
variable invec : sfixed (arghigh downto arglow);
variable result : sfixed(left_index downto right_index) :=
(others => '0');
variable reduced : STD_ULOGIC;
variable needs_rounding : BOOLEAN := false; -- rounding
begin -- resize
if (arg'length < 1) or (result'length < 1) then
return NASF;
elsif (invec'length < 1) then
return result; -- string literal value
else
invec := cleanvec(arg);
if (right_index > arghigh) then -- return top zeros
if (arg'low /= INTEGER'low) then -- check for a literal
result := (others => arg(arghigh)); -- sign extend
end if;
needs_rounding := (round_style = fixed_round) and
(right_index = arghigh+1);
elsif (left_index < arglow) then -- return overflow
if (overflow_style) then
reduced := or_reducex(to_slv(invec));
if (reduced = '1') then
if (invec(arghigh) = '0') then
-- saturate POSITIVE
result := saturate (result'high, result'low);
else
-- saturate negative
result := not saturate (result'high, result'low);
end if;
-- else return 0 (input was 0)
end if;
-- else return 0 (wrap)
end if;
elsif (arghigh > left_index) then
if (invec(arghigh) = '0') then
reduced := or_reducex(to_slv(invec(arghigh-1 downto
left_index)));
if overflow_style and reduced = '1' then
-- saturate positive
result := saturate (result'high, result'low);
else
if (right_index > arglow) then
result := invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round);
else
result (left_index downto arglow) :=
invec (left_index downto arglow);
end if;
end if;
else
reduced := and_reducex(to_slv(invec(arghigh-1 downto
left_index)));
if overflow_style and reduced = '0' then
result := not saturate (result'high, result'low);
else
if (right_index > arglow) then
result := invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round);
else
result (left_index downto arglow) :=
invec (left_index downto arglow);
end if;
end if;
end if;
else -- arghigh <= integer width
if (arglow >= right_index) then
result (arghigh downto arglow) := invec;
else
result (arghigh downto right_index) :=
invec (arghigh downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
if (left_index > arghigh) then -- sign extend
result(left_index downto arghigh+1) := (others => invec(arghigh));
end if;
end if;
-- Round result
if (needs_rounding) then
result := round_fixed (arg => result,
remainder => invec (right_index-1
downto arglow),
overflow_style => overflow_style);
end if;
return result;
end if;
end function resize;
-- size_res functions
-- These functions compute the size from a passed variable named "size_res"
-- The only part of this variable used it it's size, it is never passed
-- to a lower level routine.
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => to_stdlogicvector(arg),
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => to_stdlogicvector(arg),
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : NATURAL; -- integer
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : INTEGER; -- integer
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : REAL; -- real
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
guard_bits => guard_bits,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : REAL; -- real
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
guard_bits => guard_bits,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : SIGNED; -- signed
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function resize (
arg : ufixed; -- input
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := resize (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function resize;
function resize (
arg : sfixed; -- input
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := resize (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function resize;
-- Overloaded functions
function "+" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l +
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "+";
function "+" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
+ r);
end function "+";
function "+" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l +
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "+";
function "+" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
+ r);
end function "+";
-- Overloaded functions
function "-" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l -
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "-";
function "-" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
- r);
end function "-";
function "-" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l -
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "-";
function "-" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
- r);
end function "-";
-- Overloaded functions
function "*" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l *
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "*";
function "*" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
* r);
end function "*";
function "*" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l *
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "*";
function "*" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
* r);
end function "*";
-- Overloaded functions
function "/" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l /
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/";
function "/" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/ r);
end function "/";
function "/" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l /
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/";
function "/" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/ r);
end function "/";
-- Overloaded functions
function "rem" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l rem
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "rem";
function "rem" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
rem r);
end function "rem";
function "rem" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l rem
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "rem";
function "rem" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
rem r);
end function "rem";
function "mod" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l mod
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "mod";
function "mod" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
mod r);
end function "mod";
function "mod" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l mod
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "mod";
function "mod" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
mod r);
end function "mod";
-- Overloaded functions for integers
function "+" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l + to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)); -- rounding not needed
end function "+";
function "+" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
+ r);
end function "+";
function "+" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l + to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "+";
function "+" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
+ r);
end function "+";
-- Overloaded functions
function "-" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l - to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "-";
function "-" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
- r);
end function "-";
function "-" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l - to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "-";
function "-" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
- r);
end function "-";
-- Overloaded functions
function "*" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l * to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "*";
function "*" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
* r);
end function "*";
function "*" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l * to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "*";
function "*" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
* r);
end function "*";
-- Overloaded functions
function "/" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l / to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/";
function "/" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/ r);
end function "/";
function "/" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l / to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/";
function "/" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/ r);
end function "/";
-- Overloaded functions
function "rem" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l rem to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "rem";
function "rem" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
rem r);
end function "rem";
function "rem" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l rem to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "rem";
function "rem" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
rem r);
end function "rem";
function "mod" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l mod to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "mod";
function "mod" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
mod r);
end function "mod";
function "mod" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l mod to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "mod";
function "mod" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
mod r);
end function "mod";
-- overloaded compare functions
function "=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l = to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "=";
function "/=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l /= to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/=";
function ">=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l >= to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">=";
function "<=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l <= to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<=";
function ">" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l > to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">";
function "<" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l < to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<";
function "=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
= r);
end function "=";
function "/=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/= r);
end function "/=";
function ">=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
>= r);
end function ">=";
function "<=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
<= r);
end function "<=";
function ">" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
> r);
end function ">";
function "<" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
< r);
end function "<";
function "=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l =
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "=";
function "/=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l /=
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/=";
function ">=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >=
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">=";
function "<=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <=
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<=";
function ">" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">";
function "<" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<";
function "=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
= r);
end function "=";
function "/=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/= r);
end function "/=";
function ">=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
>= r);
end function ">=";
function "<=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
<= r);
end function "<=";
function ">" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
> r);
end function ">";
function "<" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
< r);
end function "<";
function "=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l = to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "=";
function "/=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l /= to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/=";
function ">=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l >= to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">=";
function "<=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l <= to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<=";
function ">" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l > to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">";
function "<" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l < to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<";
function "=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
= r);
end function "=";
function "/=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/= r);
end function "/=";
function ">=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
>= r);
end function ">=";
function "<=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
<= r);
end function "<=";
function ">" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
> r);
end function ">";
function "<" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
< r);
end function "<";
function "=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l =
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "=";
function "/=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l /=
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/=";
function ">=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >=
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">=";
function "<=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <=
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<=";
function ">" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">";
function "<" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<";
function "=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
= r);
end function "=";
function "/=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/= r);
end function "/=";
function ">=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
>= r);
end function ">=";
function "<=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
<= r);
end function "<=";
function ">" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
> r);
end function ">";
function "<" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
< r);
end function "<";
-- rtl_synthesis off
-- synthesis translate_off
-- copied from std_logic_textio
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' ');
-- purpose: writes fixed point into a line
procedure write (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable sindx : INTEGER;
begin -- function write Example: 0011.1100
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
write(l, s, justified, field);
end procedure write;
-- purpose: writes fixed point into a line
procedure write (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
variable s : STRING(1 to value'length +1);
variable sindx : INTEGER;
begin -- function write Example: 0011.1100
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
write(l, s, justified, field);
end procedure write;
procedure READ(L : inout LINE;
VALUE : out ufixed) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable s : STRING(1 to value'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER; -- index variable
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Error: end of string encountered"
severity error;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
assert i = value'low
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Warning: Value truncated " severity warning;
return;
elsif c = '.' then -- separator, ignore
assert (i = -1)
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Warning: Decimal point does not match number format "
severity warning;
elsif (char_to_MVL9plus(c) = error) then
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Error: Character '" & c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable i : INTEGER; -- index variable
variable readOk : BOOLEAN;
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
good := true;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
good := false;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done
good := false;
return;
elsif c = '.' then -- separator, ignore
good := (i = -1);
elsif (char_to_MVL9plus(c) = error) then
good := false;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out sfixed) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable i : INTEGER; -- index variable
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Error end of string encountered"
severity error;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
assert i = value'low
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Warning: Value truncated " severity warning;
return;
elsif c = '.' then -- separator, ignore
assert (i = -1)
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Warning: Decimal point does not match number format "
severity warning;
elsif (char_to_MVL9plus(c) = error) then
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Error: Character '" & c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable i : INTEGER; -- index variable
variable readOk : BOOLEAN;
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
good := true;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
good := false;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done
good := false;
return;
elsif c = '.' then -- separator, ignore
good := (i = -1);
elsif (char_to_MVL9plus(c) = error) then
good := false;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
-- octal read and write
procedure owrite (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_ostring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure owrite;
procedure owrite (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_ostring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure owrite;
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_LOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"FIXED_GENERIC_PKG.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
result := "UUU";
good := false;
end case;
end procedure Char2TriBits;
-- Note that for Octal and Hex read, you can not start with a ".",
-- the read is for numbers formatted "A.BC". These routines go to
-- the nearest bounds, so "F.E" will fit into an sfixed (2 downto -3).
procedure OREAD(L : inout LINE;
VALUE : out ufixed) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(ufixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2triBits(c, nybble, igood, true);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(ufixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.OREAD(ufixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2TriBits(c, nybble, igood, true);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')
report "FIXED_GENERIC_PKG.OREAD(ufixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.OREAD(ufixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2triBits(c, nybble, igood, false);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2TriBits(c, nybble, igood, false);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end if;
end loop;
good := igood and -- We did not get another error
(i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0');
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out sfixed) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(sfixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2triBits(c, nybble, igood, true);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(sfixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.OREAD(sfixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2TriBits(c, nybble, igood, true);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) and -- We read everything
((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))
report "FIXED_GENERIC_PKG.OREAD(sfixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.OREAD(sfixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2triBits(c, nybble, igood, false);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2TriBits(c, nybble, igood, false);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end if;
end loop;
good := igood -- We did not get another error
and (i = -1) -- We read everything
and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'));
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
-- hex read and write
procedure hwrite (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_hstring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure hwrite;
-- purpose: writes fixed point into a line
procedure hwrite (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_hstring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure hwrite;
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_LOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"FIXED_GENERIC_PKG.HREAD Error: Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
result := "UUUU";
good := false;
end case;
end procedure Char2QuadBits;
procedure HREAD(L : inout LINE;
VALUE : out ufixed) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(ufixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2QuadBits(c, nybble, igood, true);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(ufixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.HREAD(ufixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2QuadBits(c, nybble, igood, true);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')
report "FIXED_GENERIC_PKG.HREAD(ufixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.HREAD(ufixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2QuadBits(c, nybble, igood, false);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2QuadBits(c, nybble, igood, false);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end if;
end loop;
good := igood and -- We did not get another error
(i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0');
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out sfixed) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(sfixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2QuadBits(c, nybble, igood, true);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(sfixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.HREAD(sfixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2QuadBits(c, nybble, igood, true);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) -- We read everything
and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))
report "FIXED_GENERIC_PKG.HREAD(sfixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.HREAD(sfixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2QuadBits(c, nybble, igood, false);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2QuadBits(c, nybble, igood, false);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end if;
end loop;
good := igood and -- We did not get another error
(i = -1) and -- We read everything
((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'));
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
-----------------------------------------------------------------------------
-- %%% Remove the following 3 functions. They are a duplicate needed for
-- testing
-----------------------------------------------------------------------------
-- purpose: Justify a string to the right
function justify (
value : STRING;
justified : SIDE := right;
field : width := 0)
return STRING is
constant VAL_LEN : INTEGER := value'length;
variable result : STRING (1 to field) := (others => ' ');
begin -- function justify
-- return value if field is too small
if VAL_LEN >= field then
return value;
end if;
if justified = left then
result(1 to VAL_LEN) := value;
elsif justified = right then
result(field - VAL_LEN + 1 to field) := value;
end if;
return result;
end function justify;
function to_ostring (
value : STD_LOGIC_VECTOR;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_LOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_LOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_LOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return justify(result, justified, field);
end if;
end function to_ostring;
-------------------------------------------------------------------
function to_hstring (
value : STD_LOGIC_VECTOR;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_LOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_LOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return justify(result, justified, field);
end if;
end function to_hstring;
-- %%% End remove here
function to_string (
value : ufixed;
justified : SIDE := right;
field : width := 0
) return STRING is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable sindx : INTEGER;
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_string (resize (value, 0, value'low), justified, field);
elsif value'low > 0 then
return to_string (resize (value, value'high, -1), justified, field);
else
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
return justify(s, justified, field);
end if;
end if;
end function to_string;
function to_string (
value : sfixed;
justified : SIDE := right;
field : width := 0
) return STRING is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable sindx : INTEGER;
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_string (resize (value, 0, value'low), justified, field);
elsif value'low > 0 then
return to_string (resize (value, value'high, -1), justified, field);
else
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
return justify(s, justified, field);
end if;
end if;
end function to_string;
function to_ostring (
value : ufixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant lne : INTEGER := (-VALUE'low+2)/3;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_ostring (resize (value, 2, value'low), justified, field);
elsif value'low > 0 then
return to_ostring (resize (value, value'high, -3), justified, field);
else
slv := to_slv (value);
return justify(to_ostring(slv(slv'high downto slv'high-VALUE'high))
& "."
& to_ostring(slv(slv'high-VALUE'high-1 downto 0)&lpad),
justified, field);
end if;
end if;
end function to_ostring;
function to_hstring (
value : ufixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant lne : INTEGER := (-VALUE'low+3)/4;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_hstring (resize (value, 3, value'low), justified, field);
elsif value'low > 0 then
return to_hstring (resize (value, value'high, -4), justified, field);
else
slv := to_slv (value);
return justify(to_hstring(slv(slv'high downto slv'high-VALUE'high))
& "."
& to_hstring(slv(slv'high-VALUE'high-1 downto 0)&lpad),
justified, field);
end if;
end if;
end function to_hstring;
function to_ostring (
value : sfixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := ((value'high+1)+2)/3;
variable pad : STD_LOGIC_VECTOR(0 to (ne*3 - (value'high+1)) - 1);
constant lne : INTEGER := (-VALUE'low+2)/3;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (VALUE'high - VALUE'low downto 0);
begin
if value'length < 1 then
return NUS;
else
pad := (others => value(value'high));
if value'high < 0 then
return to_ostring (resize (value, 2, value'low), justified, field);
elsif value'low > 0 then
return to_ostring (resize (value, value'high, -3), justified, field);
else
slv := to_slv (value);
return justify(to_ostring(pad
& slv(slv'high downto slv'high-VALUE'high))
& "."
& to_ostring(slv(slv'high-VALUE'high-1 downto 0)
& lpad),
justified, field);
end if;
end if;
end function to_ostring;
function to_hstring (
value : sfixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := ((value'high+1)+3)/4;
variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - (value'high+1)) - 1);
constant lne : INTEGER := (-VALUE'low+3)/4;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
pad := (others => value(value'high));
if value'high < 0 then
return to_hstring (resize (value, 3, value'low), justified, field);
elsif value'low > 0 then
return to_hstring (resize (value, value'high, -4), justified, field);
else
slv := to_slv (value);
return justify(to_hstring(pad&slv(slv'high downto slv'high-VALUE'high))
& "."
& to_hstring(slv(slv'high-VALUE'high-1 downto 0)&lpad),
justified, field);
end if;
end if;
end function to_hstring;
-- From string functions allow you to convert a string into a fixed
-- point number. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5
-- The "." is optional in this syntax, however it exist and is
-- in the wrong location an error is produced. Overflow will
-- result in saturation.
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
-- Octal and hex conversions work as follows:
-- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped)
-- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped)
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
-- Same as above, "size_res" is used for it's range only.
function from_string (
bstring : STRING; -- binary string
size_res : ufixed)
return ufixed is
variable result : ufixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
size_res : ufixed)
return ufixed is
variable result : ufixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
size_res : ufixed)
return ufixed is
variable result : ufixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
function from_string (
bstring : STRING; -- binary string
size_res : sfixed)
return sfixed is
variable result : sfixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
size_res : sfixed)
return sfixed is
variable result : sfixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
size_res : sfixed)
return sfixed is
variable result : sfixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
-- purpose: find a dot in a string, return -1 if no dot (internal function)
function finddot (
arg : STRING)
return INTEGER is
alias xarg : STRING (arg'length downto 1) is arg; -- make it a downto
begin
for i in xarg'reverse_range loop
if (xarg(i) = '.') then
return i-1;
end if;
end loop;
return -1;
end function finddot;
-- Direct converstion functions. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100"); -- 6.5
-- In this case the "." is not optional, and the size of
-- the output must match exactly.
function from_string (
bstring : STRING) -- binary string
return ufixed is
variable result : ufixed (bstring'length-2 downto 0);
variable result_nodot : ufixed (bstring'length-1 downto 0);
variable bstring_nodot : STRING (1 to bstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(bstring);
if (dot = -1) then
L := new STRING'(bstring);
read (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return result_nodot;
else
j := 1;
for i in 1 to bstring'high loop
if (bstring(i) /= '.') then
bstring_nodot(j) := bstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(bstring_nodot);
read (L, result, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return to_ufixed(to_slv(result), bstring'length-dot-2, -dot);
end if;
end function from_string;
-- Direct octal and hex converstion functions. In this case
-- the string lengths must match. Example:
-- signal sf1 := sfixed (5 downto -3);
-- sf1 <= from_ostring ("71.4") -- -6.5
function from_ostring (
ostring : STRING) -- Octal string
return ufixed is
variable result : STD_LOGIC_VECTOR((ostring'length-1)*3-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((ostring'length)*3-1 downto 0);
variable ostring_nodot : STRING (1 to ostring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(ostring);
if (dot = -1) then
L := new STRING'(ostring);
oread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_ufixed(UNSIGNED(result_nodot));
else
j := 1;
for i in 1 to ostring'high loop
if (ostring(i) /= '.') then
ostring_nodot(j) := ostring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(ostring_nodot);
oread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_ufixed(result, (ostring'length-1-dot)*3-1, -dot*3);
end if;
end function from_ostring;
function from_hstring (
hstring : STRING) -- hex string
return ufixed is
variable result : STD_LOGIC_VECTOR((hstring'length-1)*4-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((hstring'length)*4-1 downto 0);
variable hstring_nodot : STRING (1 to hstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(hstring);
if (dot = -1) then
L := new STRING'(hstring);
hread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return to_ufixed(UNSIGNED(result_nodot));
else
j := 1;
for i in 1 to hstring'high loop
if (hstring(i) /= '.') then
hstring_nodot(j) := hstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(hstring_nodot);
hread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return to_ufixed(result, (hstring'length-1-dot)*4-1, -dot*4);
end if;
end function from_hstring;
function from_string (
bstring : STRING) -- binary string
return sfixed is
variable result : sfixed (bstring'length-2 downto 0);
variable result_nodot : sfixed (bstring'length-1 downto 0);
variable bstring_nodot : STRING (1 to bstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(bstring);
if (dot = -1) then
L := new STRING'(bstring);
read (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return result_nodot;
else
j := 1;
for i in 1 to bstring'high loop
if (bstring(i) /= '.') then
bstring_nodot(j) := bstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(bstring_nodot);
read (L, result, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return to_sfixed(to_slv(result), bstring'length-dot-2, -dot);
end if;
end function from_string;
function from_ostring (
ostring : STRING) -- Octal string
return sfixed is
variable result : STD_LOGIC_VECTOR((ostring'length-1)*3-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((ostring'length)*3-1 downto 0);
variable ostring_nodot : STRING (1 to ostring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(ostring);
if (dot = -1) then
L := new STRING'(ostring);
oread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_sfixed(SIGNED(result_nodot));
else
j := 1;
for i in 1 to ostring'high loop
if (ostring(i) /= '.') then
ostring_nodot(j) := ostring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(ostring_nodot);
oread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_sfixed(result, (ostring'length-1-dot)*3-1, -dot*3);
end if;
end function from_ostring;
function from_hstring (
hstring : STRING) -- hex string
return sfixed is
variable result : STD_LOGIC_VECTOR((hstring'length-1)*4-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((hstring'length)*4-1 downto 0);
variable hstring_nodot : STRING (1 to hstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(hstring);
if (dot = -1) then
L := new STRING'(hstring);
hread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return sfixed(SIGNED(result_nodot));
else
j := 1;
for i in 1 to hstring'high loop
if (hstring(i) /= '.') then
hstring_nodot(j) := hstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(hstring_nodot);
hread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return to_sfixed(result, (hstring'length-1-dot)*4-1, -dot*4);
end if;
end function from_hstring;
-- synthesis translate_on
-- rtl_synthesis on
function to_StdLogicVector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_StdLogicVector;
function to_Std_Logic_Vector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_Std_Logic_Vector;
function to_StdLogicVector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_StdLogicVector;
function to_Std_Logic_Vector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_Std_Logic_Vector;
function to_StdULogicVector (
arg : ufixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_StdULogicVector;
function to_Std_ULogic_Vector (
arg : ufixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_Std_ULogic_Vector;
function to_StdULogicVector (
arg : sfixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_StdULogicVector;
function to_Std_ULogic_Vector (
arg : sfixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_Std_ULogic_Vector;
end package body fixed_pkg;
|
------------------------------------------------------------------------------
-- "fixed_pkg" package contains functions for fixed point math.
-- Please see the documentation for the fixed point package.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee.numeric_std.all;
-- use ieee_proposed.fixed_pkg.all;
-- Last Modified: $Date: 2006/05/09 19:21:24 $
-- RCS ID: $Id: fixed_pkg_c.vhd,v 1.1 2006/05/09 19:21:24 sandeepd Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- synthesis translate_off
use std.textio.all;
-- synthesis translate_on
package fixed_pkg is
--%%% Uncomment the Generics
-- new work.fixed_generic_pkg
-- generic map (
-- fixed_round_style => true; -- fixed_round
-- fixed_overflow_style => true; -- fixed_saturate
-- fixed_guard_bits => 3; -- number of guard bits
-- no_warning => false -- show warnings
-- );
--%%% REMOVE THE REST OF THIS FILE.
constant fixed_round_style : BOOLEAN := true; -- round
constant fixed_overflow_style : BOOLEAN := true; -- saturate
constant fixed_guard_bits : NATURAL := 3; -- number of guard bits
constant no_warning : BOOLEAN := false; -- issue warnings
-- Author David Bishop ([email protected])
-- These 5 constants are used as defaults.
-- There is a mechanism to override them in every function
constant fixed_round : BOOLEAN := true; -- Turn on rounding routine
constant fixed_truncate : BOOLEAN := false; -- Trun off rounding routine
constant fixed_saturate : BOOLEAN := true; -- Saturate large numbers
constant fixed_wrap : BOOLEAN := false; -- Wrap large numbers
constant fixedsynth_or_real : BOOLEAN; -- differed constant
-- base Unsigned fixed point type, downto direction assumed
type ufixed is array (INTEGER range <>) of STD_LOGIC;
-- base Signed fixed point type, downto direction assumed
type sfixed is array (INTEGER range <>) of STD_LOGIC;
-----------------------------------------------------------------------------
-- Fixed point type is defined as follows:
-- 0000000000
-- 4321012345
-- 4 0 -5
-- The decimal point is assumed between the "0" and "-1" index
-- Thus "0011010000" = 6.5 and would be written as 00110.10000
-- All types are assumed to be in the "downto" direction.
--===========================================================================
-- Arithmetic Operators:
--===========================================================================
-- Modify the sign of the number, 2's complement
function "abs" (arg : sfixed) return sfixed;
function "-" (arg : sfixed)return sfixed;
-- Convert a signed fixed to an unsigned fixed
function "abs" (arg : sfixed) return ufixed;
-- Addition
-- ufixed(a downto b) + ufixed(c downto d)
-- = ufixed(max(a,c)+1 downto min(b,d))
function "+" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) + sfixed(c downto d)
-- = sfixed(max(a,c)+1 downto min(b,d))
function "+" (l, r : sfixed) return sfixed;
-- Subtraction
-- ufixed(a downto b) - ufixed(c downto d)
-- = ufixed(max(a,c)+1 downto min(b,d))
function "-" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) - sfixed(c downto d)
-- = sfixed(max(a,c)+1 downto min(b,d))
function "-" (l, r : sfixed) return sfixed;
-- Multiplication
-- ufixed(a downto b) * ufixed(c downto d) = ufixed(a+c+1 downto b+d)
function "*" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) * sfixed(c downto d) = sfixed(a+c+1 downto b+d)
function "*" (l, r : sfixed) return sfixed;
-- Division
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function "/" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function "/" (l, r : sfixed) return sfixed;
-- Remainder
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function "rem" (l, r : ufixed) return ufixed;
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function "rem" (l, r : sfixed) return sfixed;
-- Modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function "mod" (l, r : ufixed) return ufixed;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function "mod" (l, r : sfixed) return sfixed;
----------------------------------------------------------------------------
-- Overload routines. In these routines the "real" or "natural" (integer)
-- are converted into a fixed point number and then the operation is
-- performed. It is assumed that the array will be large enough.
-- If the input is "real" then the real number is converted into a fixed of
-- the same size as the fixed point input. If the number is an "integer"
-- then it is converted into fixed with the range (l'high downto 0).
----------------------------------------------------------------------------
-- ufixed(a downto b) + ufixed(a downto b) = ufixed(a+1 downto b)
function "+" (l : ufixed; r : REAL) return ufixed;
-- ufixed(c downto d) + ufixed(c downto d) = ufixed(c+1 downto d)
function "+" (l : REAL; r : ufixed) return ufixed;
-- ufixed(a downto b) + ufixed(a downto 0) = ufixed(a+1 downto min(0,b))
function "+" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto min(0,d))
function "+" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed(a downto b) - ufixed(a downto b) = ufixed(a+1 downto b)
function "-" (l : ufixed; r : REAL) return ufixed;
-- ufixed(c downto d) - ufixed(c downto d) = ufixed(c+1 downto d)
function "-" (l : REAL; r : ufixed) return ufixed;
-- ufixed(a downto b) - ufixed(a downto 0) = ufixed(a+1 downto min(0,b))
function "-" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto min(0,d))
function "-" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed(a downto b) * ufixed(a downto b) = ufixed(2a+1 downto 2b)
function "*" (l : ufixed; r : REAL) return ufixed;
-- ufixed(c downto d) * ufixed(c downto d) = ufixed(2c+1 downto 2d)
function "*" (l : REAL; r : ufixed) return ufixed;
-- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b)
function "*" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b)
function "*" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1)
function "/" (l : ufixed; r : REAL) return ufixed;
-- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1)
function "/" (l : REAL; r : ufixed) return ufixed;
-- ufixed(a downto b) / ufixed(a downto 0) = ufixed(a downto b-a-1)
function "/" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed(c downto 0) / ufixed(c downto d) = ufixed(c-d downto -c-1)
function "/" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed (a downto b) rem ufixed (a downto b) = ufixed (a downto b)
function "rem" (l : ufixed; r : REAL) return ufixed;
-- ufixed (c downto d) rem ufixed (c downto d) = ufixed (c downto d)
function "rem" (l : REAL; r : ufixed) return ufixed;
-- ufixed (a downto b) rem ufixed (a downto 0) = ufixed (a downto min(b,0))
function "rem" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed (c downto 0) rem ufixed (c downto d) = ufixed (c downto min(d,0))
function "rem" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed (a downto b) mod ufixed (a downto b) = ufixed (a downto b)
function "mod" (l : ufixed; r : REAL) return ufixed;
-- ufixed (c downto d) mod ufixed (c downto d) = ufixed (c downto d)
function "mod" (l : REAL; r : ufixed) return ufixed;
-- ufixed (a downto b) mod ufixed (a downto 0) = ufixed (a downto min(b,0))
function "mod" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed (c downto 0) mod ufixed (c downto d) = ufixed (c downto min(d,0))
function "mod" (l : NATURAL; r : ufixed) return ufixed;
-- sfixed(a downto b) + sfixed(a downto b) = sfixed(a+1 downto b)
function "+" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) + sfixed(c downto d) = sfixed(c+1 downto d)
function "+" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) + sfixed(a downto 0) = sfixed(a+1 downto min(0,b))
function "+" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) + sfixed(c downto d) = sfixed(c+1 downto min(0,d))
function "+" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed(a downto b) - sfixed(a downto b) = sfixed(a+1 downto b)
function "-" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) - sfixed(c downto d) = sfixed(c+1 downto d)
function "-" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) - sfixed(a downto 0) = sfixed(a+1 downto min(0,b))
function "-" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) - sfixed(c downto d) = sfixed(c+1 downto min(0,d))
function "-" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed(a downto b) * sfixed(a downto b) = sfixed(2a+1 downto 2b)
function "*" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) * sfixed(c downto d) = sfixed(2c+1 downto 2d)
function "*" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) * sfixed(a downto 0) = sfixed(2a+1 downto b)
function "*" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) * sfixed(c downto d) = sfixed(2c+1 downto d)
function "*" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed(a downto b) / sfixed(a downto b) = sfixed(a-b+1 downto b-a)
function "/" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) / sfixed(c downto d) = sfixed(c-d+1 downto d-c)
function "/" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) / sfixed(a downto 0) = sfixed(a+1 downto b-a)
function "/" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) / sfixed(c downto d) = sfixed(c-d+1 downto -c)
function "/" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed (a downto b) rem sfixed (a downto b) = sfixed (a downto b)
function "rem" (l : sfixed; r : REAL) return sfixed;
-- sfixed (c downto d) rem sfixed (c downto d) = sfixed (c downto d)
function "rem" (l : REAL; r : sfixed) return sfixed;
-- sfixed (a downto b) rem sfixed (a downto 0) = sfixed (a downto min(b,0))
function "rem" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed (c downto 0) rem sfixed (c downto d) = sfixed (c downto min(d,0))
function "rem" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed (a downto b) mod sfixed (a downto b) = sfixed (a downto b)
function "mod" (l : sfixed; r : REAL) return sfixed;
-- sfixed (c downto d) mod sfixed (c downto d) = sfixed (c downto d)
function "mod" (l : REAL; r : sfixed) return sfixed;
-- sfixed (a downto b) mod sfixed (a downto 0) = sfixed (a downto min(b,0))
function "mod" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed (c downto 0) mod sfixed (c downto d) = sfixed (c downto min(d,0))
function "mod" (l : INTEGER; r : sfixed) return sfixed;
-- This version of divide gives the user more control
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function divide (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed;
-- This version of divide gives the user more control
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function divide (
l, r : sfixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed;
-- These functions return 1/X
-- 1 / ufixed(a downto b) = ufixed(-b downto -a-1)
function reciprocal (
arg : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed;
-- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a)
function reciprocal (
arg : sfixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed;
-- REM function
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function remainder (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style)
return ufixed;
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function remainder (
l, r : sfixed;
constant round_style : BOOLEAN := fixed_round_style)
return sfixed;
-- mod function
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function modulo (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style)
return ufixed;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function modulo (
l, r : sfixed;
constant overflow_style : BOOLEAN := fixed_overflow_style;
constant round_style : BOOLEAN := fixed_round_style)
return sfixed;
-- Procedure for those who need an "accumulator" function.
-- add_carry (ufixed(a downto b), ufixed (c downto d))
-- = ufixed (max(a,c) downto min(b,d))
procedure add_carry (
L, R : in ufixed;
c_in : in STD_ULOGIC;
result : out ufixed;
c_out : out STD_ULOGIC);
-- add_carry (sfixed(a downto b), sfixed (c downto d))
-- = sfixed (max(a,c) downto min(b,d))
procedure add_carry (
L, R : in sfixed;
c_in : in STD_ULOGIC;
result : out sfixed;
c_out : out STD_ULOGIC);
-- Scales the result by a power of 2. Width of input = width of output with
-- the decimal point moved.
function scalb (y : ufixed; N : integer) return ufixed;
function scalb (y : ufixed; N : SIGNED) return ufixed;
function scalb (y : sfixed; N : integer) return sfixed;
function scalb (y : sfixed; N : SIGNED) return sfixed;
function Is_Negative (arg : sfixed) return BOOLEAN;
--===========================================================================
-- Comparison Operators
--===========================================================================
function ">" (l, r : ufixed) return BOOLEAN;
function ">" (l, r : sfixed) return BOOLEAN;
function "<" (l, r : ufixed) return BOOLEAN;
function "<" (l, r : sfixed) return BOOLEAN;
function "<=" (l, r : ufixed) return BOOLEAN;
function "<=" (l, r : sfixed) return BOOLEAN;
function ">=" (l, r : ufixed) return BOOLEAN;
function ">=" (l, r : sfixed) return BOOLEAN;
function "=" (l, r : ufixed) return BOOLEAN;
function "=" (l, r : sfixed) return BOOLEAN;
function "/=" (l, r : ufixed) return BOOLEAN;
function "/=" (l, r : sfixed) return BOOLEAN;
--%%% Uncomment the following (new syntax)
-- function "?=" (L, R : ufixed) return BOOLEAN;
-- function "?=" (L, R : sfixed) return BOOLEAN;
-- --%%% remove the following (old syntax)
function \?=\ (L, R : ufixed) return STD_ULOGIC;
function \?=\ (L, R : sfixed) return STD_ULOGIC;
-- These need to be overloaded for sfixed and ufixed
function \?/=\ (L, R : ufixed) return STD_ULOGIC;
function \?>\ (L, R : ufixed) return STD_ULOGIC;
function \?>=\ (L, R : ufixed) return STD_ULOGIC;
function \?<\ (L, R : ufixed) return STD_ULOGIC;
function \?<=\ (L, R : ufixed) return STD_ULOGIC;
function \?/=\ (L, R : sfixed) return STD_ULOGIC;
function \?>\ (L, R : sfixed) return STD_ULOGIC;
function \?>=\ (L, R : sfixed) return STD_ULOGIC;
function \?<\ (L, R : sfixed) return STD_ULOGIC;
function \?<=\ (L, R : sfixed) return STD_ULOGIC;
-- %%% Replace with the following (new syntax)
-- function "?=" (L, R : ufixed) return STD_ULOGIC;
-- function "?/=" (L, R : ufixed) return STD_ULOGIC;
-- function "?>" (L, R : ufixed) return STD_ULOGIC;
-- function "?>=" (L, R : ufixed) return STD_ULOGIC;
-- function "?<" (L, R : ufixed) return STD_ULOGIC;
-- function "?<=" (L, R : ufixed) return STD_ULOGIC;
-- function "?=" (L, R : sfixed) return STD_ULOGIC;
-- function "?/=" (L, R : sfixed) return STD_ULOGIC;
-- function "?>" (L, R : sfixed) return STD_ULOGIC;
-- function "?>=" (L, R : sfixed) return STD_ULOGIC;
-- function "?<" (L, R : sfixed) return STD_ULOGIC;
-- function "?<=" (L, R : sfixed) return STD_ULOGIC;
function std_match (L, R : ufixed) return BOOLEAN;
function std_match (L, R : sfixed) return BOOLEAN;
-- Overloads the default "maximum" and "minimum" function
function maximum (l, r : ufixed) return ufixed;
function minimum (l, r : ufixed) return ufixed;
function maximum (l, r : sfixed) return sfixed;
function minimum (l, r : sfixed) return sfixed;
----------------------------------------------------------------------------
-- In these compare functions a natural is converted into a
-- fixed point number of the bounds "max(l'high,0) downto 0"
----------------------------------------------------------------------------
function "=" (l : ufixed; r : NATURAL) return BOOLEAN;
function "/=" (l : ufixed; r : NATURAL) return BOOLEAN;
function ">=" (l : ufixed; r : NATURAL) return BOOLEAN;
function "<=" (l : ufixed; r : NATURAL) return BOOLEAN;
function ">" (l : ufixed; r : NATURAL) return BOOLEAN;
function "<" (l : ufixed; r : NATURAL) return BOOLEAN;
function "=" (l : NATURAL; r : ufixed) return BOOLEAN;
function "/=" (l : NATURAL; r : ufixed) return BOOLEAN;
function ">=" (l : NATURAL; r : ufixed) return BOOLEAN;
function "<=" (l : NATURAL; r : ufixed) return BOOLEAN;
function ">" (l : NATURAL; r : ufixed) return BOOLEAN;
function "<" (l : NATURAL; r : ufixed) return BOOLEAN;
----------------------------------------------------------------------------
-- In these compare functions a real is converted into a
-- fixed point number of the bounds "l'high+1 downto l'low"
----------------------------------------------------------------------------
function "=" (l : ufixed; r : REAL) return BOOLEAN;
function "/=" (l : ufixed; r : REAL) return BOOLEAN;
function ">=" (l : ufixed; r : REAL) return BOOLEAN;
function "<=" (l : ufixed; r : REAL) return BOOLEAN;
function ">" (l : ufixed; r : REAL) return BOOLEAN;
function "<" (l : ufixed; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : ufixed) return BOOLEAN;
function "/=" (l : REAL; r : ufixed) return BOOLEAN;
function ">=" (l : REAL; r : ufixed) return BOOLEAN;
function "<=" (l : REAL; r : ufixed) return BOOLEAN;
function ">" (l : REAL; r : ufixed) return BOOLEAN;
function "<" (l : REAL; r : ufixed) return BOOLEAN;
----------------------------------------------------------------------------
-- In these compare functions an integer is converted into a
-- fixed point number of the bounds "max(l'high,1) downto 0"
----------------------------------------------------------------------------
function "=" (l : sfixed; r : INTEGER) return BOOLEAN;
function "/=" (l : sfixed; r : INTEGER) return BOOLEAN;
function ">=" (l : sfixed; r : INTEGER) return BOOLEAN;
function "<=" (l : sfixed; r : INTEGER) return BOOLEAN;
function ">" (l : sfixed; r : INTEGER) return BOOLEAN;
function "<" (l : sfixed; r : INTEGER) return BOOLEAN;
function "=" (l : INTEGER; r : sfixed) return BOOLEAN;
function "/=" (l : INTEGER; r : sfixed) return BOOLEAN;
function ">=" (l : INTEGER; r : sfixed) return BOOLEAN;
function "<=" (l : INTEGER; r : sfixed) return BOOLEAN;
function ">" (l : INTEGER; r : sfixed) return BOOLEAN;
function "<" (l : INTEGER; r : sfixed) return BOOLEAN;
----------------------------------------------------------------------------
-- In these compare functions a real is converted into a
-- fixed point number of the bounds "l'high+1 downto l'low"
----------------------------------------------------------------------------
function "=" (l : sfixed; r : REAL) return BOOLEAN;
function "/=" (l : sfixed; r : REAL) return BOOLEAN;
function ">=" (l : sfixed; r : REAL) return BOOLEAN;
function "<=" (l : sfixed; r : REAL) return BOOLEAN;
function ">" (l : sfixed; r : REAL) return BOOLEAN;
function "<" (l : sfixed; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : sfixed) return BOOLEAN;
function "/=" (l : REAL; r : sfixed) return BOOLEAN;
function ">=" (l : REAL; r : sfixed) return BOOLEAN;
function "<=" (l : REAL; r : sfixed) return BOOLEAN;
function ">" (l : REAL; r : sfixed) return BOOLEAN;
function "<" (l : REAL; r : sfixed) return BOOLEAN;
--===========================================================================
-- Shift and Rotate Functions.
-- Note that sra and sla are not the same as the BIT_VECTOR version
--===========================================================================
function "sll" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "srl" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "rol" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "ror" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "sla" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "sra" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "sll" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "srl" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "rol" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "ror" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "sla" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "sra" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function SHIFT_LEFT (ARG : ufixed; COUNT : NATURAL) return ufixed;
function SHIFT_RIGHT (ARG : ufixed; COUNT : NATURAL) return ufixed;
function SHIFT_LEFT (ARG : sfixed; COUNT : NATURAL) return sfixed;
function SHIFT_RIGHT (ARG : sfixed; COUNT : NATURAL) return sfixed;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (L : ufixed) return ufixed;
function "and" (L, R : ufixed) return ufixed;
function "or" (L, R : ufixed) return ufixed;
function "nand" (L, R : ufixed) return ufixed;
function "nor" (L, R : ufixed) return ufixed;
function "xor" (L, R : ufixed) return ufixed;
function "xnor" (L, R : ufixed) return ufixed;
function "not" (L : sfixed) return sfixed;
function "and" (L, R : sfixed) return sfixed;
function "or" (L, R : sfixed) return sfixed;
function "nand" (L, R : sfixed) return sfixed;
function "nor" (L, R : sfixed) return sfixed;
function "xor" (L, R : sfixed) return sfixed;
function "xnor" (L, R : sfixed) return sfixed;
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "and" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "or" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "or" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "nand" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "nand" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "nor" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "nor" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "xor" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "xor" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "xnor" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "xnor" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "and" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "and" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "or" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "or" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "nand" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "nand" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "nor" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "nor" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "xor" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "xor" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "xnor" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "xnor" (L : sfixed; R : STD_ULOGIC) return sfixed;
-- Reduction operators, same as numeric_std functions
-- %%% remove 12 functions (old syntax)
function and_reduce(arg : ufixed) return STD_ULOGIC;
function nand_reduce(arg : ufixed) return STD_ULOGIC;
function or_reduce(arg : ufixed) return STD_ULOGIC;
function nor_reduce(arg : ufixed) return STD_ULOGIC;
function xor_reduce(arg : ufixed) return STD_ULOGIC;
function xnor_reduce(arg : ufixed) return STD_ULOGIC;
function and_reduce(arg : sfixed) return STD_ULOGIC;
function nand_reduce(arg : sfixed) return STD_ULOGIC;
function or_reduce(arg : sfixed) return STD_ULOGIC;
function nor_reduce(arg : sfixed) return STD_ULOGIC;
function xor_reduce(arg : sfixed) return STD_ULOGIC;
function xnor_reduce(arg : sfixed) return STD_ULOGIC;
-- %%% Uncomment the following 12 functions (new syntax)
-- function "and" ( arg : ufixed ) RETURN std_ulogic;
-- function "nand" ( arg : ufixed ) RETURN std_ulogic;
-- function "or" ( arg : ufixed ) RETURN std_ulogic;
-- function "nor" ( arg : ufixed ) RETURN std_ulogic;
-- function "xor" ( arg : ufixed ) RETURN std_ulogic;
-- function "xnor" ( arg : ufixed ) RETURN std_ulogic;
-- function "and" ( arg : sfixed ) RETURN std_ulogic;
-- function "nand" ( arg : sfixed ) RETURN std_ulogic;
-- function "or" ( arg : sfixed ) RETURN std_ulogic;
-- function "nor" ( arg : sfixed ) RETURN std_ulogic;
-- function "xor" ( arg : sfixed ) RETURN std_ulogic;
-- function "xnor" ( arg : sfixed ) RETURN std_ulogic;
-- returns arg'low-1 if not found
function find_msb (arg : ufixed; y : STD_ULOGIC) return INTEGER;
function find_msb (arg : sfixed; y : STD_ULOGIC) return INTEGER;
-- returns arg'high+1 if not found
function find_lsb (arg : ufixed; y : STD_ULOGIC) return INTEGER;
function find_lsb (arg : sfixed; y : STD_ULOGIC) return INTEGER;
--===========================================================================
-- RESIZE Functions
--===========================================================================
-- resizes the number (larger or smaller)
-- The returned result will be ufixed (left_index downto right_index)
-- If "round_style" is true, then the result will be rounded. If the MSB
-- of the remainder is a "1" AND the LSB of the unround result is a '1' or
-- the lower bits of the remainder include a '1' then the result will be
-- increased by the smallest representable number for that type.
-- The default is "true" for round_style.
-- "overflow_style" can be "true" (saturate mode) or "false" (wrap mode).
-- In saturate mode, if the number overflows then the largest possible
-- representable number is returned. If wrap mode, then the upper bits
-- of the number are truncated.
function resize (
arg : ufixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed;
-- "size_res" functions create the size of the output from the length
-- of the "size_res" input. The actual value of "size_res" is not used.
function resize (
arg : ufixed; -- input
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed;
-- Note that in "wrap" mode the sign bit is not replicated. Thus the
-- resize of a negative number can have a positive result in wrap mode.
function resize (
arg : sfixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
function resize (
arg : sfixed; -- input
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
--===========================================================================
-- Conversion Functions
--===========================================================================
-- integer (natural) to unsigned fixed point.
-- arguments are the upper and lower bounds of the number, thus
-- ufixed (7 downto -3) <= to_ufixed (int, 7, -3);
function to_ufixed (
arg : NATURAL; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding
return ufixed;
function to_ufixed (
arg : NATURAL; -- integer
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding
return ufixed;
-- real to unsigned fixed point
function to_ufixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed;
function to_ufixed (
arg : REAL; -- real
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed;
-- unsigned to unsigned fixed point
function to_ufixed (
arg : UNSIGNED; -- unsigned
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return ufixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return ufixed;
-- Performs a casting. ufixed (arg'range) is returned
function to_ufixed (
arg : UNSIGNED) -- unsigned
return ufixed;
-- unsigned fixed point to unsigned
function to_unsigned (
arg : ufixed; -- fixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED;
-- unsigned fixed point to unsigned
function to_unsigned (
arg : ufixed; -- fixed point input
size_res : UNSIGNED; -- used for length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED;
-- unsigned fixed point to real
function to_real (
arg : ufixed) -- fixed point input
return REAL;
-- unsigned fixed point to integer
function to_integer (
arg : ufixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return NATURAL;
-- Integer to sfixed
function to_sfixed (
arg : INTEGER; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
function to_sfixed (
arg : INTEGER; -- integer
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
-- Real to sfixed
function to_sfixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed;
function to_sfixed (
arg : REAL; -- real
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed;
-- signed to sfixed
function to_sfixed (
arg : SIGNED; -- signed
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
function to_sfixed (
arg : SIGNED; -- signed
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
-- signed to sfixed (output assumed to be size of signed input)
function to_sfixed (
arg : SIGNED) -- signed
return sfixed;
-- unsigned fixed point to signed fixed point (adds a "0" sign bit)
function add_sign (
arg : ufixed) -- unsigned fixed point
return sfixed;
-- signed fixed point to signed
function to_signed (
arg : sfixed; -- fixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED;
-- signed fixed point to signed
function to_signed (
arg : sfixed; -- fixed point input
size_res : SIGNED; -- used for length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED;
-- signed fixed point to real
function to_real (
arg : sfixed) -- fixed point input
return REAL;
-- signed fixed point to integer
function to_integer (
arg : sfixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return INTEGER;
-- Because of the farily complicated sizing rules in the fixed point
-- packages these functions are provided to compute the result ranges
-- Example:
-- signal uf1 : ufixed (3 downto -3);
-- signal uf2 : ufixed (4 downto -2);
-- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto
-- ufixed_low (3, -3, '*', 4, -2));
-- uf1multuf2 <= uf1 * uf2;
-- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod)
function ufixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function ufixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function sfixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function sfixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
-- Same as above, but using the "size_res" input only for their ranges:
-- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto
-- ufixed_low (uf1, '*', uf2));
-- uf1multuf2 <= uf1 * uf2;
function ufixed_high (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER;
function ufixed_low (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER;
function sfixed_high (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER;
function sfixed_low (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function saturate (
size_res : ufixed) -- only the size of this is used
return ufixed;
function saturate (
size_res : sfixed) -- only the size of this is used
return sfixed;
--===========================================================================
-- Translation Functions
--===========================================================================
-- Maps meta-logical values
function to_01 (
s : ufixed; -- fixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return ufixed;
-- maps meta-logical values
function to_01 (
s : sfixed; -- fixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return sfixed;
function Is_X (arg : ufixed) return BOOLEAN;
function Is_X (arg : sfixed) return BOOLEAN;
function to_X01 (arg : ufixed) return ufixed;
function to_X01 (arg : sfixed) return sfixed;
function to_X01Z (arg : ufixed) return ufixed;
function to_X01Z (arg : sfixed) return sfixed;
function to_UX01 (arg : ufixed) return ufixed;
function to_UX01 (arg : sfixed) return sfixed;
-- straight vector conversion routines, needed for synthesis.
-- These functions are here so that a std_logic_vector can be
-- converted to and from sfixed and ufixed. Note that you can
-- not cast these vectors because of their negative index.
function to_slv (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR;
-- alias to_StdLogicVector is to_slv [ufixed return STD_LOGIC_VECTOR];
-- alias to_Std_Logic_Vector is to_slv [ufixed return STD_LOGIC_VECTOR];
function to_slv (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR;
-- alias to_StdLogicVector is to_slv [sfixed return STD_LOGIC_VECTOR];
-- alias to_Std_Logic_Vector is to_slv [sfixed return STD_LOGIC_VECTOR];
function to_sulv (
arg : ufixed) -- fp vector
return STD_ULOGIC_VECTOR;
-- alias to_StdULogicVector is to_sulv [ufixed return STD_ULOGIC_VECTOR];
-- alias to_Std_ULogic_Vector is to_sulv [ufixed return STD_ULOGIC_VECTOR];
function to_sulv (
arg : sfixed) -- fp vector
return STD_ULOGIC_VECTOR;
-- alias to_StdULogicVector is to_sulv [sfixed return STD_ULOGIC_VECTOR];
-- alias to_Std_ULogic_Vector is to_sulv [sfixed return STD_ULOGIC_VECTOR];
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed;
-- As a concession to those who use a graphical DSP environment,
-- these functions take parameters in those tools format and create
-- fixed point numbers. These functions are designed to convert from
-- a std_logic_vector to the VHDL fixed point format using the conventions
-- of these packages. In a pure VHDL environment you should use the
-- "to_ufixed" and "to_sfixed" routines.
-- Unsigned fixed point
function to_UFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return ufixed;
-- signed fixed point
function to_SFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return sfixed;
-- finding the bounds of a number. These functions can be used like this:
-- signal xxx : ufixed (7 downto -3);
-- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))"
-- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3)
-- downto UFix_low(11, 3, "+", 11, 3));
-- Where "11" is the width of xxx (xxx'length),
-- and 3 is the lower bound (abs (xxx'low))
-- In a pure VHDL environment use "ufixed_high" and "ufixed_low"
function UFix_high (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
function UFix_low (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
-- Same as above but for signed fixed point. Note that the width
-- of a signed fixed point number ignores the sign bit, thus
-- width = sxxx'length-1
function SFix_high (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
function SFix_low (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
--===========================================================================
-- string and textio Functions
--===========================================================================
-- rtl_synthesis off
-- synthesis translate_off
-- purpose: writes fixed point into a line
procedure WRITE (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
-- purpose: writes fixed point into a line
procedure WRITE (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure READ(L : inout LINE;
VALUE : out ufixed);
procedure READ(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN);
procedure READ(L : inout LINE;
VALUE : out sfixed);
procedure READ(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN);
alias bwrite is WRITE [LINE, ufixed, SIDE, width];
alias bwrite is WRITE [LINE, sfixed, SIDE, width];
alias bread is READ [LINE, ufixed];
alias bread is READ [LINE, ufixed, BOOLEAN];
alias bread is READ [LINE, sfixed];
alias bread is READ [LINE, sfixed, BOOLEAN];
-- octal read and write
procedure OWRITE (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure OWRITE (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure OREAD(L : inout LINE;
VALUE : out ufixed);
procedure OREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN);
procedure OREAD(L : inout LINE;
VALUE : out sfixed);
procedure OREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN);
-- hex read and write
procedure HWRITE (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
-- purpose: writes fixed point into a line
procedure HWRITE (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure HREAD(L : inout LINE;
VALUE : out ufixed);
procedure HREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN);
procedure HREAD(L : inout LINE;
VALUE : out sfixed);
procedure HREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN);
-- returns a string, useful for:
-- assert (x = y) report "error found " & to_string(x) severity error;
function to_string (
value : ufixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
alias to_bstring is to_string [ufixed, SIDE, width return STRING];
function to_ostring (
value : ufixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
function to_hstring (
value : ufixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
function to_string (
value : sfixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
alias to_bstring is to_string [sfixed, SIDE, width return STRING];
function to_ostring (
value : sfixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
function to_hstring (
value : sfixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
-- From string functions allow you to convert a string into a fixed
-- point number. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5
-- The "." is optional in this syntax, however it exist and is
-- in the wrong location an error is produced. Overflow will
-- result in saturation.
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
alias from_bstring is from_string [STRING, INTEGER, INTEGER return ufixed];
-- Octal and hex conversions work as follows:
-- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped)
-- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped)
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
alias from_bstring is from_string [STRING, INTEGER, INTEGER return sfixed];
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
-- Same as above, "size_res" is used for it's range only.
function from_string (
bstring : STRING; -- binary string
size_res : ufixed)
return ufixed;
alias from_bstring is from_string [STRING, ufixed return ufixed];
function from_ostring (
ostring : STRING; -- Octal string
size_res : ufixed)
return ufixed;
function from_hstring (
hstring : STRING; -- hex string
size_res : ufixed)
return ufixed;
function from_string (
bstring : STRING; -- binary string
size_res : sfixed)
return sfixed;
alias from_bstring is from_string [STRING, sfixed return sfixed];
function from_ostring (
ostring : STRING; -- Octal string
size_res : sfixed)
return sfixed;
function from_hstring (
hstring : STRING; -- hex string
size_res : sfixed)
return sfixed;
-- Direct converstion functions. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100"); -- 6.5
-- In this case the "." is not optional, and the size of
-- the output must match exactly.
function from_string (
bstring : STRING) -- binary string
return ufixed;
alias from_bstring is from_string [STRING return ufixed];
-- Direct octal and hex converstion functions. In this case
-- the string lengths must match. Example:
-- signal sf1 := sfixed (5 downto -3);
-- sf1 <= from_ostring ("71.4") -- -6.5
function from_ostring (
ostring : STRING) -- Octal string
return ufixed;
function from_hstring (
hstring : STRING) -- hex string
return ufixed;
function from_string (
bstring : STRING) -- binary string
return sfixed;
alias from_bstring is from_string [STRING return sfixed];
function from_ostring (
ostring : STRING) -- Octal string
return sfixed;
function from_hstring (
hstring : STRING) -- hex string
return sfixed;
-- synthesis translate_on
-- rtl_synthesis on
-- This type is here for the floating point package.
type round_type is (round_nearest, -- Default, nearest LSB '0'
round_inf, -- Round to positive
round_neginf, -- Round to negate
round_zero); -- Round towards zero
-- These are the same as the C FE_TONEAREST, FE_UPWARD, FE_DOWNWARD,
-- and FE_TOWARDZERO floating point rounding macros.
function to_StdLogicVector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR;
function to_Std_Logic_Vector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR;
function to_StdLogicVector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR;
function to_Std_Logic_Vector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR;
end package fixed_pkg;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use std.textio.all;
use ieee.std_logic_textio.all; -- %%% for testing only
package body fixed_pkg is
-- Author David Bishop ([email protected])
-- Other contributers: Jim Lewis, Yannick Grugni, Ryan W. Hilton
-- null array constants
constant NAUF : ufixed (0 downto 1) := (others => '0');
constant NASF : sfixed (0 downto 1) := (others => '0');
constant NSLV : STD_LOGIC_VECTOR (0 downto 1) := (others => '0');
-- This differed constant will tell you if the package body is synthesizable
-- or implemented as real numbers, set to "true" if synthesizable.
constant fixedsynth_or_real : BOOLEAN := true;
--%%% Can be removed in vhdl-200x, will be implicit.
-- purpose: To find the largest of 2 numbers
function maximum (l, r : INTEGER)
return INTEGER is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
function minimum (l, r : INTEGER)
return INTEGER is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
-- %%% Remove the following function (duplicates of new numeric_std)
function "sra" (arg : SIGNED; count : INTEGER)
return SIGNED is
begin
if (COUNT >= 0) then
return SHIFT_RIGHT(arg, count);
else
return SHIFT_LEFT(arg, -count);
end if;
end function "sra";
-- %%% Replace or_reducex with "or", and_reducex with "and", and
-- %%% xor_reducex with "xor", then remove the following 3 functions
-- purpose: OR all of the bits in a vector together
-- This is a copy of the proposed "or_reduce" from 1076.3
function or_reducex (arg : STD_LOGIC_VECTOR)
return STD_LOGIC is
variable Upper, Lower : STD_LOGIC;
variable Half : INTEGER;
variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_LOGIC;
begin
if (arg'length < 1) then -- In the case of a NULL range
Result := '0';
else
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int (BUS_int'right) or BUS_int (BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := or_reducex (BUS_int (BUS_int'left downto Half));
Lower := or_reducex (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper or Lower;
end if;
end if;
return Result;
end function or_reducex;
-- purpose: AND all of the bits in a vector together
-- This is a copy of the proposed "and_reduce" from 1076.3
function and_reducex (arg : STD_LOGIC_VECTOR)
return STD_LOGIC is
variable Upper, Lower : STD_LOGIC;
variable Half : INTEGER;
variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_LOGIC;
begin
if (arg'length < 1) then -- In the case of a NULL range
Result := '1';
else
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int (BUS_int'right) and BUS_int (BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := and_reducex (BUS_int (BUS_int'left downto Half));
Lower := and_reducex (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper and Lower;
end if;
end if;
return Result;
end function and_reducex;
function xor_reducex (arg : STD_LOGIC_VECTOR) return STD_ULOGIC is
variable Upper, Lower : STD_ULOGIC;
variable Half : INTEGER;
variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_ULOGIC := '0'; -- In the case of a NULL range
begin
if (arg'length >= 1) then
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int(BUS_int'right) xor BUS_int(BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := xor_reducex (BUS_int (BUS_int'left downto Half));
Lower := xor_reducex (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper xor Lower;
end if;
end if;
return Result;
end function xor_reducex;
--%%% remove the following function and table
-- Match table, copied form new std_logic_1164
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% end remove
-- Special version of "minimum" to do some boundary checking without errors
function mins (l, r : INTEGER)
return INTEGER is
begin -- function mins
if (L = INTEGER'low or R = INTEGER'low) then
return 0; -- error condition
end if;
return minimum (L, R);
end function mins;
-- Special version of "minimum" to do some boundary checking with errors
function mine (l, r : INTEGER)
return INTEGER is
begin -- function mine
if (L = INTEGER'low or R = INTEGER'low) then
report "FIXED_GENERIC_PKG: Unbounded number passed, was a literal used?"
severity error;
return 0;
end if;
return minimum (L, R);
end function mine;
-- The following functions are used only internally. Every function
-- calls "cleanvec" either directly or indirectly.
-- purpose: Fixes "downto" problem and resolves meta states
function cleanvec (
arg : sfixed) -- input
return sfixed is
constant left_index : INTEGER := maximum(arg'left, arg'right);
constant right_index : INTEGER := mins(arg'left, arg'right);
variable result : sfixed (arg'range);
begin -- function cleanvec
assert not ((arg'left < arg'right) and (arg'low /= INTEGER'low))
report "FIXED_GENERIC_PKG: Vector passed using a ""to"" range, expected is ""downto"""
severity error;
return arg;
end function cleanvec;
-- purpose: Fixes "downto" problem and resolves meta states
function cleanvec (
arg : ufixed) -- input
return ufixed is
constant left_index : INTEGER := maximum(arg'left, arg'right);
constant right_index : INTEGER := mins(arg'left, arg'right);
variable result : ufixed (arg'range);
begin -- function cleanvec
assert not ((arg'left < arg'right) and (arg'low /= INTEGER'low))
report "FIXED_GENERIC_PKG: Vector passed using a ""to"" range, expected is ""downto"""
severity error;
return arg;
end function cleanvec;
-- Type cast a "unsigned" into a "ufixed", used internally
function to_fixed (
arg : UNSIGNED; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
-- variable j : INTEGER := arg'high; -- index for arg
begin -- function to_fixed
result := ufixed(arg);
-- floop : for i in result'range loop
-- result(i) := arg(j); -- res(4) := arg (4 + 3)
-- j := j - 1;
-- end loop floop;
return result;
end function to_fixed;
-- Type cast a "signed" into an "sfixed", used internally
function to_fixed (
arg : SIGNED; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
-- variable j : INTEGER := arg'high; -- index for arg
begin -- function to_fixed
result := sfixed(arg);
-- floop : for i in result'range loop
-- result(i) := arg(j); -- res(4) := arg (4 + 3)
-- j := j - 1;
-- end loop floop;
return result;
end function to_fixed;
-- Type cast a "ufixed" into an "unsigned", used internally
function to_uns (
arg : ufixed) -- fp vector
return UNSIGNED is
subtype t is UNSIGNED(arg'high - arg'low downto 0);
variable slv : t;
begin -- function to_uns
slv := t(arg);
-- floop : for i in slv'range loop
-- slv(i) := arg(i + arg'low); -- slv(7) := arg (7 - 3)
-- end loop floop;
return UNSIGNED(to_X01(std_logic_vector(slv)));
end function to_uns;
-- Type cast an "sfixed" into a "signed", used internally
function to_s (
arg : sfixed) -- fp vector
return SIGNED is
subtype t is SIGNED(arg'high - arg'low downto 0);
variable slv : t;
begin -- function to_s
slv := t(arg);
-- floop : for i in slv'range loop
-- slv(i) := arg(i + arg'low); -- slv(7) := arg (7 - 3)
-- end loop floop;
return SIGNED(to_X01(std_logic_vector(slv)));
end function to_s;
-- adds 1 to the LSB of the number
procedure round_up (arg : in ufixed;
result : out ufixed;
overflowx : out BOOLEAN) is
variable arguns, resuns : UNSIGNED (arg'high-arg'low+1 downto 0) :=
(others => '0');
begin -- round_up
arguns (arguns'high-1 downto 0) := to_uns (arg);
resuns := arguns + 1;
result := to_fixed(resuns(arg'high-arg'low
downto 0), arg'high, arg'low);
overflowx := (resuns(resuns'high) = '1');
end procedure round_up;
-- adds 1 to the LSB of the number
procedure round_up (arg : in sfixed;
result : out sfixed;
overflowx : out BOOLEAN) is
variable args, ress : SIGNED (arg'high-arg'low+1 downto 0);
begin -- round_up
args (args'high-1 downto 0) := to_s (arg);
args(args'high) := arg(arg'high); -- sign extend
ress := args + 1;
result := to_fixed(ress (ress'high-1
downto 0), arg'high, arg'low);
overflowx := ((arg(arg'high) /= ress(ress'high-1))
and (or_reducex (STD_LOGIC_VECTOR(ress)) /= '0'));
end procedure round_up;
-- Rounding - Performs a "round_nearest" (IEEE 754) which rounds up
-- when the remainder is > 0.5. If the remainder IS 0.5 then if the
-- bottom bit is a "1" it is rounded, otherwise it remains the same.
function round_fixed (arg : ufixed;
remainder : ufixed;
overflow_style : BOOLEAN := fixed_overflow_style)
return ufixed is
variable rounds : BOOLEAN;
variable round_overflow : BOOLEAN;
variable result : ufixed (arg'range);
begin
rounds := false;
if (remainder'length > 1) then
if (remainder (remainder'high) = '1') then
rounds := (arg(arg'low) = '1')
or (or_reducex (to_slv(remainder(remainder'high-1 downto
remainder'low))) = '1');
end if;
else
rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1');
end if;
if rounds then
round_up(arg => arg,
result => result,
overflowx => round_overflow);
else
result := arg;
end if;
if (overflow_style = fixed_saturate) and round_overflow then
result := saturate (result'high, result'low);
end if;
return result;
end function round_fixed;
-- Rounding case statement
function round_fixed (arg : sfixed;
remainder : sfixed;
overflow_style : BOOLEAN := fixed_overflow_style)
return sfixed is
variable rounds : BOOLEAN;
variable round_overflow : BOOLEAN;
variable result : sfixed (arg'range);
begin
rounds := false;
if (remainder'length > 1) then
if (remainder (remainder'high) = '1') then
rounds := (arg(arg'low) = '1')
or (or_reducex (to_slv(remainder(remainder'high-1 downto
remainder'low))) = '1');
end if;
else
rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1');
end if;
if rounds then
round_up(arg => arg,
result => result,
overflowx => round_overflow);
else
result := arg;
end if;
if round_overflow then
if (overflow_style = fixed_saturate) then
if arg(arg'high) = '0' then
result := saturate (result'high, result'low);
else
result := not saturate (result'high, result'low);
end if;
-- else
-- result(result'high) := arg(arg'high); -- fix sign bit in wrap
end if;
end if;
return result;
end function round_fixed;
-----------------------------------------------------------------------------
-- Visible functions
-----------------------------------------------------------------------------
-- casting functions. These are needed for synthesis where typically
-- the only input and output type is a std_logic_vector.
function to_slv (
arg : ufixed) -- fixed point vector
return STD_LOGIC_VECTOR is
subtype t is STD_LOGIC_VECTOR (arg'high - arg'low downto 0);
variable slv : t;
begin
if arg'length < 1 then
return NSLV;
end if;
slv := t (arg);
return slv;
end function to_slv;
function to_slv (
arg : sfixed) -- fixed point vector
return STD_LOGIC_VECTOR is
subtype t is STD_LOGIC_VECTOR (arg'high - arg'low downto 0);
variable slv : t;
begin
if arg'length < 1 then
return NSLV;
end if;
slv := t (arg);
return slv;
end function to_slv;
function to_sulv (
arg : ufixed) -- fixed point vector
return STD_ULOGIC_VECTOR is
begin
return to_stdulogicvector (to_slv(arg));
end function to_sulv;
function to_sulv (
arg : sfixed) -- fixed point vector
return STD_ULOGIC_VECTOR is
begin
return to_stdulogicvector (to_slv(arg));
end function to_sulv;
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
begin
if (arg'length < 1 or right_index > left_index) then
return NAUF;
end if;
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_UFIXED (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NAUF;
else
result := to_fixed (arg => UNSIGNED(arg),
left_index => left_index,
right_index => right_index);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
begin
if (arg'length < 1 or right_index > left_index) then
return NASF;
end if;
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_SFIXED (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NASF;
else
result := to_fixed (arg => SIGNED(arg),
left_index => left_index,
right_index => right_index);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
begin
return to_ufixed (arg => to_stdlogicvector(arg),
left_index => left_index,
right_index => right_index);
end function to_ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
begin
return to_sfixed (arg => to_stdlogicvector(arg),
left_index => left_index,
right_index => right_index);
end function to_sfixed;
-- Two's complement number, Grows the vector by 1 bit.
-- because "abs (1000.000) = 01000.000" or abs(-16) = 16.
function "abs" (
arg : sfixed) -- fixed point input
return sfixed is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable ressns : SIGNED (arg'length downto 0);
variable result : sfixed (left_index+1 downto right_index);
begin
if (arg'length < 1 or result'length < 1) then
return NASF;
end if;
ressns (arg'length-1 downto 0) := to_s (cleanvec (arg));
ressns (arg'length) := ressns (arg'length-1); -- expand sign bit
result := to_fixed (abs(ressns), left_index+1, right_index);
return result;
end function "abs";
-- also grows the vector by 1 bit.
function "-" (
arg : sfixed) -- fixed point input
return sfixed is
constant left_index : INTEGER := arg'high+1;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable ressns : SIGNED (arg'length downto 0);
variable result : sfixed (left_index downto right_index);
begin
if (arg'length < 1 or result'length < 1) then
return NASF;
end if;
ressns (arg'length-1 downto 0) := to_s (cleanvec(arg));
ressns (arg'length) := ressns (arg'length-1); -- expand sign bit
result := to_fixed (-ressns, left_index, right_index);
return result;
end function "-";
function "abs" (arg : sfixed) return ufixed is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable xarg : sfixed(left_index+1 downto right_index);
variable result : ufixed(left_index downto right_index);
begin
if arg'length < 1 then
return NAUF;
end if;
xarg := abs(arg);
result := ufixed (xarg (left_index downto right_index));
return result;
end function "abs";
-- Addition
function "+" (
l, r : ufixed) -- ufixed(a downto b) + ufixed(c downto d) =
return ufixed is -- ufixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NAUF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv + rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "+";
function "+" (
l, r : sfixed) -- sfixed(a downto b) + sfixed(c downto d) =
return sfixed is -- sfixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index downto 0);
variable result_slv : SIGNED (left_index-right_index downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NASF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv + rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "+";
-- Subtraction
function "-" (
l, r : ufixed) -- ufixed(a downto b) - ufixed(c downto d) =
return ufixed is -- ufixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NAUF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv - rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "-";
function "-" (
l, r : sfixed) -- sfixed(a downto b) - sfixed(c downto d) =
return sfixed is -- sfixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index downto 0);
variable result_slv : SIGNED (left_index-right_index downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NASF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv - rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "-";
function "*" (
l, r : ufixed) -- ufixed(a downto b) * ufixed(c downto d) =
return ufixed is -- ufixed(a+c+1 downto b+d)
variable lslv : UNSIGNED (l'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (r'length+l'length-1 downto 0);
variable result : ufixed (l'high + r'high+1 downto
mine(l'low, l'low) + mine(r'low, r'low));
begin
if (l'length < 1 or r'length < 1 or
result'length /= result_slv'length) then
return NAUF;
end if;
lslv := to_uns (cleanvec(l));
rslv := to_uns (cleanvec(r));
result_slv := lslv * rslv;
result := to_fixed (result_slv, result'high, result'low);
return result;
end function "*";
function "*" (
l, r : sfixed) -- sfixed(a downto b) * sfixed(c downto d) =
return sfixed is -- sfixed(a+c+1 downto b+d)
variable lslv : SIGNED (l'length-1 downto 0);
variable rslv : SIGNED (r'length-1 downto 0);
variable result_slv : SIGNED (r'length+l'length-1 downto 0);
variable result : sfixed (l'high + r'high+1 downto
mine(l'low, l'low) + mine(r'low, r'low));
begin
if (l'length < 1 or r'length < 1 or
result'length /= result_slv'length) then
return NASF;
end if;
lslv := to_s (cleanvec(l));
rslv := to_s (cleanvec(r));
result_slv := lslv * rslv;
result := to_fixed (result_slv, result'high, result'low);
return result;
end function "*";
function "/" (
l, r : ufixed) -- ufixed(a downto b) / ufixed(c downto d) =
return ufixed is -- ufixed(a-d downto b-c-1)
begin
return divide (l, r);
end function "/";
function "/" (
l, r : sfixed) -- sfixed(a downto b) / sfixed(c downto d) =
return sfixed is -- sfixed(a-d+1 downto b-c)
begin
return divide (l, r);
end function "/";
-- This version of divide gives the user more control
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function divide (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed is
variable result : ufixed (l'high - mine(r'low, r'low)
downto mine (l'low, l'low) - r'high -1);
variable dresult : ufixed (result'high downto result'low -guard_bits);
variable lresize : ufixed (l'high downto l'high - dresult'length+1);
variable lslv : UNSIGNED (lresize'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NAUF;
end if;
lresize := resize (l, lresize'high, lresize'low);
lslv := to_uns (cleanvec (lresize));
rslv := to_uns (cleanvec (r));
if (rslv = 0) then
report "FIXED_GENERIC_PKG.DIVIDE uFixed point Division by zero" severity error;
result := saturate (result'high, result'low); -- saturate
else
result_slv := lslv / rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
round_style => round_style,
overflow_style => fixed_wrap); -- overflow impossible
end if;
return result;
end function divide;
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function divide (
l, r : sfixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed is
variable result : sfixed (l'high - mine(r'low, r'low)+1
downto mine (l'low, l'low) - r'high);
variable dresult : sfixed (result'high downto result'low-guard_bits);
variable lresize : sfixed (l'high+1 downto l'high+1 -dresult'length+1);
variable lslv : SIGNED (lresize'length-1 downto 0);
variable rslv : SIGNED (r'length-1 downto 0);
variable result_slv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
lresize := resize (l, lresize'high, lresize'low);
lslv := to_s (cleanvec (lresize));
rslv := to_s (cleanvec (r));
if (rslv = 0) then
report "FIXED_GENERIC_PKG.DIVIDE uFixed point Division by zero" severity error;
result := saturate (result'high, result'low);
else
result_slv := lslv / rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
round_style => round_style,
overflow_style => fixed_wrap); -- overflow impossible
end if;
return result;
end function divide;
-- 1 / ufixed(a downto b) = ufixed(-b downto -a-1)
function reciprocal (
arg : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed is
constant one : ufixed (0 downto 0) := "1";
begin
return divide(l => one,
r => arg,
round_style => round_style,
guard_bits => guard_bits);
end function reciprocal;
-- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a)
function reciprocal (
arg : sfixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed is
constant one : sfixed (1 downto 0) := "01"; -- extra bit.
variable resultx : sfixed (-mine(arg'low, arg'low)+2 downto -arg'high);
begin
if (arg'length < 1 or resultx'length < 1) then
return NASF;
else
resultx := divide(l => one,
r => arg,
round_style => round_style,
guard_bits => guard_bits);
return resultx (resultx'high-1 downto resultx'low); -- remove extra bit
end if;
end function reciprocal;
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function "rem" (
l, r : ufixed) -- fixed point input
return ufixed is
begin
return remainder (l => l,
r => r,
round_style => fixed_round_style);
end function "rem";
-- remainder
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function "rem" (
l, r : sfixed) -- fixed point input
return sfixed is
begin
return remainder (l => l,
r => r,
round_style => fixed_round_style);
end function "rem";
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function remainder (
l, r : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style)
return ufixed is
variable result : ufixed (minimum(l'high, r'high) downto mine(l'low, r'low));
variable dresult : ufixed (r'high downto r'low);
variable lresize : ufixed (maximum(l'high, r'low) downto mins(r'low, r'low));
variable lslv : UNSIGNED (lresize'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (rslv'range);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NAUF;
end if;
lresize := resize (arg => l,
left_index => lresize'high,
right_index => lresize'low,
overflow_style => fixed_wrap, -- vector only grows
round_style => fixed_truncate);
lslv := to_uns (lresize);
rslv := to_uns (cleanvec(r));
if (rslv = 0) then
report "FIXED_GENERIC_PKG.rem uFixed point Division by zero" severity error;
result := saturate (result'high, result'low); -- saturate
else
if (r'low <= l'high) then
result_slv := lslv rem rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
overflow_style => fixed_wrap,
round_style => round_style);
-- result(result'high downto r'low) := dresult(result'high downto r'low);
end if;
if l'low < r'low then
result(mins(r'low-1, l'high) downto l'low) :=
cleanvec(l(mins(r'low-1, l'high) downto l'low));
end if;
end if;
return result;
end function remainder;
-- remainder
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function remainder (
l, r : sfixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style)
return sfixed is
variable l_abs : ufixed (l'range);
variable r_abs : ufixed (r'range);
variable result : sfixed (minimum(r'high, l'high) downto mine(r'low, l'low));
variable neg_result : sfixed (minimum(r'high, l'high)+1 downto mins(r'low, l'low));
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
l_abs := abs(l);
r_abs := abs(r);
result := sfixed(remainder (l => l_abs,
r => r_abs,
round_style => round_style));
neg_result := -result;
if l(l'high) = '1' then
result := neg_result(result'range);
end if;
return result;
end function remainder;
-- modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function "mod" (
l, r : ufixed) -- fixed point input
return ufixed is
begin
return modulo (l => l,
r => r,
round_style => fixed_round_style);
end function "mod";
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function "mod" (
l, r : sfixed) -- fixed point input
return sfixed is
begin
return modulo(l => l,
r => r,
round_style => fixed_round_style);
end function "mod";
-- modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function modulo (
l, r : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style)
return ufixed is
begin
return remainder(l => l,
r => r,
round_style => round_style);
end function modulo;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function modulo (
l, r : sfixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style;
constant round_style : BOOLEAN := fixed_round_style)
return sfixed is
variable l_abs : ufixed (l'range);
variable r_abs : ufixed (r'range);
variable result : sfixed (r'high downto
mine(r'low, l'low));
variable dresult : sfixed (minimum(r'high, l'high)+1 downto
mins(r'low, l'low));
variable dresult_not_zero : BOOLEAN;
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
l_abs := abs(l);
r_abs := abs(r);
dresult := "0" & sfixed(remainder (l => l_abs,
r => r_abs,
round_style => round_style));
if (to_s(dresult) = 0) then
dresult_not_zero := false;
else
dresult_not_zero := true;
end if;
if to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '0'
and dresult_not_zero then
result := resize (arg => r - dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
elsif to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '1' then
result := resize (arg => -dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
elsif to_x01(l(l'high)) = '0' and to_x01(r(r'high)) = '1'
and dresult_not_zero then
result := resize (arg => dresult + r,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
else
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
end if;
return result;
end function modulo;
-- Procedure for those who need an "accumulator" function
procedure add_carry (
L, R : in ufixed;
c_in : in STD_ULOGIC;
result : out ufixed;
c_out : out STD_ULOGIC) is
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
variable cx : UNSIGNED (0 downto 0); -- Carry in
begin
if (l'length < 1 or r'length < 1) then
result := NAUF;
c_out := '0';
else
cx (0) := c_in;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv + rslv + cx;
c_out := result_slv(left_index);
result := to_fixed(result_slv (left_index-right_index-1 downto 0),
left_index-1, right_index);
end if;
end procedure add_carry;
procedure add_carry (
L, R : in sfixed;
c_in : in STD_ULOGIC;
result : out sfixed;
c_out : out STD_ULOGIC) is
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index
downto 0);
variable result_slv : SIGNED (left_index-right_index
downto 0);
variable cx : SIGNED (1 downto 0); -- Carry in
begin
if (l'length < 1 or r'length < 1) then
result := NASF;
c_out := '0';
else
cx (1) := '0';
cx (0) := c_in;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv + rslv + cx;
c_out := result_slv(left_index);
result := to_fixed(result_slv (left_index-right_index-1 downto 0),
left_index-1, right_index);
end if;
end procedure add_carry;
-- Scales the result by a power of 2. Width of input = width of output with
-- the decimal point moved.
function scalb (y : ufixed; N : integer) return ufixed is
variable result : ufixed (y'high+N downto y'low+N);
begin
if y'length < 1 then
return NAUF;
else
result := y;
return result;
end if;
end function scalb;
function scalb (y : ufixed; N : SIGNED) return ufixed is
begin
return scalb (y => y,
N => to_integer(N));
end function scalb;
function scalb (y : sfixed; N : integer) return sfixed is
variable result : sfixed (y'high+N downto y'low+N);
begin
if y'length < 1 then
return NASF;
else
result := y;
return result;
end if;
end function scalb;
function scalb (y : sfixed; N : SIGNED) return sfixed is
begin
return scalb (y => y,
N => to_integer(N));
end function scalb;
function Is_Negative (arg : sfixed) return BOOLEAN is
begin
if to_X01(arg(arg'high)) = '1' then
return true;
else
return false;
end if;
end function Is_Negative;
function find_lsb (arg : ufixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'low to arg'high loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'high+1; -- return out of bounds 'high
end function find_lsb;
function find_msb (arg : ufixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'high downto arg'low loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'low-1; -- return out of bounds 'low
end function find_msb;
function find_lsb (arg : sfixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'low to arg'high loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'high+1; -- return out of bounds 'high
end function find_lsb;
function find_msb (arg : sfixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'high downto arg'low loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'low-1; -- return out of bounds 'low
end function find_msb;
function "sll" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sll";
function "srl" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "srl";
function "rol" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv rol COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "rol";
function "ror" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv ror COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "ror";
function "sla" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
-- Arithmetic shift on an unsigned is a logical shift
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sla";
function "sra" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
-- Arithmetic shift on an unsigned is a logical shift
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sra";
function "sll" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sll";
function "srl" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "srl";
function "rol" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv rol COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "rol";
function "ror" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv ror COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "ror";
function "sla" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
if COUNT > 0 then
-- Arithmetic shift left on a 2's complement number is a logic shift
argslv := argslv sll COUNT;
else
argslv := argslv sra -COUNT;
end if;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sla";
function "sra" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
if COUNT > 0 then
argslv := argslv sra COUNT;
else
-- Arithmetic shift left on a 2's complement number is a logic shift
argslv := argslv sll -COUNT;
end if;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sra";
-- Because some people want the older functions.
function SHIFT_LEFT (ARG : ufixed; COUNT : NATURAL) return ufixed is
begin
if (ARG'length < 1) then
return NAUF;
end if;
return ARG sla COUNT;
end function SHIFT_LEFT;
function SHIFT_RIGHT (ARG : ufixed; COUNT : NATURAL) return ufixed is
begin
if (ARG'length < 1) then
return NAUF;
end if;
return ARG sra COUNT;
end function SHIFT_RIGHT;
function SHIFT_LEFT (ARG : sfixed; COUNT : NATURAL) return sfixed is
begin
if (ARG'length < 1) then
return NASF;
end if;
return ARG sla COUNT;
end function SHIFT_LEFT;
function SHIFT_RIGHT (ARG : sfixed; COUNT : NATURAL) return sfixed is
begin
if (ARG'length < 1) then
return NASF;
end if;
return ARG sra COUNT;
end function SHIFT_RIGHT;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (L : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
RESULT := not to_slv(L);
return to_ufixed(RESULT, L'high, L'low);
end function "not";
function "and" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) and to_slv(R);
else
report "FIXED_GENERIC_PKG.""and"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "and";
function "or" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) or to_slv(R);
else
report "FIXED_GENERIC_PKG.""or"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "or";
function "nand" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nand to_slv(R);
else
report "FIXED_GENERIC_PKG.""nand"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "nand";
function "nor" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nor to_slv(R);
else
report "FIXED_GENERIC_PKG.""nor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "nor";
function "xor" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "xor";
function "xnor" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xnor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xnor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "xnor";
function "not" (L : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
RESULT := not to_slv(L);
return to_sfixed(RESULT, L'high, L'low);
end function "not";
function "and" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) and to_slv(R);
else
report "FIXED_GENERIC_PKG.""and"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "and";
function "or" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) or to_slv(R);
else
report "FIXED_GENERIC_PKG.""or"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "or";
function "nand" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nand to_slv(R);
else
report "FIXED_GENERIC_PKG.""nand"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "nand";
function "nor" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nor to_slv(R);
else
report "FIXED_GENERIC_PKG.""nor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "nor";
function "xor" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "xor";
function "xnor" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xnor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xnor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "xnor";
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L and R(i);
end loop;
return result;
end function "and";
function "and" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) and R;
end loop;
return result;
end function "and";
function "or" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L or R(i);
end loop;
return result;
end function "or";
function "or" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) or R;
end loop;
return result;
end function "or";
function "nand" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L nand R(i);
end loop;
return result;
end function "nand";
function "nand" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nand R;
end loop;
return result;
end function "nand";
function "nor" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L nor R(i);
end loop;
return result;
end function "nor";
function "nor" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nor R;
end loop;
return result;
end function "nor";
function "xor" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L xor R(i);
end loop;
return result;
end function "xor";
function "xor" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xor R;
end loop;
return result;
end function "xor";
function "xnor" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L xnor R(i);
end loop;
return result;
end function "xnor";
function "xnor" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xnor R;
end loop;
return result;
end function "xnor";
function "and" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L and R(i);
end loop;
return result;
end function "and";
function "and" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) and R;
end loop;
return result;
end function "and";
function "or" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L or R(i);
end loop;
return result;
end function "or";
function "or" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) or R;
end loop;
return result;
end function "or";
function "nand" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L nand R(i);
end loop;
return result;
end function "nand";
function "nand" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nand R;
end loop;
return result;
end function "nand";
function "nor" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L nor R(i);
end loop;
return result;
end function "nor";
function "nor" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nor R;
end loop;
return result;
end function "nor";
function "xor" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L xor R(i);
end loop;
return result;
end function "xor";
function "xor" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xor R;
end loop;
return result;
end function "xor";
function "xnor" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L xnor R(i);
end loop;
return result;
end function "xnor";
function "xnor" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xnor R;
end loop;
return result;
end function "xnor";
-- Reduction operators, same as numeric_std functions
-- %%% remove 12 functions (old syntax)
function and_reduce(arg : ufixed) return STD_ULOGIC is
begin
return and_reducex (to_slv(arg));
end function and_reduce;
function nand_reduce(arg : ufixed) return STD_ULOGIC is
begin
return not and_reducex (to_slv(arg));
end function nand_reduce;
function or_reduce(arg : ufixed) return STD_ULOGIC is
begin
return or_reducex (to_slv(arg));
end function or_reduce;
function nor_reduce(arg : ufixed) return STD_ULOGIC is
begin
return not or_reducex (to_slv(arg));
end function nor_reduce;
function xor_reduce(arg : ufixed) return STD_ULOGIC is
begin
return xor_reducex (to_slv(arg));
end function xor_reduce;
function xnor_reduce(arg : ufixed) return STD_ULOGIC is
begin
return not xor_reducex (to_slv(arg));
end function xnor_reduce;
function and_reduce(arg : sfixed) return STD_ULOGIC is
begin
return and_reducex (to_slv(arg));
end function and_reduce;
function nand_reduce(arg : sfixed) return STD_ULOGIC is
begin
return not and_reducex (to_slv(arg));
end function nand_reduce;
function or_reduce(arg : sfixed) return STD_ULOGIC is
begin
return or_reducex (to_slv(arg));
end function or_reduce;
function nor_reduce(arg : sfixed) return STD_ULOGIC is
begin
return not or_reducex (to_slv(arg));
end function nor_reduce;
function xor_reduce(arg : sfixed) return STD_ULOGIC is
begin
return xor_reducex (to_slv(arg));
end function xor_reduce;
function xnor_reduce(arg : sfixed) return STD_ULOGIC is
begin
return not xor_reducex (to_slv(arg));
end function xnor_reduce;
-- %%% Uncomment the following 12 functions (new syntax)
-- function "and" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return and to_slv(arg);
-- end function "and";
-- function "nand" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return nand to_slv(arg);
-- end function "nand";;
-- function "or" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return or to_slv(arg);
-- end function "or";
-- function "nor" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return nor to_slv(arg);
-- end function "nor";
-- function "xor" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return xor to_slv(arg);
-- end function "xor";
-- function "xnor" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return xnor to_slv(arg);
-- end function "xnor";
-- function "and" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return and to_slv(arg);
-- end function "and";;
-- function "nand" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return nand to_slv(arg);
-- end function "nand";;
-- function "or" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return or to_slv(arg);
-- end function "or";
-- function "nor" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return nor to_slv(arg);
-- end function "nor";
-- function "xor" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return xor to_slv(arg);
-- end function "xor";
-- function "xnor" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return xnor to_slv(arg);
-- end function "xnor";
-- %%% Replace with the following (new syntax)
-- function "?=" (L, R : ufixed) return STD_ULOGIC is
function \?=\ (L, R : ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '1';
for i in lresize'reverse_range loop
result1 := \?=\(lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- end function "?=";
-- function "?/=" (L, R : ufixed) return STD_ULOGIC is
function \?/=\ (L, R : ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?/=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?/="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '0';
for i in lresize'reverse_range loop
result1 := \?/=\ (lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- end function "?/=";
-- function "?>" (L, R : ufixed) return STD_ULOGIC is
function \?>\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?>
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l > r then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- end function "?>";
-- function "?>=" (L, R : ufixed) return STD_ULOGIC is
function \?>=\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?>=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l >= r then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- end function "?>=";
-- function "?<" (L, R : ufixed) return STD_ULOGIC is
function \?<\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?<
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l < r then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- end function "?<";
-- function "?<=" (L, R : ufixed) return STD_ULOGIC is
function \?<=\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?<=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l <= r then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- end function "?<=";
-- function "?=" (L, R : sfixed) return STD_ULOGIC is
function \?=\ (L, R : sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '1';
for i in lresize'reverse_range loop
result1 := \?=\ (lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- end function "?=";
-- function "?/=" (L, R : sfixed) return STD_ULOGIC is
function \?/=\ (L, R : sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?/=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?/="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '0';
for i in lresize'reverse_range loop
result1 := \?/=\ (lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- end function "?/=";
-- function "?>" (L, R : sfixed) return STD_ULOGIC is
function \?>\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?>
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l > r then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- end function "?>";
-- function "?>=" (L, R : sfixed) return STD_ULOGIC is
function \?>=\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?>=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l >= r then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- end function "?>=";
-- function "?<" (L, R : sfixed) return STD_ULOGIC is
function \?<\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?<
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l < r then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- end function "?<";
-- function "?<=" (L, R : sfixed) return STD_ULOGIC is
function \?<=\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?<=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l <= r then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- end function "?<=";
-- %%% end replace
-- Match function, similar to "std_match" from numeric_std
function std_match (L, R : ufixed) return BOOLEAN is
begin
if (L'high = R'high and L'low = R'low) then
return std_match(to_slv(L), to_slv(R));
else
report "FIXED_GENERIC_PKG.STD_MATCH: L'RANGE /= R'RANGE, returning FALSE"
severity warning;
return false;
end if;
end function std_match;
function std_match (L, R : sfixed) return BOOLEAN is
begin
if (L'high = R'high and L'low = R'low) then
return std_match(to_slv(L), to_slv(R));
else
report "FIXED_GENERIC_PKG.STD_MATCH: L'RANGE /= R'RANGE, returning FALSE"
severity warning;
return false;
end if;
end function std_match;
--%%% end remove
-- compare functions
function "=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv = rslv;
end function "=";
function "=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv = rslv;
end function "=";
function "/=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": null argument detected, returning TRUE"
severity warning;
return true;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": metavalue detected, returning TRUE"
severity warning;
return true;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv /= rslv;
end function "/=";
function "/=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": null argument detected, returning TRUE"
severity warning;
return true;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": metavalue detected, returning TRUE"
severity warning;
return true;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv /= rslv;
end function "/=";
function ">" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv > rslv;
end function ">";
function ">" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv > rslv;
end function ">";
function "<" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv < rslv;
end function "<";
function "<" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv < rslv;
end function "<";
function ">=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv >= rslv;
end function ">=";
function ">=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv >= rslv;
end function ">=";
function "<=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv <= rslv;
end function "<=";
function "<=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv <= rslv;
end function "<=";
-- overloads of the default maximum and minimum functions
function maximum (l, r : ufixed) return ufixed is
begin
if l > r then return l;
else return r;
end if;
end function maximum;
function maximum (l, r : sfixed) return sfixed is
begin
if l > r then return l;
else return r;
end if;
end function maximum;
function minimum (l, r : ufixed) return ufixed is
begin
if l > r then return r;
else return l;
end if;
end function minimum;
function minimum (l, r : sfixed) return sfixed is
begin
if l > r then return r;
else return l;
end if;
end function minimum;
function to_ufixed (
arg : NATURAL; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return ufixed is
variable argx : INTEGER;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : ufixed (left_index downto fw) := (others => '0');
variable sresult : UNSIGNED (left_index downto 0); -- integer portion
variable bound : NATURAL; -- find the numerical bounds
begin
if (left_index < fw) then
return NAUF;
end if;
if left_index >= 0 then
if (left_index < 30) then
bound := 2**(left_index+1);
else
bound := INTEGER'high;
end if;
end if;
if (arg /= 0) then
if arg >= bound or left_index < 0 then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_UFIXED(NATURAL): vector truncated"
severity warning;
if (overflow_style = fixed_wrap) then -- wrap
if bound = 0 then
argx := 0;
else
argx := arg mod bound;
end if;
else -- saturate
return saturate (result'high, result'low);
end if;
else
argx := arg;
end if;
else
return result; -- return zero
end if;
sresult := to_unsigned (argx, sresult'high+1);
result := resize (arg => ufixed (sresult),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_ufixed;
function to_sfixed (
arg : INTEGER; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
variable argx : INTEGER;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : sfixed (left_index downto fw) := (others => '0');
variable sresult : SIGNED (left_index+1 downto 0); -- integer portion
variable bound : NATURAL := 0;
begin
if (left_index < fw) then -- null range
return NASF;
end if;
if left_index >= 0 then
if (left_index < 30) then
bound := 2**(left_index);
else
bound := INTEGER'high;
end if;
end if;
if (arg /= 0) then
if (arg >= bound or arg < -bound or left_index < 0) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_SFIXED(INTEGER): vector truncated"
severity warning;
if overflow_style = fixed_wrap then -- wrap
if bound = 0 then -- negative integer_range trap
argx := 0;
else -- shift off the top bits
argx := arg rem (bound*2);
end if;
else -- saturate
if arg < 0 then
result := not saturate (result'high, result'low); -- underflow
else
result := saturate (result'high, result'low); -- overflow
end if;
return result;
end if;
else
argx := arg;
end if;
else
return result; -- return zero
end if;
sresult := to_signed (argx, sresult'length);
result := resize (arg => sfixed (sresult),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_sfixed;
function to_ufixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed is
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : ufixed (left_index downto fw) := (others => '0');
variable Xresult : ufixed (left_index downto fw-guard_bits) := (others => '0');
variable presult : REAL;
variable overflow_needed : BOOLEAN;
begin
-- If negative or null range, return.
if (left_index < fw) then
return NAUF;
end if;
if (arg < 0.0) then
report "FIXED_GENERIC_PKG.TO_UFIXED: Negative argument passed "
& REAL'image(arg) severity error;
return result;
end if;
presult := arg;
if presult >= (2.0**(left_index+1)) then
assert NO_WARNING report "FIXED_GENERIC_PKG.TO_UFIXED(REAL): vector truncated"
severity warning;
overflow_needed := (overflow_style = fixed_saturate);
if overflow_style = fixed_wrap then
presult := presult mod (2.0**(left_index+1)); -- wrap
else
return saturate (result'high, result'low);
end if;
end if;
for i in Xresult'range loop
if presult >= 2.0**i then
Xresult(i) := '1';
presult := presult - 2.0**i;
else
Xresult(i) := '0';
end if;
end loop;
if guard_bits > 0 and round_style = fixed_round then
result := round_fixed (arg => Xresult (left_index
downto right_index),
remainder => Xresult (right_index-1 downto
right_index-guard_bits),
overflow_style => overflow_style);
else
result := Xresult (result'range);
end if;
return result;
end function to_ufixed;
function to_sfixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed is
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : sfixed (left_index downto fw) := (others => '0');
variable Xresult : sfixed (left_index+1 downto fw-guard_bits) := (others => '0');
variable presult : REAL;
begin
if (left_index < fw) then -- null range
return NASF;
end if;
if (arg >= (2.0**left_index) or arg < -(2.0**left_index)) then
assert NO_WARNING report "FIXED_GENERIC_PKG.TO_SFIXED(REAL): vector truncated"
severity warning;
if overflow_style = fixed_saturate then
if arg < 0.0 then -- saturate
result := not saturate (result'high, result'low); -- underflow
else
result := saturate (result'high, result'low); -- overflow
end if;
return result;
else
presult := abs(arg) mod (2.0**(left_index+1)); -- wrap
end if;
else
presult := abs(arg);
end if;
for i in Xresult'range loop
if presult >= 2.0**i then
Xresult(i) := '1';
presult := presult - 2.0**i;
else
Xresult(i) := '0';
end if;
end loop;
if arg < 0.0 then
Xresult := to_fixed(-to_s(Xresult), Xresult'high, Xresult'low);
end if;
if guard_bits > 0 and round_style then
result := round_fixed (arg => Xresult (left_index
downto right_index),
remainder => Xresult (right_index-1 downto
right_index-guard_bits),
overflow_style => overflow_style);
else
result := Xresult (result'range);
end if;
return result;
end function to_sfixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return ufixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : ufixed (left_index downto fw);
begin
if arg'length < 1 or (left_index < fw) then
return NAUF;
end if;
result := resize (arg => ufixed (XARG),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_ufixed;
-- casted version
function to_ufixed (
arg : UNSIGNED) -- unsigned
return ufixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG;
begin
if arg'length < 1 then
return NAUF;
end if;
return ufixed(xarg);
end function to_ufixed;
function to_sfixed (
arg : SIGNED; -- signed
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : SIGNED(ARG_LEFT downto 0) is ARG;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : sfixed (left_index downto fw);
begin
if arg'length < 1 or (left_index < fw) then
return NASF;
end if;
result := resize (arg => sfixed (XARG),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_sfixed;
-- casted version
function to_sfixed (
arg : SIGNED) -- signed
return sfixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : SIGNED(ARG_LEFT downto 0) is ARG;
begin
if arg'length < 1 then
return NASF;
end if;
return sfixed(xarg);
end function to_sfixed;
function add_sign (arg : ufixed) return sfixed is
variable result : sfixed (arg'high+1 downto arg'low);
begin
if arg'length < 1 then
return NASF;
end if;
result (arg'high downto arg'low) := sfixed(cleanvec(arg));
result (arg'high+1) := '0';
return result;
end function add_sign;
-- Because of the farily complicated sizing rules in the fixed point
-- packages these functions are provided to compute the result ranges
-- Example:
-- signal uf1 : ufixed (3 downto -3);
-- signal uf2 : ufixed (4 downto -2);
-- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto
-- ufixed_low (3, -3, '*', 4, -2));
-- uf1multuf2 <= uf1 * uf2;
-- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod),
-- '1' (reciprocal), 'A', 'a' (abs), 'N', 'n' (-sfixed)
function ufixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return maximum (left_index, left_index2) + 1;
when '*' => return left_index + left_index2 + 1;
when '/' => return left_index - right_index2;
when '1' => return -right_index; -- reciprocal
when 'R'|'r' => return mins (left_index, left_index2); -- "rem"
when 'M'|'m' => return mins (left_index, left_index2); -- "mod"
when others => return left_index; -- For abs and default
end case;
end function ufixed_high;
function ufixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return mins (right_index, right_index2);
when '*' => return right_index + right_index2;
when '/' => return right_index - left_index2 - 1;
when '1' => return -left_index - 1; -- reciprocal
when 'R'|'r' => return mins (right_index, right_index2); -- "rem"
when 'M'|'m' => return mins (right_index, right_index2); -- "mod"
when others => return right_index; -- for abs and default
end case;
end function ufixed_low;
function sfixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return maximum (left_index, left_index2) + 1;
when '*' => return left_index + left_index2 + 1;
when '/' => return left_index - right_index2 + 1;
when '1' => return -right_index + 1; -- reciprocal
when 'R'|'r' => return mins (left_index, left_index2); -- "rem"
when 'M'|'m' => return left_index2; -- "mod"
when 'A'|'a' => return left_index + 1; -- "abs"
when 'N'|'n' => return left_index + 1; -- -sfixed
when others => return left_index;
end case;
end function sfixed_high;
function sfixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return mins (right_index, right_index2);
when '*' => return right_index + right_index2;
when '/' => return right_index - left_index2;
when '1' => return -left_index; -- reciprocal
when 'R'|'r' => return mins (right_index, right_index2); -- "rem"
when 'M'|'m' => return mins (right_index, right_index2); -- "mod"
when others => return right_index; -- default for abs, neg and default
end case;
end function sfixed_low;
-- Same as above, but using the "size_res" input only for their ranges:
-- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto
-- ufixed_low (uf1, '*', uf2));
-- uf1multuf2 <= uf1 * uf2;
function ufixed_high (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER is
begin
return ufixed_high (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function ufixed_high;
function ufixed_low (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER is
begin
return ufixed_low (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function ufixed_low;
function sfixed_high (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER is
begin
return sfixed_high (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function sfixed_high;
function sfixed_low (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER is
begin
return sfixed_low (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function sfixed_low;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
constant sat : ufixed (left_index downto right_index) := (others => '1');
begin
return sat;
end function saturate;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable sat : sfixed (left_index downto right_index) := (others => '1');
begin
-- saturate positive, to saturate negative, just do "not saturate()"
sat (left_index) := '0';
return sat;
end function saturate;
function saturate (
size_res : ufixed) -- only the size of this is used
return ufixed is
begin
return saturate (size_res'high, size_res'low);
end function saturate;
function saturate (
size_res : sfixed) -- only the size of this is used
return sfixed is
begin
return saturate (size_res'high, size_res'low);
end function saturate;
-- As a concession to those who use a graphical DSP environment,
-- these functions take parameters in those tools format and create
-- fixed point numbers. These functions are designed to convert from
-- a std_logic_vector to the VHDL fixed point format using the conventions
-- of these packages. In a pure VHDL environment you should use the
-- "to_ufixed" and "to_sfixed" routines.
-- Unsigned fixed point
function to_UFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return ufixed is
variable result : ufixed (width-fraction-1 downto -fraction);
begin
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_UFIX (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NAUF;
else
result := to_ufixed (arg, result'high, result'low);
return result;
end if;
end function to_UFix;
-- signed fixed point
function to_SFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return sfixed is
variable result : sfixed (width-fraction-1 downto -fraction);
begin
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_SFIX (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NASF;
else
result := to_sfixed (arg, result'high, result'low);
return result;
end if;
end function to_SFix;
-- finding the bounds of a number. These functions can be used like this:
-- signal xxx : ufixed (7 downto -3);
-- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))"
-- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3)
-- downto UFix_low(11, 3, "+", 11, 3));
-- Where "11" is the width of xxx (xxx'length),
-- and 3 is the lower bound (abs (xxx'low))
-- In a pure VHDL environment use "ufixed_high" and "ufixed_low"
function ufix_high (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return ufixed_high (left_index => width - 1 - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - 1 - fraction2,
right_index2 => -fraction2);
end function ufix_high;
function ufix_low (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return ufixed_low (left_index => width - 1 - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - 1 - fraction2,
right_index2 => -fraction2);
end function ufix_low;
function sfix_high (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return sfixed_high (left_index => width - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - fraction2,
right_index2 => -fraction2);
end function sfix_high;
function sfix_low (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return sfixed_low (left_index => width - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - fraction2,
right_index2 => -fraction2);
end function sfix_low;
function to_unsigned (
arg : ufixed; -- ufixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED is
begin
return to_uns(resize (arg => arg,
left_index => size-1,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
end function to_unsigned;
function to_unsigned (
arg : ufixed; -- ufixed point input
size_res : UNSIGNED; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED is
begin
return to_unsigned (arg => arg,
size => size_res'length,
round_style => round_style,
overflow_style => overflow_style);
end function to_unsigned;
function to_signed (
arg : sfixed; -- ufixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED is
begin
return to_s(resize (arg => arg,
left_index => size-1,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
end function to_signed;
function to_signed (
arg : sfixed; -- ufixed point input
size_res : SIGNED; -- used for length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED is
begin
return to_signed (arg => arg,
size => size_res'length,
round_style => round_style,
overflow_style => overflow_style);
end function to_signed;
function to_real (
arg : ufixed) -- ufixed point input
return REAL is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable result : REAL; -- result
variable arg_int : ufixed (left_index downto right_index);
begin
if (arg'length < 1) then
return 0.0;
end if;
arg_int := cleanvec(arg);
if (Is_X(arg_int)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_REAL: metavalue detected, returning 0.0"
severity warning;
return 0.0;
end if;
result := 0.0;
for i in arg_int'range loop
if (arg_int(i) = '1') then
result := result + (2.0**i);
end if;
end loop;
return result;
end function to_real;
function to_real (
arg : sfixed) -- ufixed point input
return REAL is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable result : REAL; -- result
variable arg_int : sfixed (left_index downto right_index);
-- unsigned version of argument
variable arg_uns : ufixed (left_index downto right_index);
-- absolute of argument
begin
if (arg'length < 1) then
return 0.0;
end if;
arg_int := cleanvec(arg);
if (Is_X(arg_int)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_REAL: metavalue detected, returning 0.0"
severity warning;
return 0.0;
end if;
arg_uns := abs(arg_int);
result := to_real (arg_uns);
if (arg_int(arg_int'high) = '1') then
result := -result;
end if;
return result;
end function to_real;
function to_integer (
arg : ufixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return NATURAL is
constant left_index : INTEGER := arg'high;
variable arg_uns : UNSIGNED (minimum(31, left_index+1) downto 0)
:= (others => '0');
begin
if (arg'length < 1) then
return 0;
end if;
if (Is_X (arg)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_INTEGER: metavalue detected, returning 0"
severity warning;
return 0;
end if;
if (left_index < -1) then
return 0;
end if;
arg_uns := to_uns(resize (arg => arg,
left_index => arg_uns'high,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
return to_integer (arg_uns);
end function to_integer;
function to_integer (
arg : sfixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return INTEGER is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable arg_s : SIGNED (minimum(31, left_index+1) downto 0);
begin
if (arg'length < 1) then
return 0;
end if;
if (Is_X (arg)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_INTEGER: metavalue detected, returning 0"
severity warning;
return 0;
end if;
if (left_index < -1) then
return 0;
end if;
arg_s := to_s(resize (arg => arg,
left_index => arg_s'high,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
return to_integer (arg_s);
end function to_integer;
function to_01 (
s : ufixed; -- ufixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return ufixed is
variable result : ufixed (s'range); -- result
begin
for i in s'range loop
case s(i) is
when '0' | 'L' => result(i) := '0';
when '1' | 'H' => result(i) := '1';
when others => result(i) := XMAP;
end case;
end loop;
return result;
end function to_01;
function to_01 (
s : sfixed; -- ufixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return sfixed is
variable result : sfixed (s'range);
begin
for i in s'range loop
case s(i) is
when '0' | 'L' => result(i) := '0';
when '1' | 'H' => result(i) := '1';
when others => result(i) := XMAP;
end case;
end loop;
return result;
end function to_01;
function Is_X (
arg : ufixed)
return BOOLEAN is
variable argslv : STD_LOGIC_VECTOR (arg'length-1 downto 0); -- slv
begin
argslv := to_slv(arg);
return Is_X(argslv);
end function Is_X;
function Is_X (
arg : sfixed)
return BOOLEAN is
variable argslv : STD_LOGIC_VECTOR (arg'length-1 downto 0); -- slv
begin
argslv := to_slv(arg);
return Is_X(argslv);
end function Is_X;
function To_X01 (
arg : ufixed)
return ufixed is
begin
return to_ufixed (To_X01(to_slv(arg)), arg'high, arg'low);
end function To_X01;
function to_X01 (
arg : sfixed)
return sfixed is
begin
return to_sfixed (To_X01(to_slv(arg)), arg'high, arg'low);
end function To_X01;
function To_X01Z (
arg : ufixed)
return ufixed is
begin
return to_ufixed (To_X01Z(to_slv(arg)), arg'high, arg'low);
end function To_X01Z;
function to_X01Z (
arg : sfixed)
return sfixed is
begin
return to_sfixed (To_X01Z(to_slv(arg)), arg'high, arg'low);
end function To_X01Z;
function To_UX01 (
arg : ufixed)
return ufixed is
begin
return to_ufixed (To_UX01(to_slv(arg)), arg'high, arg'low);
end function To_UX01;
function to_UX01 (
arg : sfixed)
return sfixed is
begin
return to_sfixed (To_UX01(to_slv(arg)), arg'high, arg'low);
end function To_UX01;
function resize (
arg : ufixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed is
constant arghigh : INTEGER := maximum (arg'high, arg'low);
constant arglow : INTEGER := mine (arg'high, arg'low);
variable invec : ufixed (arghigh downto arglow);
variable result : ufixed(left_index downto right_index) :=
(others => '0');
variable needs_rounding : BOOLEAN := false;
begin -- resize
if (arg'length < 1) or (result'length < 1) then
return NAUF;
elsif (invec'length < 1) then
return result; -- string literal value
else
invec := cleanvec(arg);
if (right_index > arghigh) then -- return top zeros
needs_rounding := (round_style = fixed_round) and
(right_index = arghigh+1);
elsif (left_index < arglow) then -- return overflow
if (overflow_style = fixed_saturate) and
(or_reducex(to_slv(invec)) = '1') then
result := saturate (result'high, result'low); -- saturate
end if;
elsif (arghigh > left_index) then
-- wrap or saturate?
if (overflow_style and
or_reducex(to_slv(invec(arghigh downto left_index+1))) = '1')
then
result := saturate (result'high, result'low); -- saturate
else
if (arglow >= right_index) then
result (left_index downto arglow) :=
invec(left_index downto arglow);
else
result (left_index downto right_index) :=
invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
end if;
else -- arghigh <= integer width
if (arglow >= right_index) then
result (arghigh downto arglow) := invec;
else
result (arghigh downto right_index) :=
invec (arghigh downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
end if;
-- Round result
if needs_rounding then
result := round_fixed (arg => result,
remainder => invec (right_index-1
downto arglow),
overflow_style => overflow_style);
end if;
return result;
end if;
end function resize;
function resize (
arg : sfixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return sfixed is
constant arghigh : INTEGER := maximum (arg'high, arg'low);
constant arglow : INTEGER := mine (arg'high, arg'low);
variable invec : sfixed (arghigh downto arglow);
variable result : sfixed(left_index downto right_index) :=
(others => '0');
variable reduced : STD_ULOGIC;
variable needs_rounding : BOOLEAN := false; -- rounding
begin -- resize
if (arg'length < 1) or (result'length < 1) then
return NASF;
elsif (invec'length < 1) then
return result; -- string literal value
else
invec := cleanvec(arg);
if (right_index > arghigh) then -- return top zeros
if (arg'low /= INTEGER'low) then -- check for a literal
result := (others => arg(arghigh)); -- sign extend
end if;
needs_rounding := (round_style = fixed_round) and
(right_index = arghigh+1);
elsif (left_index < arglow) then -- return overflow
if (overflow_style) then
reduced := or_reducex(to_slv(invec));
if (reduced = '1') then
if (invec(arghigh) = '0') then
-- saturate POSITIVE
result := saturate (result'high, result'low);
else
-- saturate negative
result := not saturate (result'high, result'low);
end if;
-- else return 0 (input was 0)
end if;
-- else return 0 (wrap)
end if;
elsif (arghigh > left_index) then
if (invec(arghigh) = '0') then
reduced := or_reducex(to_slv(invec(arghigh-1 downto
left_index)));
if overflow_style and reduced = '1' then
-- saturate positive
result := saturate (result'high, result'low);
else
if (right_index > arglow) then
result := invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round);
else
result (left_index downto arglow) :=
invec (left_index downto arglow);
end if;
end if;
else
reduced := and_reducex(to_slv(invec(arghigh-1 downto
left_index)));
if overflow_style and reduced = '0' then
result := not saturate (result'high, result'low);
else
if (right_index > arglow) then
result := invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round);
else
result (left_index downto arglow) :=
invec (left_index downto arglow);
end if;
end if;
end if;
else -- arghigh <= integer width
if (arglow >= right_index) then
result (arghigh downto arglow) := invec;
else
result (arghigh downto right_index) :=
invec (arghigh downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
if (left_index > arghigh) then -- sign extend
result(left_index downto arghigh+1) := (others => invec(arghigh));
end if;
end if;
-- Round result
if (needs_rounding) then
result := round_fixed (arg => result,
remainder => invec (right_index-1
downto arglow),
overflow_style => overflow_style);
end if;
return result;
end if;
end function resize;
-- size_res functions
-- These functions compute the size from a passed variable named "size_res"
-- The only part of this variable used it it's size, it is never passed
-- to a lower level routine.
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => to_stdlogicvector(arg),
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => to_stdlogicvector(arg),
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : NATURAL; -- integer
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : INTEGER; -- integer
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : REAL; -- real
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
guard_bits => guard_bits,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : REAL; -- real
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
guard_bits => guard_bits,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : SIGNED; -- signed
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function resize (
arg : ufixed; -- input
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := resize (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function resize;
function resize (
arg : sfixed; -- input
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := resize (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function resize;
-- Overloaded functions
function "+" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l +
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "+";
function "+" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
+ r);
end function "+";
function "+" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l +
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "+";
function "+" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
+ r);
end function "+";
-- Overloaded functions
function "-" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l -
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "-";
function "-" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
- r);
end function "-";
function "-" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l -
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "-";
function "-" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
- r);
end function "-";
-- Overloaded functions
function "*" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l *
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "*";
function "*" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
* r);
end function "*";
function "*" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l *
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "*";
function "*" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
* r);
end function "*";
-- Overloaded functions
function "/" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l /
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/";
function "/" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/ r);
end function "/";
function "/" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l /
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/";
function "/" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/ r);
end function "/";
-- Overloaded functions
function "rem" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l rem
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "rem";
function "rem" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
rem r);
end function "rem";
function "rem" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l rem
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "rem";
function "rem" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
rem r);
end function "rem";
function "mod" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l mod
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "mod";
function "mod" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
mod r);
end function "mod";
function "mod" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l mod
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "mod";
function "mod" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
mod r);
end function "mod";
-- Overloaded functions for integers
function "+" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l + to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)); -- rounding not needed
end function "+";
function "+" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
+ r);
end function "+";
function "+" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l + to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "+";
function "+" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
+ r);
end function "+";
-- Overloaded functions
function "-" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l - to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "-";
function "-" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
- r);
end function "-";
function "-" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l - to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "-";
function "-" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
- r);
end function "-";
-- Overloaded functions
function "*" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l * to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "*";
function "*" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
* r);
end function "*";
function "*" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l * to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "*";
function "*" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
* r);
end function "*";
-- Overloaded functions
function "/" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l / to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/";
function "/" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/ r);
end function "/";
function "/" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l / to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/";
function "/" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/ r);
end function "/";
-- Overloaded functions
function "rem" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l rem to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "rem";
function "rem" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
rem r);
end function "rem";
function "rem" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l rem to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "rem";
function "rem" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
rem r);
end function "rem";
function "mod" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l mod to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "mod";
function "mod" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
mod r);
end function "mod";
function "mod" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l mod to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "mod";
function "mod" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
mod r);
end function "mod";
-- overloaded compare functions
function "=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l = to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "=";
function "/=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l /= to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/=";
function ">=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l >= to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">=";
function "<=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l <= to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<=";
function ">" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l > to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">";
function "<" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l < to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<";
function "=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
= r);
end function "=";
function "/=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/= r);
end function "/=";
function ">=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
>= r);
end function ">=";
function "<=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
<= r);
end function "<=";
function ">" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
> r);
end function ">";
function "<" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
< r);
end function "<";
function "=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l =
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "=";
function "/=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l /=
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/=";
function ">=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >=
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">=";
function "<=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <=
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<=";
function ">" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">";
function "<" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<";
function "=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
= r);
end function "=";
function "/=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/= r);
end function "/=";
function ">=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
>= r);
end function ">=";
function "<=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
<= r);
end function "<=";
function ">" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
> r);
end function ">";
function "<" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
< r);
end function "<";
function "=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l = to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "=";
function "/=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l /= to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/=";
function ">=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l >= to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">=";
function "<=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l <= to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<=";
function ">" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l > to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">";
function "<" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l < to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<";
function "=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
= r);
end function "=";
function "/=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/= r);
end function "/=";
function ">=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
>= r);
end function ">=";
function "<=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
<= r);
end function "<=";
function ">" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
> r);
end function ">";
function "<" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
< r);
end function "<";
function "=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l =
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "=";
function "/=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l /=
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/=";
function ">=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >=
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">=";
function "<=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <=
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<=";
function ">" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">";
function "<" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<";
function "=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
= r);
end function "=";
function "/=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/= r);
end function "/=";
function ">=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
>= r);
end function ">=";
function "<=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
<= r);
end function "<=";
function ">" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
> r);
end function ">";
function "<" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
< r);
end function "<";
-- rtl_synthesis off
-- synthesis translate_off
-- copied from std_logic_textio
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' ');
-- purpose: writes fixed point into a line
procedure write (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable sindx : INTEGER;
begin -- function write Example: 0011.1100
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
write(l, s, justified, field);
end procedure write;
-- purpose: writes fixed point into a line
procedure write (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
variable s : STRING(1 to value'length +1);
variable sindx : INTEGER;
begin -- function write Example: 0011.1100
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
write(l, s, justified, field);
end procedure write;
procedure READ(L : inout LINE;
VALUE : out ufixed) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable s : STRING(1 to value'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER; -- index variable
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Error: end of string encountered"
severity error;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
assert i = value'low
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Warning: Value truncated " severity warning;
return;
elsif c = '.' then -- separator, ignore
assert (i = -1)
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Warning: Decimal point does not match number format "
severity warning;
elsif (char_to_MVL9plus(c) = error) then
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Error: Character '" & c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable i : INTEGER; -- index variable
variable readOk : BOOLEAN;
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
good := true;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
good := false;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done
good := false;
return;
elsif c = '.' then -- separator, ignore
good := (i = -1);
elsif (char_to_MVL9plus(c) = error) then
good := false;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out sfixed) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable i : INTEGER; -- index variable
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Error end of string encountered"
severity error;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
assert i = value'low
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Warning: Value truncated " severity warning;
return;
elsif c = '.' then -- separator, ignore
assert (i = -1)
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Warning: Decimal point does not match number format "
severity warning;
elsif (char_to_MVL9plus(c) = error) then
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Error: Character '" & c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable i : INTEGER; -- index variable
variable readOk : BOOLEAN;
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
good := true;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
good := false;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done
good := false;
return;
elsif c = '.' then -- separator, ignore
good := (i = -1);
elsif (char_to_MVL9plus(c) = error) then
good := false;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
-- octal read and write
procedure owrite (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_ostring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure owrite;
procedure owrite (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_ostring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure owrite;
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_LOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"FIXED_GENERIC_PKG.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
result := "UUU";
good := false;
end case;
end procedure Char2TriBits;
-- Note that for Octal and Hex read, you can not start with a ".",
-- the read is for numbers formatted "A.BC". These routines go to
-- the nearest bounds, so "F.E" will fit into an sfixed (2 downto -3).
procedure OREAD(L : inout LINE;
VALUE : out ufixed) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(ufixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2triBits(c, nybble, igood, true);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(ufixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.OREAD(ufixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2TriBits(c, nybble, igood, true);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')
report "FIXED_GENERIC_PKG.OREAD(ufixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.OREAD(ufixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2triBits(c, nybble, igood, false);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2TriBits(c, nybble, igood, false);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end if;
end loop;
good := igood and -- We did not get another error
(i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0');
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out sfixed) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(sfixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2triBits(c, nybble, igood, true);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(sfixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.OREAD(sfixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2TriBits(c, nybble, igood, true);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) and -- We read everything
((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))
report "FIXED_GENERIC_PKG.OREAD(sfixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.OREAD(sfixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2triBits(c, nybble, igood, false);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2TriBits(c, nybble, igood, false);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end if;
end loop;
good := igood -- We did not get another error
and (i = -1) -- We read everything
and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'));
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
-- hex read and write
procedure hwrite (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_hstring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure hwrite;
-- purpose: writes fixed point into a line
procedure hwrite (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_hstring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure hwrite;
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_LOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"FIXED_GENERIC_PKG.HREAD Error: Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
result := "UUUU";
good := false;
end case;
end procedure Char2QuadBits;
procedure HREAD(L : inout LINE;
VALUE : out ufixed) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(ufixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2QuadBits(c, nybble, igood, true);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(ufixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.HREAD(ufixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2QuadBits(c, nybble, igood, true);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')
report "FIXED_GENERIC_PKG.HREAD(ufixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.HREAD(ufixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2QuadBits(c, nybble, igood, false);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2QuadBits(c, nybble, igood, false);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end if;
end loop;
good := igood and -- We did not get another error
(i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0');
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out sfixed) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(sfixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2QuadBits(c, nybble, igood, true);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(sfixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.HREAD(sfixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2QuadBits(c, nybble, igood, true);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) -- We read everything
and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))
report "FIXED_GENERIC_PKG.HREAD(sfixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.HREAD(sfixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2QuadBits(c, nybble, igood, false);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2QuadBits(c, nybble, igood, false);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end if;
end loop;
good := igood and -- We did not get another error
(i = -1) and -- We read everything
((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'));
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
-----------------------------------------------------------------------------
-- %%% Remove the following 3 functions. They are a duplicate needed for
-- testing
-----------------------------------------------------------------------------
-- purpose: Justify a string to the right
function justify (
value : STRING;
justified : SIDE := right;
field : width := 0)
return STRING is
constant VAL_LEN : INTEGER := value'length;
variable result : STRING (1 to field) := (others => ' ');
begin -- function justify
-- return value if field is too small
if VAL_LEN >= field then
return value;
end if;
if justified = left then
result(1 to VAL_LEN) := value;
elsif justified = right then
result(field - VAL_LEN + 1 to field) := value;
end if;
return result;
end function justify;
function to_ostring (
value : STD_LOGIC_VECTOR;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_LOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_LOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_LOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return justify(result, justified, field);
end if;
end function to_ostring;
-------------------------------------------------------------------
function to_hstring (
value : STD_LOGIC_VECTOR;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_LOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_LOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return justify(result, justified, field);
end if;
end function to_hstring;
-- %%% End remove here
function to_string (
value : ufixed;
justified : SIDE := right;
field : width := 0
) return STRING is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable sindx : INTEGER;
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_string (resize (value, 0, value'low), justified, field);
elsif value'low > 0 then
return to_string (resize (value, value'high, -1), justified, field);
else
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
return justify(s, justified, field);
end if;
end if;
end function to_string;
function to_string (
value : sfixed;
justified : SIDE := right;
field : width := 0
) return STRING is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable sindx : INTEGER;
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_string (resize (value, 0, value'low), justified, field);
elsif value'low > 0 then
return to_string (resize (value, value'high, -1), justified, field);
else
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
return justify(s, justified, field);
end if;
end if;
end function to_string;
function to_ostring (
value : ufixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant lne : INTEGER := (-VALUE'low+2)/3;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_ostring (resize (value, 2, value'low), justified, field);
elsif value'low > 0 then
return to_ostring (resize (value, value'high, -3), justified, field);
else
slv := to_slv (value);
return justify(to_ostring(slv(slv'high downto slv'high-VALUE'high))
& "."
& to_ostring(slv(slv'high-VALUE'high-1 downto 0)&lpad),
justified, field);
end if;
end if;
end function to_ostring;
function to_hstring (
value : ufixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant lne : INTEGER := (-VALUE'low+3)/4;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_hstring (resize (value, 3, value'low), justified, field);
elsif value'low > 0 then
return to_hstring (resize (value, value'high, -4), justified, field);
else
slv := to_slv (value);
return justify(to_hstring(slv(slv'high downto slv'high-VALUE'high))
& "."
& to_hstring(slv(slv'high-VALUE'high-1 downto 0)&lpad),
justified, field);
end if;
end if;
end function to_hstring;
function to_ostring (
value : sfixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := ((value'high+1)+2)/3;
variable pad : STD_LOGIC_VECTOR(0 to (ne*3 - (value'high+1)) - 1);
constant lne : INTEGER := (-VALUE'low+2)/3;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (VALUE'high - VALUE'low downto 0);
begin
if value'length < 1 then
return NUS;
else
pad := (others => value(value'high));
if value'high < 0 then
return to_ostring (resize (value, 2, value'low), justified, field);
elsif value'low > 0 then
return to_ostring (resize (value, value'high, -3), justified, field);
else
slv := to_slv (value);
return justify(to_ostring(pad
& slv(slv'high downto slv'high-VALUE'high))
& "."
& to_ostring(slv(slv'high-VALUE'high-1 downto 0)
& lpad),
justified, field);
end if;
end if;
end function to_ostring;
function to_hstring (
value : sfixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := ((value'high+1)+3)/4;
variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - (value'high+1)) - 1);
constant lne : INTEGER := (-VALUE'low+3)/4;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
pad := (others => value(value'high));
if value'high < 0 then
return to_hstring (resize (value, 3, value'low), justified, field);
elsif value'low > 0 then
return to_hstring (resize (value, value'high, -4), justified, field);
else
slv := to_slv (value);
return justify(to_hstring(pad&slv(slv'high downto slv'high-VALUE'high))
& "."
& to_hstring(slv(slv'high-VALUE'high-1 downto 0)&lpad),
justified, field);
end if;
end if;
end function to_hstring;
-- From string functions allow you to convert a string into a fixed
-- point number. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5
-- The "." is optional in this syntax, however it exist and is
-- in the wrong location an error is produced. Overflow will
-- result in saturation.
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
-- Octal and hex conversions work as follows:
-- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped)
-- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped)
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
-- Same as above, "size_res" is used for it's range only.
function from_string (
bstring : STRING; -- binary string
size_res : ufixed)
return ufixed is
variable result : ufixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
size_res : ufixed)
return ufixed is
variable result : ufixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
size_res : ufixed)
return ufixed is
variable result : ufixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
function from_string (
bstring : STRING; -- binary string
size_res : sfixed)
return sfixed is
variable result : sfixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
size_res : sfixed)
return sfixed is
variable result : sfixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
size_res : sfixed)
return sfixed is
variable result : sfixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
-- purpose: find a dot in a string, return -1 if no dot (internal function)
function finddot (
arg : STRING)
return INTEGER is
alias xarg : STRING (arg'length downto 1) is arg; -- make it a downto
begin
for i in xarg'reverse_range loop
if (xarg(i) = '.') then
return i-1;
end if;
end loop;
return -1;
end function finddot;
-- Direct converstion functions. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100"); -- 6.5
-- In this case the "." is not optional, and the size of
-- the output must match exactly.
function from_string (
bstring : STRING) -- binary string
return ufixed is
variable result : ufixed (bstring'length-2 downto 0);
variable result_nodot : ufixed (bstring'length-1 downto 0);
variable bstring_nodot : STRING (1 to bstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(bstring);
if (dot = -1) then
L := new STRING'(bstring);
read (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return result_nodot;
else
j := 1;
for i in 1 to bstring'high loop
if (bstring(i) /= '.') then
bstring_nodot(j) := bstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(bstring_nodot);
read (L, result, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return to_ufixed(to_slv(result), bstring'length-dot-2, -dot);
end if;
end function from_string;
-- Direct octal and hex converstion functions. In this case
-- the string lengths must match. Example:
-- signal sf1 := sfixed (5 downto -3);
-- sf1 <= from_ostring ("71.4") -- -6.5
function from_ostring (
ostring : STRING) -- Octal string
return ufixed is
variable result : STD_LOGIC_VECTOR((ostring'length-1)*3-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((ostring'length)*3-1 downto 0);
variable ostring_nodot : STRING (1 to ostring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(ostring);
if (dot = -1) then
L := new STRING'(ostring);
oread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_ufixed(UNSIGNED(result_nodot));
else
j := 1;
for i in 1 to ostring'high loop
if (ostring(i) /= '.') then
ostring_nodot(j) := ostring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(ostring_nodot);
oread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_ufixed(result, (ostring'length-1-dot)*3-1, -dot*3);
end if;
end function from_ostring;
function from_hstring (
hstring : STRING) -- hex string
return ufixed is
variable result : STD_LOGIC_VECTOR((hstring'length-1)*4-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((hstring'length)*4-1 downto 0);
variable hstring_nodot : STRING (1 to hstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(hstring);
if (dot = -1) then
L := new STRING'(hstring);
hread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return to_ufixed(UNSIGNED(result_nodot));
else
j := 1;
for i in 1 to hstring'high loop
if (hstring(i) /= '.') then
hstring_nodot(j) := hstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(hstring_nodot);
hread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return to_ufixed(result, (hstring'length-1-dot)*4-1, -dot*4);
end if;
end function from_hstring;
function from_string (
bstring : STRING) -- binary string
return sfixed is
variable result : sfixed (bstring'length-2 downto 0);
variable result_nodot : sfixed (bstring'length-1 downto 0);
variable bstring_nodot : STRING (1 to bstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(bstring);
if (dot = -1) then
L := new STRING'(bstring);
read (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return result_nodot;
else
j := 1;
for i in 1 to bstring'high loop
if (bstring(i) /= '.') then
bstring_nodot(j) := bstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(bstring_nodot);
read (L, result, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return to_sfixed(to_slv(result), bstring'length-dot-2, -dot);
end if;
end function from_string;
function from_ostring (
ostring : STRING) -- Octal string
return sfixed is
variable result : STD_LOGIC_VECTOR((ostring'length-1)*3-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((ostring'length)*3-1 downto 0);
variable ostring_nodot : STRING (1 to ostring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(ostring);
if (dot = -1) then
L := new STRING'(ostring);
oread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_sfixed(SIGNED(result_nodot));
else
j := 1;
for i in 1 to ostring'high loop
if (ostring(i) /= '.') then
ostring_nodot(j) := ostring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(ostring_nodot);
oread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_sfixed(result, (ostring'length-1-dot)*3-1, -dot*3);
end if;
end function from_ostring;
function from_hstring (
hstring : STRING) -- hex string
return sfixed is
variable result : STD_LOGIC_VECTOR((hstring'length-1)*4-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((hstring'length)*4-1 downto 0);
variable hstring_nodot : STRING (1 to hstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(hstring);
if (dot = -1) then
L := new STRING'(hstring);
hread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return sfixed(SIGNED(result_nodot));
else
j := 1;
for i in 1 to hstring'high loop
if (hstring(i) /= '.') then
hstring_nodot(j) := hstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(hstring_nodot);
hread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return to_sfixed(result, (hstring'length-1-dot)*4-1, -dot*4);
end if;
end function from_hstring;
-- synthesis translate_on
-- rtl_synthesis on
function to_StdLogicVector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_StdLogicVector;
function to_Std_Logic_Vector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_Std_Logic_Vector;
function to_StdLogicVector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_StdLogicVector;
function to_Std_Logic_Vector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_Std_Logic_Vector;
function to_StdULogicVector (
arg : ufixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_StdULogicVector;
function to_Std_ULogic_Vector (
arg : ufixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_Std_ULogic_Vector;
function to_StdULogicVector (
arg : sfixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_StdULogicVector;
function to_Std_ULogic_Vector (
arg : sfixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_Std_ULogic_Vector;
end package body fixed_pkg;
|
------------------------------------------------------------------------------
-- "fixed_pkg" package contains functions for fixed point math.
-- Please see the documentation for the fixed point package.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee.numeric_std.all;
-- use ieee_proposed.fixed_pkg.all;
-- Last Modified: $Date: 2006/05/09 19:21:24 $
-- RCS ID: $Id: fixed_pkg_c.vhd,v 1.1 2006/05/09 19:21:24 sandeepd Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- synthesis translate_off
use std.textio.all;
-- synthesis translate_on
package fixed_pkg is
--%%% Uncomment the Generics
-- new work.fixed_generic_pkg
-- generic map (
-- fixed_round_style => true; -- fixed_round
-- fixed_overflow_style => true; -- fixed_saturate
-- fixed_guard_bits => 3; -- number of guard bits
-- no_warning => false -- show warnings
-- );
--%%% REMOVE THE REST OF THIS FILE.
constant fixed_round_style : BOOLEAN := true; -- round
constant fixed_overflow_style : BOOLEAN := true; -- saturate
constant fixed_guard_bits : NATURAL := 3; -- number of guard bits
constant no_warning : BOOLEAN := false; -- issue warnings
-- Author David Bishop ([email protected])
-- These 5 constants are used as defaults.
-- There is a mechanism to override them in every function
constant fixed_round : BOOLEAN := true; -- Turn on rounding routine
constant fixed_truncate : BOOLEAN := false; -- Trun off rounding routine
constant fixed_saturate : BOOLEAN := true; -- Saturate large numbers
constant fixed_wrap : BOOLEAN := false; -- Wrap large numbers
constant fixedsynth_or_real : BOOLEAN; -- differed constant
-- base Unsigned fixed point type, downto direction assumed
type ufixed is array (INTEGER range <>) of STD_LOGIC;
-- base Signed fixed point type, downto direction assumed
type sfixed is array (INTEGER range <>) of STD_LOGIC;
-----------------------------------------------------------------------------
-- Fixed point type is defined as follows:
-- 0000000000
-- 4321012345
-- 4 0 -5
-- The decimal point is assumed between the "0" and "-1" index
-- Thus "0011010000" = 6.5 and would be written as 00110.10000
-- All types are assumed to be in the "downto" direction.
--===========================================================================
-- Arithmetic Operators:
--===========================================================================
-- Modify the sign of the number, 2's complement
function "abs" (arg : sfixed) return sfixed;
function "-" (arg : sfixed)return sfixed;
-- Convert a signed fixed to an unsigned fixed
function "abs" (arg : sfixed) return ufixed;
-- Addition
-- ufixed(a downto b) + ufixed(c downto d)
-- = ufixed(max(a,c)+1 downto min(b,d))
function "+" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) + sfixed(c downto d)
-- = sfixed(max(a,c)+1 downto min(b,d))
function "+" (l, r : sfixed) return sfixed;
-- Subtraction
-- ufixed(a downto b) - ufixed(c downto d)
-- = ufixed(max(a,c)+1 downto min(b,d))
function "-" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) - sfixed(c downto d)
-- = sfixed(max(a,c)+1 downto min(b,d))
function "-" (l, r : sfixed) return sfixed;
-- Multiplication
-- ufixed(a downto b) * ufixed(c downto d) = ufixed(a+c+1 downto b+d)
function "*" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) * sfixed(c downto d) = sfixed(a+c+1 downto b+d)
function "*" (l, r : sfixed) return sfixed;
-- Division
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function "/" (l, r : ufixed) return ufixed;
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function "/" (l, r : sfixed) return sfixed;
-- Remainder
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function "rem" (l, r : ufixed) return ufixed;
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function "rem" (l, r : sfixed) return sfixed;
-- Modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function "mod" (l, r : ufixed) return ufixed;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function "mod" (l, r : sfixed) return sfixed;
----------------------------------------------------------------------------
-- Overload routines. In these routines the "real" or "natural" (integer)
-- are converted into a fixed point number and then the operation is
-- performed. It is assumed that the array will be large enough.
-- If the input is "real" then the real number is converted into a fixed of
-- the same size as the fixed point input. If the number is an "integer"
-- then it is converted into fixed with the range (l'high downto 0).
----------------------------------------------------------------------------
-- ufixed(a downto b) + ufixed(a downto b) = ufixed(a+1 downto b)
function "+" (l : ufixed; r : REAL) return ufixed;
-- ufixed(c downto d) + ufixed(c downto d) = ufixed(c+1 downto d)
function "+" (l : REAL; r : ufixed) return ufixed;
-- ufixed(a downto b) + ufixed(a downto 0) = ufixed(a+1 downto min(0,b))
function "+" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto min(0,d))
function "+" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed(a downto b) - ufixed(a downto b) = ufixed(a+1 downto b)
function "-" (l : ufixed; r : REAL) return ufixed;
-- ufixed(c downto d) - ufixed(c downto d) = ufixed(c+1 downto d)
function "-" (l : REAL; r : ufixed) return ufixed;
-- ufixed(a downto b) - ufixed(a downto 0) = ufixed(a+1 downto min(0,b))
function "-" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto min(0,d))
function "-" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed(a downto b) * ufixed(a downto b) = ufixed(2a+1 downto 2b)
function "*" (l : ufixed; r : REAL) return ufixed;
-- ufixed(c downto d) * ufixed(c downto d) = ufixed(2c+1 downto 2d)
function "*" (l : REAL; r : ufixed) return ufixed;
-- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b)
function "*" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b)
function "*" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1)
function "/" (l : ufixed; r : REAL) return ufixed;
-- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1)
function "/" (l : REAL; r : ufixed) return ufixed;
-- ufixed(a downto b) / ufixed(a downto 0) = ufixed(a downto b-a-1)
function "/" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed(c downto 0) / ufixed(c downto d) = ufixed(c-d downto -c-1)
function "/" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed (a downto b) rem ufixed (a downto b) = ufixed (a downto b)
function "rem" (l : ufixed; r : REAL) return ufixed;
-- ufixed (c downto d) rem ufixed (c downto d) = ufixed (c downto d)
function "rem" (l : REAL; r : ufixed) return ufixed;
-- ufixed (a downto b) rem ufixed (a downto 0) = ufixed (a downto min(b,0))
function "rem" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed (c downto 0) rem ufixed (c downto d) = ufixed (c downto min(d,0))
function "rem" (l : NATURAL; r : ufixed) return ufixed;
-- ufixed (a downto b) mod ufixed (a downto b) = ufixed (a downto b)
function "mod" (l : ufixed; r : REAL) return ufixed;
-- ufixed (c downto d) mod ufixed (c downto d) = ufixed (c downto d)
function "mod" (l : REAL; r : ufixed) return ufixed;
-- ufixed (a downto b) mod ufixed (a downto 0) = ufixed (a downto min(b,0))
function "mod" (l : ufixed; r : NATURAL) return ufixed;
-- ufixed (c downto 0) mod ufixed (c downto d) = ufixed (c downto min(d,0))
function "mod" (l : NATURAL; r : ufixed) return ufixed;
-- sfixed(a downto b) + sfixed(a downto b) = sfixed(a+1 downto b)
function "+" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) + sfixed(c downto d) = sfixed(c+1 downto d)
function "+" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) + sfixed(a downto 0) = sfixed(a+1 downto min(0,b))
function "+" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) + sfixed(c downto d) = sfixed(c+1 downto min(0,d))
function "+" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed(a downto b) - sfixed(a downto b) = sfixed(a+1 downto b)
function "-" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) - sfixed(c downto d) = sfixed(c+1 downto d)
function "-" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) - sfixed(a downto 0) = sfixed(a+1 downto min(0,b))
function "-" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) - sfixed(c downto d) = sfixed(c+1 downto min(0,d))
function "-" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed(a downto b) * sfixed(a downto b) = sfixed(2a+1 downto 2b)
function "*" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) * sfixed(c downto d) = sfixed(2c+1 downto 2d)
function "*" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) * sfixed(a downto 0) = sfixed(2a+1 downto b)
function "*" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) * sfixed(c downto d) = sfixed(2c+1 downto d)
function "*" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed(a downto b) / sfixed(a downto b) = sfixed(a-b+1 downto b-a)
function "/" (l : sfixed; r : REAL) return sfixed;
-- sfixed(c downto d) / sfixed(c downto d) = sfixed(c-d+1 downto d-c)
function "/" (l : REAL; r : sfixed) return sfixed;
-- sfixed(a downto b) / sfixed(a downto 0) = sfixed(a+1 downto b-a)
function "/" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed(c downto 0) / sfixed(c downto d) = sfixed(c-d+1 downto -c)
function "/" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed (a downto b) rem sfixed (a downto b) = sfixed (a downto b)
function "rem" (l : sfixed; r : REAL) return sfixed;
-- sfixed (c downto d) rem sfixed (c downto d) = sfixed (c downto d)
function "rem" (l : REAL; r : sfixed) return sfixed;
-- sfixed (a downto b) rem sfixed (a downto 0) = sfixed (a downto min(b,0))
function "rem" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed (c downto 0) rem sfixed (c downto d) = sfixed (c downto min(d,0))
function "rem" (l : INTEGER; r : sfixed) return sfixed;
-- sfixed (a downto b) mod sfixed (a downto b) = sfixed (a downto b)
function "mod" (l : sfixed; r : REAL) return sfixed;
-- sfixed (c downto d) mod sfixed (c downto d) = sfixed (c downto d)
function "mod" (l : REAL; r : sfixed) return sfixed;
-- sfixed (a downto b) mod sfixed (a downto 0) = sfixed (a downto min(b,0))
function "mod" (l : sfixed; r : INTEGER) return sfixed;
-- sfixed (c downto 0) mod sfixed (c downto d) = sfixed (c downto min(d,0))
function "mod" (l : INTEGER; r : sfixed) return sfixed;
-- This version of divide gives the user more control
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function divide (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed;
-- This version of divide gives the user more control
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function divide (
l, r : sfixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed;
-- These functions return 1/X
-- 1 / ufixed(a downto b) = ufixed(-b downto -a-1)
function reciprocal (
arg : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed;
-- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a)
function reciprocal (
arg : sfixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed;
-- REM function
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function remainder (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style)
return ufixed;
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function remainder (
l, r : sfixed;
constant round_style : BOOLEAN := fixed_round_style)
return sfixed;
-- mod function
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function modulo (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style)
return ufixed;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function modulo (
l, r : sfixed;
constant overflow_style : BOOLEAN := fixed_overflow_style;
constant round_style : BOOLEAN := fixed_round_style)
return sfixed;
-- Procedure for those who need an "accumulator" function.
-- add_carry (ufixed(a downto b), ufixed (c downto d))
-- = ufixed (max(a,c) downto min(b,d))
procedure add_carry (
L, R : in ufixed;
c_in : in STD_ULOGIC;
result : out ufixed;
c_out : out STD_ULOGIC);
-- add_carry (sfixed(a downto b), sfixed (c downto d))
-- = sfixed (max(a,c) downto min(b,d))
procedure add_carry (
L, R : in sfixed;
c_in : in STD_ULOGIC;
result : out sfixed;
c_out : out STD_ULOGIC);
-- Scales the result by a power of 2. Width of input = width of output with
-- the decimal point moved.
function scalb (y : ufixed; N : integer) return ufixed;
function scalb (y : ufixed; N : SIGNED) return ufixed;
function scalb (y : sfixed; N : integer) return sfixed;
function scalb (y : sfixed; N : SIGNED) return sfixed;
function Is_Negative (arg : sfixed) return BOOLEAN;
--===========================================================================
-- Comparison Operators
--===========================================================================
function ">" (l, r : ufixed) return BOOLEAN;
function ">" (l, r : sfixed) return BOOLEAN;
function "<" (l, r : ufixed) return BOOLEAN;
function "<" (l, r : sfixed) return BOOLEAN;
function "<=" (l, r : ufixed) return BOOLEAN;
function "<=" (l, r : sfixed) return BOOLEAN;
function ">=" (l, r : ufixed) return BOOLEAN;
function ">=" (l, r : sfixed) return BOOLEAN;
function "=" (l, r : ufixed) return BOOLEAN;
function "=" (l, r : sfixed) return BOOLEAN;
function "/=" (l, r : ufixed) return BOOLEAN;
function "/=" (l, r : sfixed) return BOOLEAN;
--%%% Uncomment the following (new syntax)
-- function "?=" (L, R : ufixed) return BOOLEAN;
-- function "?=" (L, R : sfixed) return BOOLEAN;
-- --%%% remove the following (old syntax)
function \?=\ (L, R : ufixed) return STD_ULOGIC;
function \?=\ (L, R : sfixed) return STD_ULOGIC;
-- These need to be overloaded for sfixed and ufixed
function \?/=\ (L, R : ufixed) return STD_ULOGIC;
function \?>\ (L, R : ufixed) return STD_ULOGIC;
function \?>=\ (L, R : ufixed) return STD_ULOGIC;
function \?<\ (L, R : ufixed) return STD_ULOGIC;
function \?<=\ (L, R : ufixed) return STD_ULOGIC;
function \?/=\ (L, R : sfixed) return STD_ULOGIC;
function \?>\ (L, R : sfixed) return STD_ULOGIC;
function \?>=\ (L, R : sfixed) return STD_ULOGIC;
function \?<\ (L, R : sfixed) return STD_ULOGIC;
function \?<=\ (L, R : sfixed) return STD_ULOGIC;
-- %%% Replace with the following (new syntax)
-- function "?=" (L, R : ufixed) return STD_ULOGIC;
-- function "?/=" (L, R : ufixed) return STD_ULOGIC;
-- function "?>" (L, R : ufixed) return STD_ULOGIC;
-- function "?>=" (L, R : ufixed) return STD_ULOGIC;
-- function "?<" (L, R : ufixed) return STD_ULOGIC;
-- function "?<=" (L, R : ufixed) return STD_ULOGIC;
-- function "?=" (L, R : sfixed) return STD_ULOGIC;
-- function "?/=" (L, R : sfixed) return STD_ULOGIC;
-- function "?>" (L, R : sfixed) return STD_ULOGIC;
-- function "?>=" (L, R : sfixed) return STD_ULOGIC;
-- function "?<" (L, R : sfixed) return STD_ULOGIC;
-- function "?<=" (L, R : sfixed) return STD_ULOGIC;
function std_match (L, R : ufixed) return BOOLEAN;
function std_match (L, R : sfixed) return BOOLEAN;
-- Overloads the default "maximum" and "minimum" function
function maximum (l, r : ufixed) return ufixed;
function minimum (l, r : ufixed) return ufixed;
function maximum (l, r : sfixed) return sfixed;
function minimum (l, r : sfixed) return sfixed;
----------------------------------------------------------------------------
-- In these compare functions a natural is converted into a
-- fixed point number of the bounds "max(l'high,0) downto 0"
----------------------------------------------------------------------------
function "=" (l : ufixed; r : NATURAL) return BOOLEAN;
function "/=" (l : ufixed; r : NATURAL) return BOOLEAN;
function ">=" (l : ufixed; r : NATURAL) return BOOLEAN;
function "<=" (l : ufixed; r : NATURAL) return BOOLEAN;
function ">" (l : ufixed; r : NATURAL) return BOOLEAN;
function "<" (l : ufixed; r : NATURAL) return BOOLEAN;
function "=" (l : NATURAL; r : ufixed) return BOOLEAN;
function "/=" (l : NATURAL; r : ufixed) return BOOLEAN;
function ">=" (l : NATURAL; r : ufixed) return BOOLEAN;
function "<=" (l : NATURAL; r : ufixed) return BOOLEAN;
function ">" (l : NATURAL; r : ufixed) return BOOLEAN;
function "<" (l : NATURAL; r : ufixed) return BOOLEAN;
----------------------------------------------------------------------------
-- In these compare functions a real is converted into a
-- fixed point number of the bounds "l'high+1 downto l'low"
----------------------------------------------------------------------------
function "=" (l : ufixed; r : REAL) return BOOLEAN;
function "/=" (l : ufixed; r : REAL) return BOOLEAN;
function ">=" (l : ufixed; r : REAL) return BOOLEAN;
function "<=" (l : ufixed; r : REAL) return BOOLEAN;
function ">" (l : ufixed; r : REAL) return BOOLEAN;
function "<" (l : ufixed; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : ufixed) return BOOLEAN;
function "/=" (l : REAL; r : ufixed) return BOOLEAN;
function ">=" (l : REAL; r : ufixed) return BOOLEAN;
function "<=" (l : REAL; r : ufixed) return BOOLEAN;
function ">" (l : REAL; r : ufixed) return BOOLEAN;
function "<" (l : REAL; r : ufixed) return BOOLEAN;
----------------------------------------------------------------------------
-- In these compare functions an integer is converted into a
-- fixed point number of the bounds "max(l'high,1) downto 0"
----------------------------------------------------------------------------
function "=" (l : sfixed; r : INTEGER) return BOOLEAN;
function "/=" (l : sfixed; r : INTEGER) return BOOLEAN;
function ">=" (l : sfixed; r : INTEGER) return BOOLEAN;
function "<=" (l : sfixed; r : INTEGER) return BOOLEAN;
function ">" (l : sfixed; r : INTEGER) return BOOLEAN;
function "<" (l : sfixed; r : INTEGER) return BOOLEAN;
function "=" (l : INTEGER; r : sfixed) return BOOLEAN;
function "/=" (l : INTEGER; r : sfixed) return BOOLEAN;
function ">=" (l : INTEGER; r : sfixed) return BOOLEAN;
function "<=" (l : INTEGER; r : sfixed) return BOOLEAN;
function ">" (l : INTEGER; r : sfixed) return BOOLEAN;
function "<" (l : INTEGER; r : sfixed) return BOOLEAN;
----------------------------------------------------------------------------
-- In these compare functions a real is converted into a
-- fixed point number of the bounds "l'high+1 downto l'low"
----------------------------------------------------------------------------
function "=" (l : sfixed; r : REAL) return BOOLEAN;
function "/=" (l : sfixed; r : REAL) return BOOLEAN;
function ">=" (l : sfixed; r : REAL) return BOOLEAN;
function "<=" (l : sfixed; r : REAL) return BOOLEAN;
function ">" (l : sfixed; r : REAL) return BOOLEAN;
function "<" (l : sfixed; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : sfixed) return BOOLEAN;
function "/=" (l : REAL; r : sfixed) return BOOLEAN;
function ">=" (l : REAL; r : sfixed) return BOOLEAN;
function "<=" (l : REAL; r : sfixed) return BOOLEAN;
function ">" (l : REAL; r : sfixed) return BOOLEAN;
function "<" (l : REAL; r : sfixed) return BOOLEAN;
--===========================================================================
-- Shift and Rotate Functions.
-- Note that sra and sla are not the same as the BIT_VECTOR version
--===========================================================================
function "sll" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "srl" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "rol" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "ror" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "sla" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "sra" (ARG : ufixed; COUNT : INTEGER) return ufixed;
function "sll" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "srl" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "rol" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "ror" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "sla" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function "sra" (ARG : sfixed; COUNT : INTEGER) return sfixed;
function SHIFT_LEFT (ARG : ufixed; COUNT : NATURAL) return ufixed;
function SHIFT_RIGHT (ARG : ufixed; COUNT : NATURAL) return ufixed;
function SHIFT_LEFT (ARG : sfixed; COUNT : NATURAL) return sfixed;
function SHIFT_RIGHT (ARG : sfixed; COUNT : NATURAL) return sfixed;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (L : ufixed) return ufixed;
function "and" (L, R : ufixed) return ufixed;
function "or" (L, R : ufixed) return ufixed;
function "nand" (L, R : ufixed) return ufixed;
function "nor" (L, R : ufixed) return ufixed;
function "xor" (L, R : ufixed) return ufixed;
function "xnor" (L, R : ufixed) return ufixed;
function "not" (L : sfixed) return sfixed;
function "and" (L, R : sfixed) return sfixed;
function "or" (L, R : sfixed) return sfixed;
function "nand" (L, R : sfixed) return sfixed;
function "nor" (L, R : sfixed) return sfixed;
function "xor" (L, R : sfixed) return sfixed;
function "xnor" (L, R : sfixed) return sfixed;
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "and" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "or" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "or" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "nand" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "nand" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "nor" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "nor" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "xor" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "xor" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "xnor" (L : STD_ULOGIC; R : ufixed) return ufixed;
function "xnor" (L : ufixed; R : STD_ULOGIC) return ufixed;
function "and" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "and" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "or" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "or" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "nand" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "nand" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "nor" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "nor" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "xor" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "xor" (L : sfixed; R : STD_ULOGIC) return sfixed;
function "xnor" (L : STD_ULOGIC; R : sfixed) return sfixed;
function "xnor" (L : sfixed; R : STD_ULOGIC) return sfixed;
-- Reduction operators, same as numeric_std functions
-- %%% remove 12 functions (old syntax)
function and_reduce(arg : ufixed) return STD_ULOGIC;
function nand_reduce(arg : ufixed) return STD_ULOGIC;
function or_reduce(arg : ufixed) return STD_ULOGIC;
function nor_reduce(arg : ufixed) return STD_ULOGIC;
function xor_reduce(arg : ufixed) return STD_ULOGIC;
function xnor_reduce(arg : ufixed) return STD_ULOGIC;
function and_reduce(arg : sfixed) return STD_ULOGIC;
function nand_reduce(arg : sfixed) return STD_ULOGIC;
function or_reduce(arg : sfixed) return STD_ULOGIC;
function nor_reduce(arg : sfixed) return STD_ULOGIC;
function xor_reduce(arg : sfixed) return STD_ULOGIC;
function xnor_reduce(arg : sfixed) return STD_ULOGIC;
-- %%% Uncomment the following 12 functions (new syntax)
-- function "and" ( arg : ufixed ) RETURN std_ulogic;
-- function "nand" ( arg : ufixed ) RETURN std_ulogic;
-- function "or" ( arg : ufixed ) RETURN std_ulogic;
-- function "nor" ( arg : ufixed ) RETURN std_ulogic;
-- function "xor" ( arg : ufixed ) RETURN std_ulogic;
-- function "xnor" ( arg : ufixed ) RETURN std_ulogic;
-- function "and" ( arg : sfixed ) RETURN std_ulogic;
-- function "nand" ( arg : sfixed ) RETURN std_ulogic;
-- function "or" ( arg : sfixed ) RETURN std_ulogic;
-- function "nor" ( arg : sfixed ) RETURN std_ulogic;
-- function "xor" ( arg : sfixed ) RETURN std_ulogic;
-- function "xnor" ( arg : sfixed ) RETURN std_ulogic;
-- returns arg'low-1 if not found
function find_msb (arg : ufixed; y : STD_ULOGIC) return INTEGER;
function find_msb (arg : sfixed; y : STD_ULOGIC) return INTEGER;
-- returns arg'high+1 if not found
function find_lsb (arg : ufixed; y : STD_ULOGIC) return INTEGER;
function find_lsb (arg : sfixed; y : STD_ULOGIC) return INTEGER;
--===========================================================================
-- RESIZE Functions
--===========================================================================
-- resizes the number (larger or smaller)
-- The returned result will be ufixed (left_index downto right_index)
-- If "round_style" is true, then the result will be rounded. If the MSB
-- of the remainder is a "1" AND the LSB of the unround result is a '1' or
-- the lower bits of the remainder include a '1' then the result will be
-- increased by the smallest representable number for that type.
-- The default is "true" for round_style.
-- "overflow_style" can be "true" (saturate mode) or "false" (wrap mode).
-- In saturate mode, if the number overflows then the largest possible
-- representable number is returned. If wrap mode, then the upper bits
-- of the number are truncated.
function resize (
arg : ufixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed;
-- "size_res" functions create the size of the output from the length
-- of the "size_res" input. The actual value of "size_res" is not used.
function resize (
arg : ufixed; -- input
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed;
-- Note that in "wrap" mode the sign bit is not replicated. Thus the
-- resize of a negative number can have a positive result in wrap mode.
function resize (
arg : sfixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
function resize (
arg : sfixed; -- input
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
--===========================================================================
-- Conversion Functions
--===========================================================================
-- integer (natural) to unsigned fixed point.
-- arguments are the upper and lower bounds of the number, thus
-- ufixed (7 downto -3) <= to_ufixed (int, 7, -3);
function to_ufixed (
arg : NATURAL; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding
return ufixed;
function to_ufixed (
arg : NATURAL; -- integer
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding
return ufixed;
-- real to unsigned fixed point
function to_ufixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed;
function to_ufixed (
arg : REAL; -- real
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed;
-- unsigned to unsigned fixed point
function to_ufixed (
arg : UNSIGNED; -- unsigned
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return ufixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return ufixed;
-- Performs a casting. ufixed (arg'range) is returned
function to_ufixed (
arg : UNSIGNED) -- unsigned
return ufixed;
-- unsigned fixed point to unsigned
function to_unsigned (
arg : ufixed; -- fixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED;
-- unsigned fixed point to unsigned
function to_unsigned (
arg : ufixed; -- fixed point input
size_res : UNSIGNED; -- used for length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED;
-- unsigned fixed point to real
function to_real (
arg : ufixed) -- fixed point input
return REAL;
-- unsigned fixed point to integer
function to_integer (
arg : ufixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return NATURAL;
-- Integer to sfixed
function to_sfixed (
arg : INTEGER; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
function to_sfixed (
arg : INTEGER; -- integer
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
-- Real to sfixed
function to_sfixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed;
function to_sfixed (
arg : REAL; -- real
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed;
-- signed to sfixed
function to_sfixed (
arg : SIGNED; -- signed
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
function to_sfixed (
arg : SIGNED; -- signed
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return sfixed;
-- signed to sfixed (output assumed to be size of signed input)
function to_sfixed (
arg : SIGNED) -- signed
return sfixed;
-- unsigned fixed point to signed fixed point (adds a "0" sign bit)
function add_sign (
arg : ufixed) -- unsigned fixed point
return sfixed;
-- signed fixed point to signed
function to_signed (
arg : sfixed; -- fixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED;
-- signed fixed point to signed
function to_signed (
arg : sfixed; -- fixed point input
size_res : SIGNED; -- used for length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED;
-- signed fixed point to real
function to_real (
arg : sfixed) -- fixed point input
return REAL;
-- signed fixed point to integer
function to_integer (
arg : sfixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return INTEGER;
-- Because of the farily complicated sizing rules in the fixed point
-- packages these functions are provided to compute the result ranges
-- Example:
-- signal uf1 : ufixed (3 downto -3);
-- signal uf2 : ufixed (4 downto -2);
-- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto
-- ufixed_low (3, -3, '*', 4, -2));
-- uf1multuf2 <= uf1 * uf2;
-- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod)
function ufixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function ufixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function sfixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function sfixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
-- Same as above, but using the "size_res" input only for their ranges:
-- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto
-- ufixed_low (uf1, '*', uf2));
-- uf1multuf2 <= uf1 * uf2;
function ufixed_high (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER;
function ufixed_low (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER;
function sfixed_high (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER;
function sfixed_low (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function saturate (
size_res : ufixed) -- only the size of this is used
return ufixed;
function saturate (
size_res : sfixed) -- only the size of this is used
return sfixed;
--===========================================================================
-- Translation Functions
--===========================================================================
-- Maps meta-logical values
function to_01 (
s : ufixed; -- fixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return ufixed;
-- maps meta-logical values
function to_01 (
s : sfixed; -- fixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return sfixed;
function Is_X (arg : ufixed) return BOOLEAN;
function Is_X (arg : sfixed) return BOOLEAN;
function to_X01 (arg : ufixed) return ufixed;
function to_X01 (arg : sfixed) return sfixed;
function to_X01Z (arg : ufixed) return ufixed;
function to_X01Z (arg : sfixed) return sfixed;
function to_UX01 (arg : ufixed) return ufixed;
function to_UX01 (arg : sfixed) return sfixed;
-- straight vector conversion routines, needed for synthesis.
-- These functions are here so that a std_logic_vector can be
-- converted to and from sfixed and ufixed. Note that you can
-- not cast these vectors because of their negative index.
function to_slv (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR;
-- alias to_StdLogicVector is to_slv [ufixed return STD_LOGIC_VECTOR];
-- alias to_Std_Logic_Vector is to_slv [ufixed return STD_LOGIC_VECTOR];
function to_slv (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR;
-- alias to_StdLogicVector is to_slv [sfixed return STD_LOGIC_VECTOR];
-- alias to_Std_Logic_Vector is to_slv [sfixed return STD_LOGIC_VECTOR];
function to_sulv (
arg : ufixed) -- fp vector
return STD_ULOGIC_VECTOR;
-- alias to_StdULogicVector is to_sulv [ufixed return STD_ULOGIC_VECTOR];
-- alias to_Std_ULogic_Vector is to_sulv [ufixed return STD_ULOGIC_VECTOR];
function to_sulv (
arg : sfixed) -- fp vector
return STD_ULOGIC_VECTOR;
-- alias to_StdULogicVector is to_sulv [sfixed return STD_ULOGIC_VECTOR];
-- alias to_Std_ULogic_Vector is to_sulv [sfixed return STD_ULOGIC_VECTOR];
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed;
-- As a concession to those who use a graphical DSP environment,
-- these functions take parameters in those tools format and create
-- fixed point numbers. These functions are designed to convert from
-- a std_logic_vector to the VHDL fixed point format using the conventions
-- of these packages. In a pure VHDL environment you should use the
-- "to_ufixed" and "to_sfixed" routines.
-- Unsigned fixed point
function to_UFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return ufixed;
-- signed fixed point
function to_SFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return sfixed;
-- finding the bounds of a number. These functions can be used like this:
-- signal xxx : ufixed (7 downto -3);
-- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))"
-- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3)
-- downto UFix_low(11, 3, "+", 11, 3));
-- Where "11" is the width of xxx (xxx'length),
-- and 3 is the lower bound (abs (xxx'low))
-- In a pure VHDL environment use "ufixed_high" and "ufixed_low"
function UFix_high (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
function UFix_low (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
-- Same as above but for signed fixed point. Note that the width
-- of a signed fixed point number ignores the sign bit, thus
-- width = sxxx'length-1
function SFix_high (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
function SFix_low (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
--===========================================================================
-- string and textio Functions
--===========================================================================
-- rtl_synthesis off
-- synthesis translate_off
-- purpose: writes fixed point into a line
procedure WRITE (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
-- purpose: writes fixed point into a line
procedure WRITE (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure READ(L : inout LINE;
VALUE : out ufixed);
procedure READ(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN);
procedure READ(L : inout LINE;
VALUE : out sfixed);
procedure READ(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN);
alias bwrite is WRITE [LINE, ufixed, SIDE, width];
alias bwrite is WRITE [LINE, sfixed, SIDE, width];
alias bread is READ [LINE, ufixed];
alias bread is READ [LINE, ufixed, BOOLEAN];
alias bread is READ [LINE, sfixed];
alias bread is READ [LINE, sfixed, BOOLEAN];
-- octal read and write
procedure OWRITE (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure OWRITE (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure OREAD(L : inout LINE;
VALUE : out ufixed);
procedure OREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN);
procedure OREAD(L : inout LINE;
VALUE : out sfixed);
procedure OREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN);
-- hex read and write
procedure HWRITE (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
-- purpose: writes fixed point into a line
procedure HWRITE (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure HREAD(L : inout LINE;
VALUE : out ufixed);
procedure HREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN);
procedure HREAD(L : inout LINE;
VALUE : out sfixed);
procedure HREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN);
-- returns a string, useful for:
-- assert (x = y) report "error found " & to_string(x) severity error;
function to_string (
value : ufixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
alias to_bstring is to_string [ufixed, SIDE, width return STRING];
function to_ostring (
value : ufixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
function to_hstring (
value : ufixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
function to_string (
value : sfixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
alias to_bstring is to_string [sfixed, SIDE, width return STRING];
function to_ostring (
value : sfixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
function to_hstring (
value : sfixed;
justified : SIDE := right;
field : WIDTH := 0
) return STRING;
-- From string functions allow you to convert a string into a fixed
-- point number. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5
-- The "." is optional in this syntax, however it exist and is
-- in the wrong location an error is produced. Overflow will
-- result in saturation.
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
alias from_bstring is from_string [STRING, INTEGER, INTEGER return ufixed];
-- Octal and hex conversions work as follows:
-- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped)
-- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped)
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed;
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
alias from_bstring is from_string [STRING, INTEGER, INTEGER return sfixed];
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed;
-- Same as above, "size_res" is used for it's range only.
function from_string (
bstring : STRING; -- binary string
size_res : ufixed)
return ufixed;
alias from_bstring is from_string [STRING, ufixed return ufixed];
function from_ostring (
ostring : STRING; -- Octal string
size_res : ufixed)
return ufixed;
function from_hstring (
hstring : STRING; -- hex string
size_res : ufixed)
return ufixed;
function from_string (
bstring : STRING; -- binary string
size_res : sfixed)
return sfixed;
alias from_bstring is from_string [STRING, sfixed return sfixed];
function from_ostring (
ostring : STRING; -- Octal string
size_res : sfixed)
return sfixed;
function from_hstring (
hstring : STRING; -- hex string
size_res : sfixed)
return sfixed;
-- Direct converstion functions. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100"); -- 6.5
-- In this case the "." is not optional, and the size of
-- the output must match exactly.
function from_string (
bstring : STRING) -- binary string
return ufixed;
alias from_bstring is from_string [STRING return ufixed];
-- Direct octal and hex converstion functions. In this case
-- the string lengths must match. Example:
-- signal sf1 := sfixed (5 downto -3);
-- sf1 <= from_ostring ("71.4") -- -6.5
function from_ostring (
ostring : STRING) -- Octal string
return ufixed;
function from_hstring (
hstring : STRING) -- hex string
return ufixed;
function from_string (
bstring : STRING) -- binary string
return sfixed;
alias from_bstring is from_string [STRING return sfixed];
function from_ostring (
ostring : STRING) -- Octal string
return sfixed;
function from_hstring (
hstring : STRING) -- hex string
return sfixed;
-- synthesis translate_on
-- rtl_synthesis on
-- This type is here for the floating point package.
type round_type is (round_nearest, -- Default, nearest LSB '0'
round_inf, -- Round to positive
round_neginf, -- Round to negate
round_zero); -- Round towards zero
-- These are the same as the C FE_TONEAREST, FE_UPWARD, FE_DOWNWARD,
-- and FE_TOWARDZERO floating point rounding macros.
function to_StdLogicVector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR;
function to_Std_Logic_Vector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR;
function to_StdLogicVector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR;
function to_Std_Logic_Vector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR;
end package fixed_pkg;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use std.textio.all;
use ieee.std_logic_textio.all; -- %%% for testing only
package body fixed_pkg is
-- Author David Bishop ([email protected])
-- Other contributers: Jim Lewis, Yannick Grugni, Ryan W. Hilton
-- null array constants
constant NAUF : ufixed (0 downto 1) := (others => '0');
constant NASF : sfixed (0 downto 1) := (others => '0');
constant NSLV : STD_LOGIC_VECTOR (0 downto 1) := (others => '0');
-- This differed constant will tell you if the package body is synthesizable
-- or implemented as real numbers, set to "true" if synthesizable.
constant fixedsynth_or_real : BOOLEAN := true;
--%%% Can be removed in vhdl-200x, will be implicit.
-- purpose: To find the largest of 2 numbers
function maximum (l, r : INTEGER)
return INTEGER is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
function minimum (l, r : INTEGER)
return INTEGER is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
-- %%% Remove the following function (duplicates of new numeric_std)
function "sra" (arg : SIGNED; count : INTEGER)
return SIGNED is
begin
if (COUNT >= 0) then
return SHIFT_RIGHT(arg, count);
else
return SHIFT_LEFT(arg, -count);
end if;
end function "sra";
-- %%% Replace or_reducex with "or", and_reducex with "and", and
-- %%% xor_reducex with "xor", then remove the following 3 functions
-- purpose: OR all of the bits in a vector together
-- This is a copy of the proposed "or_reduce" from 1076.3
function or_reducex (arg : STD_LOGIC_VECTOR)
return STD_LOGIC is
variable Upper, Lower : STD_LOGIC;
variable Half : INTEGER;
variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_LOGIC;
begin
if (arg'length < 1) then -- In the case of a NULL range
Result := '0';
else
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int (BUS_int'right) or BUS_int (BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := or_reducex (BUS_int (BUS_int'left downto Half));
Lower := or_reducex (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper or Lower;
end if;
end if;
return Result;
end function or_reducex;
-- purpose: AND all of the bits in a vector together
-- This is a copy of the proposed "and_reduce" from 1076.3
function and_reducex (arg : STD_LOGIC_VECTOR)
return STD_LOGIC is
variable Upper, Lower : STD_LOGIC;
variable Half : INTEGER;
variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_LOGIC;
begin
if (arg'length < 1) then -- In the case of a NULL range
Result := '1';
else
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int (BUS_int'right) and BUS_int (BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := and_reducex (BUS_int (BUS_int'left downto Half));
Lower := and_reducex (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper and Lower;
end if;
end if;
return Result;
end function and_reducex;
function xor_reducex (arg : STD_LOGIC_VECTOR) return STD_ULOGIC is
variable Upper, Lower : STD_ULOGIC;
variable Half : INTEGER;
variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_ULOGIC := '0'; -- In the case of a NULL range
begin
if (arg'length >= 1) then
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int(BUS_int'right) xor BUS_int(BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := xor_reducex (BUS_int (BUS_int'left downto Half));
Lower := xor_reducex (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper xor Lower;
end if;
end if;
return Result;
end function xor_reducex;
--%%% remove the following function and table
-- Match table, copied form new std_logic_1164
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% end remove
-- Special version of "minimum" to do some boundary checking without errors
function mins (l, r : INTEGER)
return INTEGER is
begin -- function mins
if (L = INTEGER'low or R = INTEGER'low) then
return 0; -- error condition
end if;
return minimum (L, R);
end function mins;
-- Special version of "minimum" to do some boundary checking with errors
function mine (l, r : INTEGER)
return INTEGER is
begin -- function mine
if (L = INTEGER'low or R = INTEGER'low) then
report "FIXED_GENERIC_PKG: Unbounded number passed, was a literal used?"
severity error;
return 0;
end if;
return minimum (L, R);
end function mine;
-- The following functions are used only internally. Every function
-- calls "cleanvec" either directly or indirectly.
-- purpose: Fixes "downto" problem and resolves meta states
function cleanvec (
arg : sfixed) -- input
return sfixed is
constant left_index : INTEGER := maximum(arg'left, arg'right);
constant right_index : INTEGER := mins(arg'left, arg'right);
variable result : sfixed (arg'range);
begin -- function cleanvec
assert not ((arg'left < arg'right) and (arg'low /= INTEGER'low))
report "FIXED_GENERIC_PKG: Vector passed using a ""to"" range, expected is ""downto"""
severity error;
return arg;
end function cleanvec;
-- purpose: Fixes "downto" problem and resolves meta states
function cleanvec (
arg : ufixed) -- input
return ufixed is
constant left_index : INTEGER := maximum(arg'left, arg'right);
constant right_index : INTEGER := mins(arg'left, arg'right);
variable result : ufixed (arg'range);
begin -- function cleanvec
assert not ((arg'left < arg'right) and (arg'low /= INTEGER'low))
report "FIXED_GENERIC_PKG: Vector passed using a ""to"" range, expected is ""downto"""
severity error;
return arg;
end function cleanvec;
-- Type cast a "unsigned" into a "ufixed", used internally
function to_fixed (
arg : UNSIGNED; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
-- variable j : INTEGER := arg'high; -- index for arg
begin -- function to_fixed
result := ufixed(arg);
-- floop : for i in result'range loop
-- result(i) := arg(j); -- res(4) := arg (4 + 3)
-- j := j - 1;
-- end loop floop;
return result;
end function to_fixed;
-- Type cast a "signed" into an "sfixed", used internally
function to_fixed (
arg : SIGNED; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
-- variable j : INTEGER := arg'high; -- index for arg
begin -- function to_fixed
result := sfixed(arg);
-- floop : for i in result'range loop
-- result(i) := arg(j); -- res(4) := arg (4 + 3)
-- j := j - 1;
-- end loop floop;
return result;
end function to_fixed;
-- Type cast a "ufixed" into an "unsigned", used internally
function to_uns (
arg : ufixed) -- fp vector
return UNSIGNED is
subtype t is UNSIGNED(arg'high - arg'low downto 0);
variable slv : t;
begin -- function to_uns
slv := t(arg);
-- floop : for i in slv'range loop
-- slv(i) := arg(i + arg'low); -- slv(7) := arg (7 - 3)
-- end loop floop;
return UNSIGNED(to_X01(std_logic_vector(slv)));
end function to_uns;
-- Type cast an "sfixed" into a "signed", used internally
function to_s (
arg : sfixed) -- fp vector
return SIGNED is
subtype t is SIGNED(arg'high - arg'low downto 0);
variable slv : t;
begin -- function to_s
slv := t(arg);
-- floop : for i in slv'range loop
-- slv(i) := arg(i + arg'low); -- slv(7) := arg (7 - 3)
-- end loop floop;
return SIGNED(to_X01(std_logic_vector(slv)));
end function to_s;
-- adds 1 to the LSB of the number
procedure round_up (arg : in ufixed;
result : out ufixed;
overflowx : out BOOLEAN) is
variable arguns, resuns : UNSIGNED (arg'high-arg'low+1 downto 0) :=
(others => '0');
begin -- round_up
arguns (arguns'high-1 downto 0) := to_uns (arg);
resuns := arguns + 1;
result := to_fixed(resuns(arg'high-arg'low
downto 0), arg'high, arg'low);
overflowx := (resuns(resuns'high) = '1');
end procedure round_up;
-- adds 1 to the LSB of the number
procedure round_up (arg : in sfixed;
result : out sfixed;
overflowx : out BOOLEAN) is
variable args, ress : SIGNED (arg'high-arg'low+1 downto 0);
begin -- round_up
args (args'high-1 downto 0) := to_s (arg);
args(args'high) := arg(arg'high); -- sign extend
ress := args + 1;
result := to_fixed(ress (ress'high-1
downto 0), arg'high, arg'low);
overflowx := ((arg(arg'high) /= ress(ress'high-1))
and (or_reducex (STD_LOGIC_VECTOR(ress)) /= '0'));
end procedure round_up;
-- Rounding - Performs a "round_nearest" (IEEE 754) which rounds up
-- when the remainder is > 0.5. If the remainder IS 0.5 then if the
-- bottom bit is a "1" it is rounded, otherwise it remains the same.
function round_fixed (arg : ufixed;
remainder : ufixed;
overflow_style : BOOLEAN := fixed_overflow_style)
return ufixed is
variable rounds : BOOLEAN;
variable round_overflow : BOOLEAN;
variable result : ufixed (arg'range);
begin
rounds := false;
if (remainder'length > 1) then
if (remainder (remainder'high) = '1') then
rounds := (arg(arg'low) = '1')
or (or_reducex (to_slv(remainder(remainder'high-1 downto
remainder'low))) = '1');
end if;
else
rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1');
end if;
if rounds then
round_up(arg => arg,
result => result,
overflowx => round_overflow);
else
result := arg;
end if;
if (overflow_style = fixed_saturate) and round_overflow then
result := saturate (result'high, result'low);
end if;
return result;
end function round_fixed;
-- Rounding case statement
function round_fixed (arg : sfixed;
remainder : sfixed;
overflow_style : BOOLEAN := fixed_overflow_style)
return sfixed is
variable rounds : BOOLEAN;
variable round_overflow : BOOLEAN;
variable result : sfixed (arg'range);
begin
rounds := false;
if (remainder'length > 1) then
if (remainder (remainder'high) = '1') then
rounds := (arg(arg'low) = '1')
or (or_reducex (to_slv(remainder(remainder'high-1 downto
remainder'low))) = '1');
end if;
else
rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1');
end if;
if rounds then
round_up(arg => arg,
result => result,
overflowx => round_overflow);
else
result := arg;
end if;
if round_overflow then
if (overflow_style = fixed_saturate) then
if arg(arg'high) = '0' then
result := saturate (result'high, result'low);
else
result := not saturate (result'high, result'low);
end if;
-- else
-- result(result'high) := arg(arg'high); -- fix sign bit in wrap
end if;
end if;
return result;
end function round_fixed;
-----------------------------------------------------------------------------
-- Visible functions
-----------------------------------------------------------------------------
-- casting functions. These are needed for synthesis where typically
-- the only input and output type is a std_logic_vector.
function to_slv (
arg : ufixed) -- fixed point vector
return STD_LOGIC_VECTOR is
subtype t is STD_LOGIC_VECTOR (arg'high - arg'low downto 0);
variable slv : t;
begin
if arg'length < 1 then
return NSLV;
end if;
slv := t (arg);
return slv;
end function to_slv;
function to_slv (
arg : sfixed) -- fixed point vector
return STD_LOGIC_VECTOR is
subtype t is STD_LOGIC_VECTOR (arg'high - arg'low downto 0);
variable slv : t;
begin
if arg'length < 1 then
return NSLV;
end if;
slv := t (arg);
return slv;
end function to_slv;
function to_sulv (
arg : ufixed) -- fixed point vector
return STD_ULOGIC_VECTOR is
begin
return to_stdulogicvector (to_slv(arg));
end function to_sulv;
function to_sulv (
arg : sfixed) -- fixed point vector
return STD_ULOGIC_VECTOR is
begin
return to_stdulogicvector (to_slv(arg));
end function to_sulv;
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
begin
if (arg'length < 1 or right_index > left_index) then
return NAUF;
end if;
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_UFIXED (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NAUF;
else
result := to_fixed (arg => UNSIGNED(arg),
left_index => left_index,
right_index => right_index);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
begin
if (arg'length < 1 or right_index > left_index) then
return NASF;
end if;
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_SFIXED (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NASF;
else
result := to_fixed (arg => SIGNED(arg),
left_index => left_index,
right_index => right_index);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
begin
return to_ufixed (arg => to_stdlogicvector(arg),
left_index => left_index,
right_index => right_index);
end function to_ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
begin
return to_sfixed (arg => to_stdlogicvector(arg),
left_index => left_index,
right_index => right_index);
end function to_sfixed;
-- Two's complement number, Grows the vector by 1 bit.
-- because "abs (1000.000) = 01000.000" or abs(-16) = 16.
function "abs" (
arg : sfixed) -- fixed point input
return sfixed is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable ressns : SIGNED (arg'length downto 0);
variable result : sfixed (left_index+1 downto right_index);
begin
if (arg'length < 1 or result'length < 1) then
return NASF;
end if;
ressns (arg'length-1 downto 0) := to_s (cleanvec (arg));
ressns (arg'length) := ressns (arg'length-1); -- expand sign bit
result := to_fixed (abs(ressns), left_index+1, right_index);
return result;
end function "abs";
-- also grows the vector by 1 bit.
function "-" (
arg : sfixed) -- fixed point input
return sfixed is
constant left_index : INTEGER := arg'high+1;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable ressns : SIGNED (arg'length downto 0);
variable result : sfixed (left_index downto right_index);
begin
if (arg'length < 1 or result'length < 1) then
return NASF;
end if;
ressns (arg'length-1 downto 0) := to_s (cleanvec(arg));
ressns (arg'length) := ressns (arg'length-1); -- expand sign bit
result := to_fixed (-ressns, left_index, right_index);
return result;
end function "-";
function "abs" (arg : sfixed) return ufixed is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable xarg : sfixed(left_index+1 downto right_index);
variable result : ufixed(left_index downto right_index);
begin
if arg'length < 1 then
return NAUF;
end if;
xarg := abs(arg);
result := ufixed (xarg (left_index downto right_index));
return result;
end function "abs";
-- Addition
function "+" (
l, r : ufixed) -- ufixed(a downto b) + ufixed(c downto d) =
return ufixed is -- ufixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NAUF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv + rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "+";
function "+" (
l, r : sfixed) -- sfixed(a downto b) + sfixed(c downto d) =
return sfixed is -- sfixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index downto 0);
variable result_slv : SIGNED (left_index-right_index downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NASF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv + rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "+";
-- Subtraction
function "-" (
l, r : ufixed) -- ufixed(a downto b) - ufixed(c downto d) =
return ufixed is -- ufixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NAUF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv - rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "-";
function "-" (
l, r : sfixed) -- sfixed(a downto b) - sfixed(c downto d) =
return sfixed is -- sfixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index downto 0);
variable result_slv : SIGNED (left_index-right_index downto 0);
begin
if (l'length < 1 or r'length < 1) then
return NASF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv - rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "-";
function "*" (
l, r : ufixed) -- ufixed(a downto b) * ufixed(c downto d) =
return ufixed is -- ufixed(a+c+1 downto b+d)
variable lslv : UNSIGNED (l'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (r'length+l'length-1 downto 0);
variable result : ufixed (l'high + r'high+1 downto
mine(l'low, l'low) + mine(r'low, r'low));
begin
if (l'length < 1 or r'length < 1 or
result'length /= result_slv'length) then
return NAUF;
end if;
lslv := to_uns (cleanvec(l));
rslv := to_uns (cleanvec(r));
result_slv := lslv * rslv;
result := to_fixed (result_slv, result'high, result'low);
return result;
end function "*";
function "*" (
l, r : sfixed) -- sfixed(a downto b) * sfixed(c downto d) =
return sfixed is -- sfixed(a+c+1 downto b+d)
variable lslv : SIGNED (l'length-1 downto 0);
variable rslv : SIGNED (r'length-1 downto 0);
variable result_slv : SIGNED (r'length+l'length-1 downto 0);
variable result : sfixed (l'high + r'high+1 downto
mine(l'low, l'low) + mine(r'low, r'low));
begin
if (l'length < 1 or r'length < 1 or
result'length /= result_slv'length) then
return NASF;
end if;
lslv := to_s (cleanvec(l));
rslv := to_s (cleanvec(r));
result_slv := lslv * rslv;
result := to_fixed (result_slv, result'high, result'low);
return result;
end function "*";
function "/" (
l, r : ufixed) -- ufixed(a downto b) / ufixed(c downto d) =
return ufixed is -- ufixed(a-d downto b-c-1)
begin
return divide (l, r);
end function "/";
function "/" (
l, r : sfixed) -- sfixed(a downto b) / sfixed(c downto d) =
return sfixed is -- sfixed(a-d+1 downto b-c)
begin
return divide (l, r);
end function "/";
-- This version of divide gives the user more control
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function divide (
l, r : ufixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed is
variable result : ufixed (l'high - mine(r'low, r'low)
downto mine (l'low, l'low) - r'high -1);
variable dresult : ufixed (result'high downto result'low -guard_bits);
variable lresize : ufixed (l'high downto l'high - dresult'length+1);
variable lslv : UNSIGNED (lresize'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NAUF;
end if;
lresize := resize (l, lresize'high, lresize'low);
lslv := to_uns (cleanvec (lresize));
rslv := to_uns (cleanvec (r));
if (rslv = 0) then
report "FIXED_GENERIC_PKG.DIVIDE uFixed point Division by zero" severity error;
result := saturate (result'high, result'low); -- saturate
else
result_slv := lslv / rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
round_style => round_style,
overflow_style => fixed_wrap); -- overflow impossible
end if;
return result;
end function divide;
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function divide (
l, r : sfixed;
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed is
variable result : sfixed (l'high - mine(r'low, r'low)+1
downto mine (l'low, l'low) - r'high);
variable dresult : sfixed (result'high downto result'low-guard_bits);
variable lresize : sfixed (l'high+1 downto l'high+1 -dresult'length+1);
variable lslv : SIGNED (lresize'length-1 downto 0);
variable rslv : SIGNED (r'length-1 downto 0);
variable result_slv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
lresize := resize (l, lresize'high, lresize'low);
lslv := to_s (cleanvec (lresize));
rslv := to_s (cleanvec (r));
if (rslv = 0) then
report "FIXED_GENERIC_PKG.DIVIDE uFixed point Division by zero" severity error;
result := saturate (result'high, result'low);
else
result_slv := lslv / rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
round_style => round_style,
overflow_style => fixed_wrap); -- overflow impossible
end if;
return result;
end function divide;
-- 1 / ufixed(a downto b) = ufixed(-b downto -a-1)
function reciprocal (
arg : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return ufixed is
constant one : ufixed (0 downto 0) := "1";
begin
return divide(l => one,
r => arg,
round_style => round_style,
guard_bits => guard_bits);
end function reciprocal;
-- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a)
function reciprocal (
arg : sfixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return sfixed is
constant one : sfixed (1 downto 0) := "01"; -- extra bit.
variable resultx : sfixed (-mine(arg'low, arg'low)+2 downto -arg'high);
begin
if (arg'length < 1 or resultx'length < 1) then
return NASF;
else
resultx := divide(l => one,
r => arg,
round_style => round_style,
guard_bits => guard_bits);
return resultx (resultx'high-1 downto resultx'low); -- remove extra bit
end if;
end function reciprocal;
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function "rem" (
l, r : ufixed) -- fixed point input
return ufixed is
begin
return remainder (l => l,
r => r,
round_style => fixed_round_style);
end function "rem";
-- remainder
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function "rem" (
l, r : sfixed) -- fixed point input
return sfixed is
begin
return remainder (l => l,
r => r,
round_style => fixed_round_style);
end function "rem";
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function remainder (
l, r : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style)
return ufixed is
variable result : ufixed (minimum(l'high, r'high) downto mine(l'low, r'low));
variable dresult : ufixed (r'high downto r'low);
variable lresize : ufixed (maximum(l'high, r'low) downto mins(r'low, r'low));
variable lslv : UNSIGNED (lresize'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (rslv'range);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NAUF;
end if;
lresize := resize (arg => l,
left_index => lresize'high,
right_index => lresize'low,
overflow_style => fixed_wrap, -- vector only grows
round_style => fixed_truncate);
lslv := to_uns (lresize);
rslv := to_uns (cleanvec(r));
if (rslv = 0) then
report "FIXED_GENERIC_PKG.rem uFixed point Division by zero" severity error;
result := saturate (result'high, result'low); -- saturate
else
if (r'low <= l'high) then
result_slv := lslv rem rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
overflow_style => fixed_wrap,
round_style => round_style);
-- result(result'high downto r'low) := dresult(result'high downto r'low);
end if;
if l'low < r'low then
result(mins(r'low-1, l'high) downto l'low) :=
cleanvec(l(mins(r'low-1, l'high) downto l'low));
end if;
end if;
return result;
end function remainder;
-- remainder
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function remainder (
l, r : sfixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style)
return sfixed is
variable l_abs : ufixed (l'range);
variable r_abs : ufixed (r'range);
variable result : sfixed (minimum(r'high, l'high) downto mine(r'low, l'low));
variable neg_result : sfixed (minimum(r'high, l'high)+1 downto mins(r'low, l'low));
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
l_abs := abs(l);
r_abs := abs(r);
result := sfixed(remainder (l => l_abs,
r => r_abs,
round_style => round_style));
neg_result := -result;
if l(l'high) = '1' then
result := neg_result(result'range);
end if;
return result;
end function remainder;
-- modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function "mod" (
l, r : ufixed) -- fixed point input
return ufixed is
begin
return modulo (l => l,
r => r,
round_style => fixed_round_style);
end function "mod";
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function "mod" (
l, r : sfixed) -- fixed point input
return sfixed is
begin
return modulo(l => l,
r => r,
round_style => fixed_round_style);
end function "mod";
-- modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function modulo (
l, r : ufixed; -- fixed point input
constant round_style : BOOLEAN := fixed_round_style)
return ufixed is
begin
return remainder(l => l,
r => r,
round_style => round_style);
end function modulo;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function modulo (
l, r : sfixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style;
constant round_style : BOOLEAN := fixed_round_style)
return sfixed is
variable l_abs : ufixed (l'range);
variable r_abs : ufixed (r'range);
variable result : sfixed (r'high downto
mine(r'low, l'low));
variable dresult : sfixed (minimum(r'high, l'high)+1 downto
mins(r'low, l'low));
variable dresult_not_zero : BOOLEAN;
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
l_abs := abs(l);
r_abs := abs(r);
dresult := "0" & sfixed(remainder (l => l_abs,
r => r_abs,
round_style => round_style));
if (to_s(dresult) = 0) then
dresult_not_zero := false;
else
dresult_not_zero := true;
end if;
if to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '0'
and dresult_not_zero then
result := resize (arg => r - dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
elsif to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '1' then
result := resize (arg => -dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
elsif to_x01(l(l'high)) = '0' and to_x01(r(r'high)) = '1'
and dresult_not_zero then
result := resize (arg => dresult + r,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
else
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
end if;
return result;
end function modulo;
-- Procedure for those who need an "accumulator" function
procedure add_carry (
L, R : in ufixed;
c_in : in STD_ULOGIC;
result : out ufixed;
c_out : out STD_ULOGIC) is
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
variable cx : UNSIGNED (0 downto 0); -- Carry in
begin
if (l'length < 1 or r'length < 1) then
result := NAUF;
c_out := '0';
else
cx (0) := c_in;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv + rslv + cx;
c_out := result_slv(left_index);
result := to_fixed(result_slv (left_index-right_index-1 downto 0),
left_index-1, right_index);
end if;
end procedure add_carry;
procedure add_carry (
L, R : in sfixed;
c_in : in STD_ULOGIC;
result : out sfixed;
c_out : out STD_ULOGIC) is
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index
downto 0);
variable result_slv : SIGNED (left_index-right_index
downto 0);
variable cx : SIGNED (1 downto 0); -- Carry in
begin
if (l'length < 1 or r'length < 1) then
result := NASF;
c_out := '0';
else
cx (1) := '0';
cx (0) := c_in;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv + rslv + cx;
c_out := result_slv(left_index);
result := to_fixed(result_slv (left_index-right_index-1 downto 0),
left_index-1, right_index);
end if;
end procedure add_carry;
-- Scales the result by a power of 2. Width of input = width of output with
-- the decimal point moved.
function scalb (y : ufixed; N : integer) return ufixed is
variable result : ufixed (y'high+N downto y'low+N);
begin
if y'length < 1 then
return NAUF;
else
result := y;
return result;
end if;
end function scalb;
function scalb (y : ufixed; N : SIGNED) return ufixed is
begin
return scalb (y => y,
N => to_integer(N));
end function scalb;
function scalb (y : sfixed; N : integer) return sfixed is
variable result : sfixed (y'high+N downto y'low+N);
begin
if y'length < 1 then
return NASF;
else
result := y;
return result;
end if;
end function scalb;
function scalb (y : sfixed; N : SIGNED) return sfixed is
begin
return scalb (y => y,
N => to_integer(N));
end function scalb;
function Is_Negative (arg : sfixed) return BOOLEAN is
begin
if to_X01(arg(arg'high)) = '1' then
return true;
else
return false;
end if;
end function Is_Negative;
function find_lsb (arg : ufixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'low to arg'high loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'high+1; -- return out of bounds 'high
end function find_lsb;
function find_msb (arg : ufixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'high downto arg'low loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'low-1; -- return out of bounds 'low
end function find_msb;
function find_lsb (arg : sfixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'low to arg'high loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'high+1; -- return out of bounds 'high
end function find_lsb;
function find_msb (arg : sfixed; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'high downto arg'low loop
if arg(i) = y then
return i;
end if;
end loop;
return arg'low-1; -- return out of bounds 'low
end function find_msb;
function "sll" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sll";
function "srl" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "srl";
function "rol" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv rol COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "rol";
function "ror" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv ror COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "ror";
function "sla" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
-- Arithmetic shift on an unsigned is a logical shift
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sla";
function "sra" (ARG : ufixed; COUNT : INTEGER) return ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : ufixed (arg'range);
begin
argslv := to_uns (arg);
-- Arithmetic shift on an unsigned is a logical shift
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sra";
function "sll" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sll";
function "srl" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "srl";
function "rol" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv rol COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "rol";
function "ror" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv ror COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "ror";
function "sla" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
if COUNT > 0 then
-- Arithmetic shift left on a 2's complement number is a logic shift
argslv := argslv sll COUNT;
else
argslv := argslv sra -COUNT;
end if;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sla";
function "sra" (ARG : sfixed; COUNT : INTEGER) return sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : sfixed (arg'range);
begin
argslv := to_s (arg);
if COUNT > 0 then
argslv := argslv sra COUNT;
else
-- Arithmetic shift left on a 2's complement number is a logic shift
argslv := argslv sll -COUNT;
end if;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sra";
-- Because some people want the older functions.
function SHIFT_LEFT (ARG : ufixed; COUNT : NATURAL) return ufixed is
begin
if (ARG'length < 1) then
return NAUF;
end if;
return ARG sla COUNT;
end function SHIFT_LEFT;
function SHIFT_RIGHT (ARG : ufixed; COUNT : NATURAL) return ufixed is
begin
if (ARG'length < 1) then
return NAUF;
end if;
return ARG sra COUNT;
end function SHIFT_RIGHT;
function SHIFT_LEFT (ARG : sfixed; COUNT : NATURAL) return sfixed is
begin
if (ARG'length < 1) then
return NASF;
end if;
return ARG sla COUNT;
end function SHIFT_LEFT;
function SHIFT_RIGHT (ARG : sfixed; COUNT : NATURAL) return sfixed is
begin
if (ARG'length < 1) then
return NASF;
end if;
return ARG sra COUNT;
end function SHIFT_RIGHT;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (L : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
RESULT := not to_slv(L);
return to_ufixed(RESULT, L'high, L'low);
end function "not";
function "and" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) and to_slv(R);
else
report "FIXED_GENERIC_PKG.""and"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "and";
function "or" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) or to_slv(R);
else
report "FIXED_GENERIC_PKG.""or"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "or";
function "nand" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nand to_slv(R);
else
report "FIXED_GENERIC_PKG.""nand"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "nand";
function "nor" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nor to_slv(R);
else
report "FIXED_GENERIC_PKG.""nor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "nor";
function "xor" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "xor";
function "xnor" (L, R : ufixed) return ufixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xnor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xnor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "xnor";
function "not" (L : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
RESULT := not to_slv(L);
return to_sfixed(RESULT, L'high, L'low);
end function "not";
function "and" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) and to_slv(R);
else
report "FIXED_GENERIC_PKG.""and"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "and";
function "or" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) or to_slv(R);
else
report "FIXED_GENERIC_PKG.""or"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "or";
function "nand" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nand to_slv(R);
else
report "FIXED_GENERIC_PKG.""nand"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "nand";
function "nor" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) nor to_slv(R);
else
report "FIXED_GENERIC_PKG.""nor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "nor";
function "xor" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "xor";
function "xnor" (L, R : sfixed) return sfixed is
variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_slv(L) xnor to_slv(R);
else
report "FIXED_GENERIC_PKG.""xnor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'U');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "xnor";
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L and R(i);
end loop;
return result;
end function "and";
function "and" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) and R;
end loop;
return result;
end function "and";
function "or" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L or R(i);
end loop;
return result;
end function "or";
function "or" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) or R;
end loop;
return result;
end function "or";
function "nand" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L nand R(i);
end loop;
return result;
end function "nand";
function "nand" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nand R;
end loop;
return result;
end function "nand";
function "nor" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L nor R(i);
end loop;
return result;
end function "nor";
function "nor" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nor R;
end loop;
return result;
end function "nor";
function "xor" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L xor R(i);
end loop;
return result;
end function "xor";
function "xor" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xor R;
end loop;
return result;
end function "xor";
function "xnor" (L : STD_ULOGIC; R : ufixed) return ufixed is
variable result : ufixed (R'range);
begin
for i in result'range loop
result(i) := L xnor R(i);
end loop;
return result;
end function "xnor";
function "xnor" (L : ufixed; R : STD_ULOGIC) return ufixed is
variable result : ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xnor R;
end loop;
return result;
end function "xnor";
function "and" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L and R(i);
end loop;
return result;
end function "and";
function "and" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) and R;
end loop;
return result;
end function "and";
function "or" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L or R(i);
end loop;
return result;
end function "or";
function "or" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) or R;
end loop;
return result;
end function "or";
function "nand" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L nand R(i);
end loop;
return result;
end function "nand";
function "nand" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nand R;
end loop;
return result;
end function "nand";
function "nor" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L nor R(i);
end loop;
return result;
end function "nor";
function "nor" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nor R;
end loop;
return result;
end function "nor";
function "xor" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L xor R(i);
end loop;
return result;
end function "xor";
function "xor" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xor R;
end loop;
return result;
end function "xor";
function "xnor" (L : STD_ULOGIC; R : sfixed) return sfixed is
variable result : sfixed (R'range);
begin
for i in result'range loop
result(i) := L xnor R(i);
end loop;
return result;
end function "xnor";
function "xnor" (L : sfixed; R : STD_ULOGIC) return sfixed is
variable result : sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xnor R;
end loop;
return result;
end function "xnor";
-- Reduction operators, same as numeric_std functions
-- %%% remove 12 functions (old syntax)
function and_reduce(arg : ufixed) return STD_ULOGIC is
begin
return and_reducex (to_slv(arg));
end function and_reduce;
function nand_reduce(arg : ufixed) return STD_ULOGIC is
begin
return not and_reducex (to_slv(arg));
end function nand_reduce;
function or_reduce(arg : ufixed) return STD_ULOGIC is
begin
return or_reducex (to_slv(arg));
end function or_reduce;
function nor_reduce(arg : ufixed) return STD_ULOGIC is
begin
return not or_reducex (to_slv(arg));
end function nor_reduce;
function xor_reduce(arg : ufixed) return STD_ULOGIC is
begin
return xor_reducex (to_slv(arg));
end function xor_reduce;
function xnor_reduce(arg : ufixed) return STD_ULOGIC is
begin
return not xor_reducex (to_slv(arg));
end function xnor_reduce;
function and_reduce(arg : sfixed) return STD_ULOGIC is
begin
return and_reducex (to_slv(arg));
end function and_reduce;
function nand_reduce(arg : sfixed) return STD_ULOGIC is
begin
return not and_reducex (to_slv(arg));
end function nand_reduce;
function or_reduce(arg : sfixed) return STD_ULOGIC is
begin
return or_reducex (to_slv(arg));
end function or_reduce;
function nor_reduce(arg : sfixed) return STD_ULOGIC is
begin
return not or_reducex (to_slv(arg));
end function nor_reduce;
function xor_reduce(arg : sfixed) return STD_ULOGIC is
begin
return xor_reducex (to_slv(arg));
end function xor_reduce;
function xnor_reduce(arg : sfixed) return STD_ULOGIC is
begin
return not xor_reducex (to_slv(arg));
end function xnor_reduce;
-- %%% Uncomment the following 12 functions (new syntax)
-- function "and" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return and to_slv(arg);
-- end function "and";
-- function "nand" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return nand to_slv(arg);
-- end function "nand";;
-- function "or" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return or to_slv(arg);
-- end function "or";
-- function "nor" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return nor to_slv(arg);
-- end function "nor";
-- function "xor" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return xor to_slv(arg);
-- end function "xor";
-- function "xnor" ( arg : ufixed ) RETURN std_ulogic is
-- begin
-- return xnor to_slv(arg);
-- end function "xnor";
-- function "and" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return and to_slv(arg);
-- end function "and";;
-- function "nand" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return nand to_slv(arg);
-- end function "nand";;
-- function "or" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return or to_slv(arg);
-- end function "or";
-- function "nor" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return nor to_slv(arg);
-- end function "nor";
-- function "xor" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return xor to_slv(arg);
-- end function "xor";
-- function "xnor" ( arg : sfixed ) RETURN std_ulogic is
-- begin
-- return xnor to_slv(arg);
-- end function "xnor";
-- %%% Replace with the following (new syntax)
-- function "?=" (L, R : ufixed) return STD_ULOGIC is
function \?=\ (L, R : ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '1';
for i in lresize'reverse_range loop
result1 := \?=\(lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- end function "?=";
-- function "?/=" (L, R : ufixed) return STD_ULOGIC is
function \?/=\ (L, R : ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?/=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?/="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '0';
for i in lresize'reverse_range loop
result1 := \?/=\ (lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- end function "?/=";
-- function "?>" (L, R : ufixed) return STD_ULOGIC is
function \?>\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?>
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l > r then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- end function "?>";
-- function "?>=" (L, R : ufixed) return STD_ULOGIC is
function \?>=\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?>=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l >= r then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- end function "?>=";
-- function "?<" (L, R : ufixed) return STD_ULOGIC is
function \?<\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?<
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l < r then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- end function "?<";
-- function "?<=" (L, R : ufixed) return STD_ULOGIC is
function \?<=\ (L, R : ufixed) return STD_ULOGIC is
begin -- ?<=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l <= r then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- end function "?<=";
-- function "?=" (L, R : sfixed) return STD_ULOGIC is
function \?=\ (L, R : sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '1';
for i in lresize'reverse_range loop
result1 := \?=\ (lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- end function "?=";
-- function "?/=" (L, R : sfixed) return STD_ULOGIC is
function \?/=\ (L, R : sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?/=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?/="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
result := '0';
for i in lresize'reverse_range loop
result1 := \?/=\ (lresize(i), rresize(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- end function "?/=";
-- function "?>" (L, R : sfixed) return STD_ULOGIC is
function \?>\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?>
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l > r then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- end function "?>";
-- function "?>=" (L, R : sfixed) return STD_ULOGIC is
function \?>=\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?>=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?>="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?>="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l >= r then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- end function "?>=";
-- function "?<" (L, R : sfixed) return STD_ULOGIC is
function \?<\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?<
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<"": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<"": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l < r then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- end function "?<";
-- function "?<=" (L, R : sfixed) return STD_ULOGIC is
function \?<=\ (L, R : sfixed) return STD_ULOGIC is
begin -- ?<=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""?<="": null detected, returning X"
severity warning;
return 'X';
elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then
report "FIXED_GENERIC_PKG.""?<="": '-' found in compare string"
severity error;
return 'X';
else
if is_x(l) or is_x(r) then
return 'X';
elsif l <= r then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- end function "?<=";
-- %%% end replace
-- Match function, similar to "std_match" from numeric_std
function std_match (L, R : ufixed) return BOOLEAN is
begin
if (L'high = R'high and L'low = R'low) then
return std_match(to_slv(L), to_slv(R));
else
report "FIXED_GENERIC_PKG.STD_MATCH: L'RANGE /= R'RANGE, returning FALSE"
severity warning;
return false;
end if;
end function std_match;
function std_match (L, R : sfixed) return BOOLEAN is
begin
if (L'high = R'high and L'low = R'low) then
return std_match(to_slv(L), to_slv(R));
else
report "FIXED_GENERIC_PKG.STD_MATCH: L'RANGE /= R'RANGE, returning FALSE"
severity warning;
return false;
end if;
end function std_match;
--%%% end remove
-- compare functions
function "=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv = rslv;
end function "=";
function "=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv = rslv;
end function "=";
function "/=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": null argument detected, returning TRUE"
severity warning;
return true;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": metavalue detected, returning TRUE"
severity warning;
return true;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv /= rslv;
end function "/=";
function "/=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": null argument detected, returning TRUE"
severity warning;
return true;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""/="": metavalue detected, returning TRUE"
severity warning;
return true;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv /= rslv;
end function "/=";
function ">" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv > rslv;
end function ">";
function ">" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv > rslv;
end function ">";
function "<" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv < rslv;
end function "<";
function "<" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv < rslv;
end function "<";
function ">=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv >= rslv;
end function ">=";
function ">=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG."">="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv >= rslv;
end function ">=";
function "<=" (
l, r : ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv <= rslv;
end function "<=";
function "<=" (
l, r : sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.""<="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv <= rslv;
end function "<=";
-- overloads of the default maximum and minimum functions
function maximum (l, r : ufixed) return ufixed is
begin
if l > r then return l;
else return r;
end if;
end function maximum;
function maximum (l, r : sfixed) return sfixed is
begin
if l > r then return l;
else return r;
end if;
end function maximum;
function minimum (l, r : ufixed) return ufixed is
begin
if l > r then return r;
else return l;
end if;
end function minimum;
function minimum (l, r : sfixed) return sfixed is
begin
if l > r then return r;
else return l;
end if;
end function minimum;
function to_ufixed (
arg : NATURAL; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return ufixed is
variable argx : INTEGER;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : ufixed (left_index downto fw) := (others => '0');
variable sresult : UNSIGNED (left_index downto 0); -- integer portion
variable bound : NATURAL; -- find the numerical bounds
begin
if (left_index < fw) then
return NAUF;
end if;
if left_index >= 0 then
if (left_index < 30) then
bound := 2**(left_index+1);
else
bound := INTEGER'high;
end if;
end if;
if (arg /= 0) then
if arg >= bound or left_index < 0 then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_UFIXED(NATURAL): vector truncated"
severity warning;
if (overflow_style = fixed_wrap) then -- wrap
if bound = 0 then
argx := 0;
else
argx := arg mod bound;
end if;
else -- saturate
return saturate (result'high, result'low);
end if;
else
argx := arg;
end if;
else
return result; -- return zero
end if;
sresult := to_unsigned (argx, sresult'high+1);
result := resize (arg => ufixed (sresult),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_ufixed;
function to_sfixed (
arg : INTEGER; -- integer
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
variable argx : INTEGER;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : sfixed (left_index downto fw) := (others => '0');
variable sresult : SIGNED (left_index+1 downto 0); -- integer portion
variable bound : NATURAL := 0;
begin
if (left_index < fw) then -- null range
return NASF;
end if;
if left_index >= 0 then
if (left_index < 30) then
bound := 2**(left_index);
else
bound := INTEGER'high;
end if;
end if;
if (arg /= 0) then
if (arg >= bound or arg < -bound or left_index < 0) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_SFIXED(INTEGER): vector truncated"
severity warning;
if overflow_style = fixed_wrap then -- wrap
if bound = 0 then -- negative integer_range trap
argx := 0;
else -- shift off the top bits
argx := arg rem (bound*2);
end if;
else -- saturate
if arg < 0 then
result := not saturate (result'high, result'low); -- underflow
else
result := saturate (result'high, result'low); -- overflow
end if;
return result;
end if;
else
argx := arg;
end if;
else
return result; -- return zero
end if;
sresult := to_signed (argx, sresult'length);
result := resize (arg => sfixed (sresult),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_sfixed;
function to_ufixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed is
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : ufixed (left_index downto fw) := (others => '0');
variable Xresult : ufixed (left_index downto fw-guard_bits) := (others => '0');
variable presult : REAL;
variable overflow_needed : BOOLEAN;
begin
-- If negative or null range, return.
if (left_index < fw) then
return NAUF;
end if;
if (arg < 0.0) then
report "FIXED_GENERIC_PKG.TO_UFIXED: Negative argument passed "
& REAL'image(arg) severity error;
return result;
end if;
presult := arg;
if presult >= (2.0**(left_index+1)) then
assert NO_WARNING report "FIXED_GENERIC_PKG.TO_UFIXED(REAL): vector truncated"
severity warning;
overflow_needed := (overflow_style = fixed_saturate);
if overflow_style = fixed_wrap then
presult := presult mod (2.0**(left_index+1)); -- wrap
else
return saturate (result'high, result'low);
end if;
end if;
for i in Xresult'range loop
if presult >= 2.0**i then
Xresult(i) := '1';
presult := presult - 2.0**i;
else
Xresult(i) := '0';
end if;
end loop;
if guard_bits > 0 and round_style = fixed_round then
result := round_fixed (arg => Xresult (left_index
downto right_index),
remainder => Xresult (right_index-1 downto
right_index-guard_bits),
overflow_style => overflow_style);
else
result := Xresult (result'range);
end if;
return result;
end function to_ufixed;
function to_sfixed (
arg : REAL; -- real
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed is
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : sfixed (left_index downto fw) := (others => '0');
variable Xresult : sfixed (left_index+1 downto fw-guard_bits) := (others => '0');
variable presult : REAL;
begin
if (left_index < fw) then -- null range
return NASF;
end if;
if (arg >= (2.0**left_index) or arg < -(2.0**left_index)) then
assert NO_WARNING report "FIXED_GENERIC_PKG.TO_SFIXED(REAL): vector truncated"
severity warning;
if overflow_style = fixed_saturate then
if arg < 0.0 then -- saturate
result := not saturate (result'high, result'low); -- underflow
else
result := saturate (result'high, result'low); -- overflow
end if;
return result;
else
presult := abs(arg) mod (2.0**(left_index+1)); -- wrap
end if;
else
presult := abs(arg);
end if;
for i in Xresult'range loop
if presult >= 2.0**i then
Xresult(i) := '1';
presult := presult - 2.0**i;
else
Xresult(i) := '0';
end if;
end loop;
if arg < 0.0 then
Xresult := to_fixed(-to_s(Xresult), Xresult'high, Xresult'low);
end if;
if guard_bits > 0 and round_style then
result := round_fixed (arg => Xresult (left_index
downto right_index),
remainder => Xresult (right_index-1 downto
right_index-guard_bits),
overflow_style => overflow_style);
else
result := Xresult (result'range);
end if;
return result;
end function to_sfixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return ufixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : ufixed (left_index downto fw);
begin
if arg'length < 1 or (left_index < fw) then
return NAUF;
end if;
result := resize (arg => ufixed (XARG),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_ufixed;
-- casted version
function to_ufixed (
arg : UNSIGNED) -- unsigned
return ufixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG;
begin
if arg'length < 1 then
return NAUF;
end if;
return ufixed(xarg);
end function to_ufixed;
function to_sfixed (
arg : SIGNED; -- signed
constant left_index : INTEGER; -- size of integer portion
constant right_index : INTEGER := 0; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : SIGNED(ARG_LEFT downto 0) is ARG;
constant fw : INTEGER := mine (right_index, right_index); -- catch literals
variable result : sfixed (left_index downto fw);
begin
if arg'length < 1 or (left_index < fw) then
return NASF;
end if;
result := resize (arg => sfixed (XARG),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_sfixed;
-- casted version
function to_sfixed (
arg : SIGNED) -- signed
return sfixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : SIGNED(ARG_LEFT downto 0) is ARG;
begin
if arg'length < 1 then
return NASF;
end if;
return sfixed(xarg);
end function to_sfixed;
function add_sign (arg : ufixed) return sfixed is
variable result : sfixed (arg'high+1 downto arg'low);
begin
if arg'length < 1 then
return NASF;
end if;
result (arg'high downto arg'low) := sfixed(cleanvec(arg));
result (arg'high+1) := '0';
return result;
end function add_sign;
-- Because of the farily complicated sizing rules in the fixed point
-- packages these functions are provided to compute the result ranges
-- Example:
-- signal uf1 : ufixed (3 downto -3);
-- signal uf2 : ufixed (4 downto -2);
-- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto
-- ufixed_low (3, -3, '*', 4, -2));
-- uf1multuf2 <= uf1 * uf2;
-- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod),
-- '1' (reciprocal), 'A', 'a' (abs), 'N', 'n' (-sfixed)
function ufixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return maximum (left_index, left_index2) + 1;
when '*' => return left_index + left_index2 + 1;
when '/' => return left_index - right_index2;
when '1' => return -right_index; -- reciprocal
when 'R'|'r' => return mins (left_index, left_index2); -- "rem"
when 'M'|'m' => return mins (left_index, left_index2); -- "mod"
when others => return left_index; -- For abs and default
end case;
end function ufixed_high;
function ufixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return mins (right_index, right_index2);
when '*' => return right_index + right_index2;
when '/' => return right_index - left_index2 - 1;
when '1' => return -left_index - 1; -- reciprocal
when 'R'|'r' => return mins (right_index, right_index2); -- "rem"
when 'M'|'m' => return mins (right_index, right_index2); -- "mod"
when others => return right_index; -- for abs and default
end case;
end function ufixed_low;
function sfixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return maximum (left_index, left_index2) + 1;
when '*' => return left_index + left_index2 + 1;
when '/' => return left_index - right_index2 + 1;
when '1' => return -right_index + 1; -- reciprocal
when 'R'|'r' => return mins (left_index, left_index2); -- "rem"
when 'M'|'m' => return left_index2; -- "mod"
when 'A'|'a' => return left_index + 1; -- "abs"
when 'N'|'n' => return left_index + 1; -- -sfixed
when others => return left_index;
end case;
end function sfixed_high;
function sfixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return mins (right_index, right_index2);
when '*' => return right_index + right_index2;
when '/' => return right_index - left_index2;
when '1' => return -left_index; -- reciprocal
when 'R'|'r' => return mins (right_index, right_index2); -- "rem"
when 'M'|'m' => return mins (right_index, right_index2); -- "mod"
when others => return right_index; -- default for abs, neg and default
end case;
end function sfixed_low;
-- Same as above, but using the "size_res" input only for their ranges:
-- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto
-- ufixed_low (uf1, '*', uf2));
-- uf1multuf2 <= uf1 * uf2;
function ufixed_high (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER is
begin
return ufixed_high (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function ufixed_high;
function ufixed_low (size_res : ufixed;
operation : CHARACTER := 'X';
size_res2 : ufixed)
return INTEGER is
begin
return ufixed_low (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function ufixed_low;
function sfixed_high (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER is
begin
return sfixed_high (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function sfixed_high;
function sfixed_low (size_res : sfixed;
operation : CHARACTER := 'X';
size_res2 : sfixed)
return INTEGER is
begin
return sfixed_low (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function sfixed_low;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
constant sat : ufixed (left_index downto right_index) := (others => '1');
begin
return sat;
end function saturate;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable sat : sfixed (left_index downto right_index) := (others => '1');
begin
-- saturate positive, to saturate negative, just do "not saturate()"
sat (left_index) := '0';
return sat;
end function saturate;
function saturate (
size_res : ufixed) -- only the size of this is used
return ufixed is
begin
return saturate (size_res'high, size_res'low);
end function saturate;
function saturate (
size_res : sfixed) -- only the size of this is used
return sfixed is
begin
return saturate (size_res'high, size_res'low);
end function saturate;
-- As a concession to those who use a graphical DSP environment,
-- these functions take parameters in those tools format and create
-- fixed point numbers. These functions are designed to convert from
-- a std_logic_vector to the VHDL fixed point format using the conventions
-- of these packages. In a pure VHDL environment you should use the
-- "to_ufixed" and "to_sfixed" routines.
-- Unsigned fixed point
function to_UFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return ufixed is
variable result : ufixed (width-fraction-1 downto -fraction);
begin
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_UFIX (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NAUF;
else
result := to_ufixed (arg, result'high, result'low);
return result;
end if;
end function to_UFix;
-- signed fixed point
function to_SFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return sfixed is
variable result : sfixed (width-fraction-1 downto -fraction);
begin
if (arg'length /= result'length) then
report "FIXED_GENERIC_PKG.TO_SFIX (STD_LOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NASF;
else
result := to_sfixed (arg, result'high, result'low);
return result;
end if;
end function to_SFix;
-- finding the bounds of a number. These functions can be used like this:
-- signal xxx : ufixed (7 downto -3);
-- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))"
-- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3)
-- downto UFix_low(11, 3, "+", 11, 3));
-- Where "11" is the width of xxx (xxx'length),
-- and 3 is the lower bound (abs (xxx'low))
-- In a pure VHDL environment use "ufixed_high" and "ufixed_low"
function ufix_high (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return ufixed_high (left_index => width - 1 - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - 1 - fraction2,
right_index2 => -fraction2);
end function ufix_high;
function ufix_low (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return ufixed_low (left_index => width - 1 - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - 1 - fraction2,
right_index2 => -fraction2);
end function ufix_low;
function sfix_high (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return sfixed_high (left_index => width - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - fraction2,
right_index2 => -fraction2);
end function sfix_high;
function sfix_low (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return sfixed_low (left_index => width - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - fraction2,
right_index2 => -fraction2);
end function sfix_low;
function to_unsigned (
arg : ufixed; -- ufixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED is
begin
return to_uns(resize (arg => arg,
left_index => size-1,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
end function to_unsigned;
function to_unsigned (
arg : ufixed; -- ufixed point input
size_res : UNSIGNED; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return UNSIGNED is
begin
return to_unsigned (arg => arg,
size => size_res'length,
round_style => round_style,
overflow_style => overflow_style);
end function to_unsigned;
function to_signed (
arg : sfixed; -- ufixed point input
constant size : NATURAL; -- length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED is
begin
return to_s(resize (arg => arg,
left_index => size-1,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
end function to_signed;
function to_signed (
arg : sfixed; -- ufixed point input
size_res : SIGNED; -- used for length of output
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return SIGNED is
begin
return to_signed (arg => arg,
size => size_res'length,
round_style => round_style,
overflow_style => overflow_style);
end function to_signed;
function to_real (
arg : ufixed) -- ufixed point input
return REAL is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable result : REAL; -- result
variable arg_int : ufixed (left_index downto right_index);
begin
if (arg'length < 1) then
return 0.0;
end if;
arg_int := cleanvec(arg);
if (Is_X(arg_int)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_REAL: metavalue detected, returning 0.0"
severity warning;
return 0.0;
end if;
result := 0.0;
for i in arg_int'range loop
if (arg_int(i) = '1') then
result := result + (2.0**i);
end if;
end loop;
return result;
end function to_real;
function to_real (
arg : sfixed) -- ufixed point input
return REAL is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable result : REAL; -- result
variable arg_int : sfixed (left_index downto right_index);
-- unsigned version of argument
variable arg_uns : ufixed (left_index downto right_index);
-- absolute of argument
begin
if (arg'length < 1) then
return 0.0;
end if;
arg_int := cleanvec(arg);
if (Is_X(arg_int)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_REAL: metavalue detected, returning 0.0"
severity warning;
return 0.0;
end if;
arg_uns := abs(arg_int);
result := to_real (arg_uns);
if (arg_int(arg_int'high) = '1') then
result := -result;
end if;
return result;
end function to_real;
function to_integer (
arg : ufixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return NATURAL is
constant left_index : INTEGER := arg'high;
variable arg_uns : UNSIGNED (minimum(31, left_index+1) downto 0)
:= (others => '0');
begin
if (arg'length < 1) then
return 0;
end if;
if (Is_X (arg)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_INTEGER: metavalue detected, returning 0"
severity warning;
return 0;
end if;
if (left_index < -1) then
return 0;
end if;
arg_uns := to_uns(resize (arg => arg,
left_index => arg_uns'high,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
return to_integer (arg_uns);
end function to_integer;
function to_integer (
arg : sfixed; -- fixed point input
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- rounding by default
return INTEGER is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable arg_s : SIGNED (minimum(31, left_index+1) downto 0);
begin
if (arg'length < 1) then
return 0;
end if;
if (Is_X (arg)) then
assert NO_WARNING
report "FIXED_GENERIC_PKG.TO_INTEGER: metavalue detected, returning 0"
severity warning;
return 0;
end if;
if (left_index < -1) then
return 0;
end if;
arg_s := to_s(resize (arg => arg,
left_index => arg_s'high,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
return to_integer (arg_s);
end function to_integer;
function to_01 (
s : ufixed; -- ufixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return ufixed is
variable result : ufixed (s'range); -- result
begin
for i in s'range loop
case s(i) is
when '0' | 'L' => result(i) := '0';
when '1' | 'H' => result(i) := '1';
when others => result(i) := XMAP;
end case;
end loop;
return result;
end function to_01;
function to_01 (
s : sfixed; -- ufixed point input
constant XMAP : STD_LOGIC := '0') -- Map x to
return sfixed is
variable result : sfixed (s'range);
begin
for i in s'range loop
case s(i) is
when '0' | 'L' => result(i) := '0';
when '1' | 'H' => result(i) := '1';
when others => result(i) := XMAP;
end case;
end loop;
return result;
end function to_01;
function Is_X (
arg : ufixed)
return BOOLEAN is
variable argslv : STD_LOGIC_VECTOR (arg'length-1 downto 0); -- slv
begin
argslv := to_slv(arg);
return Is_X(argslv);
end function Is_X;
function Is_X (
arg : sfixed)
return BOOLEAN is
variable argslv : STD_LOGIC_VECTOR (arg'length-1 downto 0); -- slv
begin
argslv := to_slv(arg);
return Is_X(argslv);
end function Is_X;
function To_X01 (
arg : ufixed)
return ufixed is
begin
return to_ufixed (To_X01(to_slv(arg)), arg'high, arg'low);
end function To_X01;
function to_X01 (
arg : sfixed)
return sfixed is
begin
return to_sfixed (To_X01(to_slv(arg)), arg'high, arg'low);
end function To_X01;
function To_X01Z (
arg : ufixed)
return ufixed is
begin
return to_ufixed (To_X01Z(to_slv(arg)), arg'high, arg'low);
end function To_X01Z;
function to_X01Z (
arg : sfixed)
return sfixed is
begin
return to_sfixed (To_X01Z(to_slv(arg)), arg'high, arg'low);
end function To_X01Z;
function To_UX01 (
arg : ufixed)
return ufixed is
begin
return to_ufixed (To_UX01(to_slv(arg)), arg'high, arg'low);
end function To_UX01;
function to_UX01 (
arg : sfixed)
return sfixed is
begin
return to_sfixed (To_UX01(to_slv(arg)), arg'high, arg'low);
end function To_UX01;
function resize (
arg : ufixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed is
constant arghigh : INTEGER := maximum (arg'high, arg'low);
constant arglow : INTEGER := mine (arg'high, arg'low);
variable invec : ufixed (arghigh downto arglow);
variable result : ufixed(left_index downto right_index) :=
(others => '0');
variable needs_rounding : BOOLEAN := false;
begin -- resize
if (arg'length < 1) or (result'length < 1) then
return NAUF;
elsif (invec'length < 1) then
return result; -- string literal value
else
invec := cleanvec(arg);
if (right_index > arghigh) then -- return top zeros
needs_rounding := (round_style = fixed_round) and
(right_index = arghigh+1);
elsif (left_index < arglow) then -- return overflow
if (overflow_style = fixed_saturate) and
(or_reducex(to_slv(invec)) = '1') then
result := saturate (result'high, result'low); -- saturate
end if;
elsif (arghigh > left_index) then
-- wrap or saturate?
if (overflow_style and
or_reducex(to_slv(invec(arghigh downto left_index+1))) = '1')
then
result := saturate (result'high, result'low); -- saturate
else
if (arglow >= right_index) then
result (left_index downto arglow) :=
invec(left_index downto arglow);
else
result (left_index downto right_index) :=
invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
end if;
else -- arghigh <= integer width
if (arglow >= right_index) then
result (arghigh downto arglow) := invec;
else
result (arghigh downto right_index) :=
invec (arghigh downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
end if;
-- Round result
if needs_rounding then
result := round_fixed (arg => result,
remainder => invec (right_index-1
downto arglow),
overflow_style => overflow_style);
end if;
return result;
end if;
end function resize;
function resize (
arg : sfixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return sfixed is
constant arghigh : INTEGER := maximum (arg'high, arg'low);
constant arglow : INTEGER := mine (arg'high, arg'low);
variable invec : sfixed (arghigh downto arglow);
variable result : sfixed(left_index downto right_index) :=
(others => '0');
variable reduced : STD_ULOGIC;
variable needs_rounding : BOOLEAN := false; -- rounding
begin -- resize
if (arg'length < 1) or (result'length < 1) then
return NASF;
elsif (invec'length < 1) then
return result; -- string literal value
else
invec := cleanvec(arg);
if (right_index > arghigh) then -- return top zeros
if (arg'low /= INTEGER'low) then -- check for a literal
result := (others => arg(arghigh)); -- sign extend
end if;
needs_rounding := (round_style = fixed_round) and
(right_index = arghigh+1);
elsif (left_index < arglow) then -- return overflow
if (overflow_style) then
reduced := or_reducex(to_slv(invec));
if (reduced = '1') then
if (invec(arghigh) = '0') then
-- saturate POSITIVE
result := saturate (result'high, result'low);
else
-- saturate negative
result := not saturate (result'high, result'low);
end if;
-- else return 0 (input was 0)
end if;
-- else return 0 (wrap)
end if;
elsif (arghigh > left_index) then
if (invec(arghigh) = '0') then
reduced := or_reducex(to_slv(invec(arghigh-1 downto
left_index)));
if overflow_style and reduced = '1' then
-- saturate positive
result := saturate (result'high, result'low);
else
if (right_index > arglow) then
result := invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round);
else
result (left_index downto arglow) :=
invec (left_index downto arglow);
end if;
end if;
else
reduced := and_reducex(to_slv(invec(arghigh-1 downto
left_index)));
if overflow_style and reduced = '0' then
result := not saturate (result'high, result'low);
else
if (right_index > arglow) then
result := invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round);
else
result (left_index downto arglow) :=
invec (left_index downto arglow);
end if;
end if;
end if;
else -- arghigh <= integer width
if (arglow >= right_index) then
result (arghigh downto arglow) := invec;
else
result (arghigh downto right_index) :=
invec (arghigh downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
if (left_index > arghigh) then -- sign extend
result(left_index downto arghigh+1) := (others => invec(arghigh));
end if;
end if;
-- Round result
if (needs_rounding) then
result := round_fixed (arg => result,
remainder => invec (right_index-1
downto arglow),
overflow_style => overflow_style);
end if;
return result;
end if;
end function resize;
-- size_res functions
-- These functions compute the size from a passed variable named "size_res"
-- The only part of this variable used it it's size, it is never passed
-- to a lower level routine.
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : ufixed) -- for size only
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => to_stdlogicvector(arg),
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : sfixed) -- for size only
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => to_stdlogicvector(arg),
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : NATURAL; -- integer
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : INTEGER; -- integer
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : REAL; -- real
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
guard_bits => guard_bits,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : REAL; -- real
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
guard_bits => guard_bits,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : SIGNED; -- signed
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default
constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function resize (
arg : ufixed; -- input
size_res : ufixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return ufixed is
variable result : ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := resize (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function resize;
function resize (
arg : sfixed; -- input
size_res : sfixed; -- for size only
constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow
constant round_style : BOOLEAN := fixed_round_style) -- rounding
return sfixed is
variable result : sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := resize (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function resize;
-- Overloaded functions
function "+" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l +
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "+";
function "+" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
+ r);
end function "+";
function "+" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l +
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "+";
function "+" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
+ r);
end function "+";
-- Overloaded functions
function "-" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l -
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "-";
function "-" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
- r);
end function "-";
function "-" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l -
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "-";
function "-" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
- r);
end function "-";
-- Overloaded functions
function "*" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l *
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "*";
function "*" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
* r);
end function "*";
function "*" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l *
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "*";
function "*" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
* r);
end function "*";
-- Overloaded functions
function "/" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l /
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/";
function "/" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/ r);
end function "/";
function "/" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l /
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/";
function "/" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/ r);
end function "/";
-- Overloaded functions
function "rem" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l rem
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "rem";
function "rem" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
rem r);
end function "rem";
function "rem" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l rem
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "rem";
function "rem" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
rem r);
end function "rem";
function "mod" (
l : ufixed; -- fixed point input
r : REAL)
return ufixed is
begin
return (l mod
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "mod";
function "mod" (
l : REAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
mod r);
end function "mod";
function "mod" (
l : sfixed; -- fixed point input
r : REAL)
return sfixed is
begin
return (l mod
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "mod";
function "mod" (
l : REAL;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
mod r);
end function "mod";
-- Overloaded functions for integers
function "+" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l + to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)); -- rounding not needed
end function "+";
function "+" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
+ r);
end function "+";
function "+" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l + to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "+";
function "+" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
+ r);
end function "+";
-- Overloaded functions
function "-" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l - to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "-";
function "-" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
- r);
end function "-";
function "-" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l - to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "-";
function "-" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
- r);
end function "-";
-- Overloaded functions
function "*" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l * to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "*";
function "*" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
* r);
end function "*";
function "*" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l * to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "*";
function "*" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
* r);
end function "*";
-- Overloaded functions
function "/" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l / to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/";
function "/" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/ r);
end function "/";
function "/" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l / to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/";
function "/" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/ r);
end function "/";
-- Overloaded functions
function "rem" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l rem to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "rem";
function "rem" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
rem r);
end function "rem";
function "rem" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l rem to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "rem";
function "rem" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
rem r);
end function "rem";
function "mod" (
l : ufixed; -- fixed point input
r : NATURAL)
return ufixed is
begin
return (l mod to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "mod";
function "mod" (
l : NATURAL;
r : ufixed) -- fixed point input
return ufixed is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
mod r);
end function "mod";
function "mod" (
l : sfixed; -- fixed point input
r : INTEGER)
return sfixed is
begin
return (l mod to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "mod";
function "mod" (
l : INTEGER;
r : sfixed) -- fixed point input
return sfixed is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
mod r);
end function "mod";
-- overloaded compare functions
function "=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l = to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "=";
function "/=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l /= to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/=";
function ">=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l >= to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">=";
function "<=" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l <= to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<=";
function ">" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l > to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">";
function "<" (
l : ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l < to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<";
function "=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
= r);
end function "=";
function "/=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/= r);
end function "/=";
function ">=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
>= r);
end function ">=";
function "<=" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
<= r);
end function "<=";
function ">" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
> r);
end function ">";
function "<" (
l : NATURAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
< r);
end function "<";
function "=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l =
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "=";
function "/=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l /=
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/=";
function ">=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >=
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">=";
function "<=" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <=
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<=";
function ">" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">";
function "<" (
l : ufixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <
to_ufixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<";
function "=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
= r);
end function "=";
function "/=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/= r);
end function "/=";
function ">=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
>= r);
end function ">=";
function "<=" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
<= r);
end function "<=";
function ">" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
> r);
end function ">";
function "<" (
l : REAL;
r : ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
< r);
end function "<";
function "=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l = to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "=";
function "/=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l /= to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "/=";
function ">=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l >= to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">=";
function "<=" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l <= to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<=";
function ">" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l > to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function ">";
function "<" (
l : sfixed;
r : INTEGER) -- fixed point input
return BOOLEAN is
begin
return (l < to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style));
end function "<";
function "=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
= r);
end function "=";
function "/=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
/= r);
end function "/=";
function ">=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
>= r);
end function ">=";
function "<=" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
<= r);
end function "<=";
function ">" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
> r);
end function ">";
function "<" (
l : INTEGER;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style)
< r);
end function "<";
function "=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l =
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "=";
function "/=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l /=
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "/=";
function ">=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >=
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">=";
function "<=" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <=
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<=";
function ">" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l >
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function ">";
function "<" (
l : sfixed;
r : REAL) -- fixed point input
return BOOLEAN is
begin
return (l <
to_sfixed (arg => r,
left_index => l'high,
right_index => l'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits));
end function "<";
function "=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
= r);
end function "=";
function "/=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
/= r);
end function "/=";
function ">=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
>= r);
end function ">=";
function "<=" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
<= r);
end function "<=";
function ">" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
> r);
end function ">";
function "<" (
l : REAL;
r : sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (arg => l,
left_index => r'high,
right_index => r'low,
overflow_style => fixed_overflow_style,
round_style => fixed_round_style,
guard_bits => fixed_guard_bits)
< r);
end function "<";
-- rtl_synthesis off
-- synthesis translate_off
-- copied from std_logic_textio
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' ');
-- purpose: writes fixed point into a line
procedure write (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable sindx : INTEGER;
begin -- function write Example: 0011.1100
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
write(l, s, justified, field);
end procedure write;
-- purpose: writes fixed point into a line
procedure write (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
variable s : STRING(1 to value'length +1);
variable sindx : INTEGER;
begin -- function write Example: 0011.1100
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
write(l, s, justified, field);
end procedure write;
procedure READ(L : inout LINE;
VALUE : out ufixed) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable s : STRING(1 to value'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER; -- index variable
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Error: end of string encountered"
severity error;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
assert i = value'low
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Warning: Value truncated " severity warning;
return;
elsif c = '.' then -- separator, ignore
assert (i = -1)
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Warning: Decimal point does not match number format "
severity warning;
elsif (char_to_MVL9plus(c) = error) then
report "FIXED_GENERIC_PKG.READ(ufixed) "
& "Error: Character '" & c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable i : INTEGER; -- index variable
variable readOk : BOOLEAN;
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
good := true;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
good := false;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done
good := false;
return;
elsif c = '.' then -- separator, ignore
good := (i = -1);
elsif (char_to_MVL9plus(c) = error) then
good := false;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out sfixed) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable i : INTEGER; -- index variable
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Error end of string encountered"
severity error;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
assert i = value'low
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Warning: Value truncated " severity warning;
return;
elsif c = '.' then -- separator, ignore
assert (i = -1)
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Warning: Decimal point does not match number format "
severity warning;
elsif (char_to_MVL9plus(c) = error) then
report "FIXED_GENERIC_PKG.READ(sfixed) "
& "Error: Character '" & c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable i : INTEGER; -- index variable
variable readOk : BOOLEAN;
begin -- READ
VALUE (VALUE'range) := (others => 'U');
loop -- skip white space
read(l, c, readOk);
exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
i := value'high;
good := true;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
good := false;
return;
elsif c = ' ' or c = NBSP or c = HT then -- reading done
good := false;
return;
elsif c = '.' then -- separator, ignore
good := (i = -1);
elsif (char_to_MVL9plus(c) = error) then
good := false;
return;
else
value (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
return;
end if;
end if;
read(l, c, readOk);
end loop readloop;
end procedure READ;
-- octal read and write
procedure owrite (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_ostring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure owrite;
procedure owrite (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_ostring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure owrite;
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_LOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"FIXED_GENERIC_PKG.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
result := "UUU";
good := false;
end case;
end procedure Char2TriBits;
-- Note that for Octal and Hex read, you can not start with a ".",
-- the read is for numbers formatted "A.BC". These routines go to
-- the nearest bounds, so "F.E" will fit into an sfixed (2 downto -3).
procedure OREAD(L : inout LINE;
VALUE : out ufixed) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(ufixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2triBits(c, nybble, igood, true);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(ufixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.OREAD(ufixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2TriBits(c, nybble, igood, true);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')
report "FIXED_GENERIC_PKG.OREAD(ufixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.OREAD(ufixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2triBits(c, nybble, igood, false);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2TriBits(c, nybble, igood, false);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end if;
end loop;
good := igood and -- We did not get another error
(i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0');
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out sfixed) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(sfixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2triBits(c, nybble, igood, true);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.OREAD(sfixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.OREAD(sfixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2TriBits(c, nybble, igood, true);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) and -- We read everything
((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))
report "FIXED_GENERIC_PKG.OREAD(sfixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.OREAD(sfixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2triBits(c, nybble, igood, false);
i := hbv-lbv - 3; -- Top - 3
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2TriBits(c, nybble, igood, false);
slv (i downto i-2) := nybble;
i := i - 3;
end if;
end if;
end loop;
good := igood -- We did not get another error
and (i = -1) -- We read everything
and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'));
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure OREAD;
-- hex read and write
procedure hwrite (
L : inout LINE; -- input line
VALUE : in ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_hstring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure hwrite;
-- purpose: writes fixed point into a line
procedure hwrite (
L : inout LINE; -- input line
VALUE : in sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_hstring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure hwrite;
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_LOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"FIXED_GENERIC_PKG.HREAD Error: Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
result := "UUUU";
good := false;
end case;
end procedure Char2QuadBits;
procedure HREAD(L : inout LINE;
VALUE : out ufixed) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(ufixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2QuadBits(c, nybble, igood, true);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(ufixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.HREAD(ufixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2QuadBits(c, nybble, igood, true);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')
report "FIXED_GENERIC_PKG.HREAD(ufixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.HREAD(ufixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out ufixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2QuadBits(c, nybble, igood, false);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2QuadBits(c, nybble, igood, false);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end if;
end loop;
good := igood and -- We did not get another error
(i = -1) and -- We read everything, and high bits 0
(or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0');
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out sfixed) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(sfixed): "
& "Error end of string encountered"
severity error;
return;
else
Char2QuadBits(c, nybble, igood, true);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood = false then
report "FIXED_GENERIC_PKG.HREAD(sfixed): "
& "Error end of string encountered"
severity error;
elsif (c = '.') then
if (i + 1 /= -lbv) then
igood := false;
report "FIXED_GENERIC_PKG.HREAD(sfixed): "
& "encountered ""."" at wrong index"
severity error;
end if;
else
Char2QuadBits(c, nybble, igood, true);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end loop;
if igood then -- We did not get another error
assert (i = -1) -- We read everything
and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))
report "FIXED_GENERIC_PKG.HREAD(sfixed): Vector truncated."
severity error;
if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report "FIXED_GENERIC_PKG.HREAD(sfixed): Vector truncated"
severity warning;
end if;
end if;
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out sfixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4;
variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable c : CHARACTER; -- to read the "."
variable valuex : sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable i : INTEGER;
begin
VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U"
loop -- skip white space
read(L, c, igood);
exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT));
end loop;
if igood = false then
return;
else
Char2QuadBits(c, nybble, igood, false);
i := hbv-lbv - 4; -- Top - 4
slv (hbv-lbv downto i+1) := nybble;
end if;
while (i /= -1) and igood and L.all'length /= 0 loop
read (L, c, igood);
if igood then
if (c = '.') then
igood := igood and (i + 1 = -lbv);
else
Char2QuadBits(c, nybble, igood, false);
slv (i downto i-3) := nybble;
i := i - 4;
end if;
end if;
end loop;
good := igood and -- We did not get another error
(i = -1) and -- We read everything
((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'));
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end procedure HREAD;
-----------------------------------------------------------------------------
-- %%% Remove the following 3 functions. They are a duplicate needed for
-- testing
-----------------------------------------------------------------------------
-- purpose: Justify a string to the right
function justify (
value : STRING;
justified : SIDE := right;
field : width := 0)
return STRING is
constant VAL_LEN : INTEGER := value'length;
variable result : STRING (1 to field) := (others => ' ');
begin -- function justify
-- return value if field is too small
if VAL_LEN >= field then
return value;
end if;
if justified = left then
result(1 to VAL_LEN) := value;
elsif justified = right then
result(field - VAL_LEN + 1 to field) := value;
end if;
return result;
end function justify;
function to_ostring (
value : STD_LOGIC_VECTOR;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_LOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_LOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_LOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return justify(result, justified, field);
end if;
end function to_ostring;
-------------------------------------------------------------------
function to_hstring (
value : STD_LOGIC_VECTOR;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_LOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_LOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return justify(result, justified, field);
end if;
end function to_hstring;
-- %%% End remove here
function to_string (
value : ufixed;
justified : SIDE := right;
field : width := 0
) return STRING is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable sindx : INTEGER;
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_string (resize (value, 0, value'low), justified, field);
elsif value'low > 0 then
return to_string (resize (value, value'high, -1), justified, field);
else
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
return justify(s, justified, field);
end if;
end if;
end function to_string;
function to_string (
value : sfixed;
justified : SIDE := right;
field : width := 0
) return STRING is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable sindx : INTEGER;
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_string (resize (value, 0, value'low), justified, field);
elsif value'low > 0 then
return to_string (resize (value, value'high, -1), justified, field);
else
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx +1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx +1;
end loop;
return justify(s, justified, field);
end if;
end if;
end function to_string;
function to_ostring (
value : ufixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant lne : INTEGER := (-VALUE'low+2)/3;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_ostring (resize (value, 2, value'low), justified, field);
elsif value'low > 0 then
return to_ostring (resize (value, value'high, -3), justified, field);
else
slv := to_slv (value);
return justify(to_ostring(slv(slv'high downto slv'high-VALUE'high))
& "."
& to_ostring(slv(slv'high-VALUE'high-1 downto 0)&lpad),
justified, field);
end if;
end if;
end function to_ostring;
function to_hstring (
value : ufixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant lne : INTEGER := (-VALUE'low+3)/4;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_hstring (resize (value, 3, value'low), justified, field);
elsif value'low > 0 then
return to_hstring (resize (value, value'high, -4), justified, field);
else
slv := to_slv (value);
return justify(to_hstring(slv(slv'high downto slv'high-VALUE'high))
& "."
& to_hstring(slv(slv'high-VALUE'high-1 downto 0)&lpad),
justified, field);
end if;
end if;
end function to_hstring;
function to_ostring (
value : sfixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := ((value'high+1)+2)/3;
variable pad : STD_LOGIC_VECTOR(0 to (ne*3 - (value'high+1)) - 1);
constant lne : INTEGER := (-VALUE'low+2)/3;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (VALUE'high - VALUE'low downto 0);
begin
if value'length < 1 then
return NUS;
else
pad := (others => value(value'high));
if value'high < 0 then
return to_ostring (resize (value, 2, value'low), justified, field);
elsif value'low > 0 then
return to_ostring (resize (value, value'high, -3), justified, field);
else
slv := to_slv (value);
return justify(to_ostring(pad
& slv(slv'high downto slv'high-VALUE'high))
& "."
& to_ostring(slv(slv'high-VALUE'high-1 downto 0)
& lpad),
justified, field);
end if;
end if;
end function to_ostring;
function to_hstring (
value : sfixed;
justified : SIDE := right;
field : width := 0
) return STRING is
constant ne : INTEGER := ((value'high+1)+3)/4;
variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - (value'high+1)) - 1);
constant lne : INTEGER := (-VALUE'low+3)/4;
constant lpad : STD_LOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1) :=
(others => '0');
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
pad := (others => value(value'high));
if value'high < 0 then
return to_hstring (resize (value, 3, value'low), justified, field);
elsif value'low > 0 then
return to_hstring (resize (value, value'high, -4), justified, field);
else
slv := to_slv (value);
return justify(to_hstring(pad&slv(slv'high downto slv'high-VALUE'high))
& "."
& to_hstring(slv(slv'high-VALUE'high-1 downto 0)&lpad),
justified, field);
end if;
end if;
end function to_hstring;
-- From string functions allow you to convert a string into a fixed
-- point number. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5
-- The "." is optional in this syntax, however it exist and is
-- in the wrong location an error is produced. Overflow will
-- result in saturation.
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
-- Octal and hex conversions work as follows:
-- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped)
-- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped)
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return ufixed is
variable result : ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return sfixed is
variable result : sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
-- Same as above, "size_res" is used for it's range only.
function from_string (
bstring : STRING; -- binary string
size_res : ufixed)
return ufixed is
variable result : ufixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
size_res : ufixed)
return ufixed is
variable result : ufixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
size_res : ufixed)
return ufixed is
variable result : ufixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
function from_string (
bstring : STRING; -- binary string
size_res : sfixed)
return sfixed is
variable result : sfixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
size_res : sfixed)
return sfixed is
variable result : sfixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
size_res : sfixed)
return sfixed is
variable result : sfixed (size_res'high downto size_res'low);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
-- purpose: find a dot in a string, return -1 if no dot (internal function)
function finddot (
arg : STRING)
return INTEGER is
alias xarg : STRING (arg'length downto 1) is arg; -- make it a downto
begin
for i in xarg'reverse_range loop
if (xarg(i) = '.') then
return i-1;
end if;
end loop;
return -1;
end function finddot;
-- Direct converstion functions. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100"); -- 6.5
-- In this case the "." is not optional, and the size of
-- the output must match exactly.
function from_string (
bstring : STRING) -- binary string
return ufixed is
variable result : ufixed (bstring'length-2 downto 0);
variable result_nodot : ufixed (bstring'length-1 downto 0);
variable bstring_nodot : STRING (1 to bstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(bstring);
if (dot = -1) then
L := new STRING'(bstring);
read (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return result_nodot;
else
j := 1;
for i in 1 to bstring'high loop
if (bstring(i) /= '.') then
bstring_nodot(j) := bstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(bstring_nodot);
read (L, result, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return to_ufixed(to_slv(result), bstring'length-dot-2, -dot);
end if;
end function from_string;
-- Direct octal and hex converstion functions. In this case
-- the string lengths must match. Example:
-- signal sf1 := sfixed (5 downto -3);
-- sf1 <= from_ostring ("71.4") -- -6.5
function from_ostring (
ostring : STRING) -- Octal string
return ufixed is
variable result : STD_LOGIC_VECTOR((ostring'length-1)*3-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((ostring'length)*3-1 downto 0);
variable ostring_nodot : STRING (1 to ostring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(ostring);
if (dot = -1) then
L := new STRING'(ostring);
oread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_ufixed(UNSIGNED(result_nodot));
else
j := 1;
for i in 1 to ostring'high loop
if (ostring(i) /= '.') then
ostring_nodot(j) := ostring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(ostring_nodot);
oread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_ufixed(result, (ostring'length-1-dot)*3-1, -dot*3);
end if;
end function from_ostring;
function from_hstring (
hstring : STRING) -- hex string
return ufixed is
variable result : STD_LOGIC_VECTOR((hstring'length-1)*4-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((hstring'length)*4-1 downto 0);
variable hstring_nodot : STRING (1 to hstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(hstring);
if (dot = -1) then
L := new STRING'(hstring);
hread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return to_ufixed(UNSIGNED(result_nodot));
else
j := 1;
for i in 1 to hstring'high loop
if (hstring(i) /= '.') then
hstring_nodot(j) := hstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(hstring_nodot);
hread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return to_ufixed(result, (hstring'length-1-dot)*4-1, -dot*4);
end if;
end function from_hstring;
function from_string (
bstring : STRING) -- binary string
return sfixed is
variable result : sfixed (bstring'length-2 downto 0);
variable result_nodot : sfixed (bstring'length-1 downto 0);
variable bstring_nodot : STRING (1 to bstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(bstring);
if (dot = -1) then
L := new STRING'(bstring);
read (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return result_nodot;
else
j := 1;
for i in 1 to bstring'high loop
if (bstring(i) /= '.') then
bstring_nodot(j) := bstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(bstring_nodot);
read (L, result, good);
assert (good)
report "fixed_generic_pkg.from_string: Bad string "& bstring severity error;
deallocate (L);
return to_sfixed(to_slv(result), bstring'length-dot-2, -dot);
end if;
end function from_string;
function from_ostring (
ostring : STRING) -- Octal string
return sfixed is
variable result : STD_LOGIC_VECTOR((ostring'length-1)*3-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((ostring'length)*3-1 downto 0);
variable ostring_nodot : STRING (1 to ostring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(ostring);
if (dot = -1) then
L := new STRING'(ostring);
oread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_sfixed(SIGNED(result_nodot));
else
j := 1;
for i in 1 to ostring'high loop
if (ostring(i) /= '.') then
ostring_nodot(j) := ostring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(ostring_nodot);
oread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error;
deallocate (L);
return to_sfixed(result, (ostring'length-1-dot)*3-1, -dot*3);
end if;
end function from_ostring;
function from_hstring (
hstring : STRING) -- hex string
return sfixed is
variable result : STD_LOGIC_VECTOR((hstring'length-1)*4-1 downto 0);
variable result_nodot : STD_LOGIC_VECTOR((hstring'length)*4-1 downto 0);
variable hstring_nodot : STRING (1 to hstring'length-1);
variable L : LINE;
variable good : BOOLEAN;
variable dot, i, j : INTEGER;
begin
dot := finddot(hstring);
if (dot = -1) then
L := new STRING'(hstring);
hread (L, result_nodot, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return sfixed(SIGNED(result_nodot));
else
j := 1;
for i in 1 to hstring'high loop
if (hstring(i) /= '.') then
hstring_nodot(j) := hstring(i); -- get rid of the dot.
j := j + 1;
end if;
end loop;
L := new STRING'(hstring_nodot);
hread (L, result, good);
assert (good)
report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error;
deallocate (L);
return to_sfixed(result, (hstring'length-1-dot)*4-1, -dot*4);
end if;
end function from_hstring;
-- synthesis translate_on
-- rtl_synthesis on
function to_StdLogicVector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_StdLogicVector;
function to_Std_Logic_Vector (
arg : ufixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_Std_Logic_Vector;
function to_StdLogicVector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_StdLogicVector;
function to_Std_Logic_Vector (
arg : sfixed) -- fp vector
return STD_LOGIC_VECTOR is
begin
return to_slv (arg);
end function to_Std_Logic_Vector;
function to_StdULogicVector (
arg : ufixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_StdULogicVector;
function to_Std_ULogic_Vector (
arg : ufixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_Std_ULogic_Vector;
function to_StdULogicVector (
arg : sfixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_StdULogicVector;
function to_Std_ULogic_Vector (
arg : sfixed) -- fp vector
return STD_ULOGIC_VECTOR is
begin
return to_sulv (arg);
end function to_Std_ULogic_Vector;
end package body fixed_pkg;
|
package p1 is
constant c1 : integer := 1;
end package;
package p2 is
constant c2 : integer := 2;
end package;
package p3 is
constant c3 : integer := 3;
end package;
package p4 is
constant c4 : integer := 4;
end package;
package p5 is
constant c5 : integer := 5;
end package;
package p6 is
constant c6 : integer := 6;
end package;
entity issue225 is
use work.p1.all;
end entity issue225;
architecture test of issue225 is
use work.p2.all; -- doesn't work
begin
g1: if true generate
use work.p3.all; -- doesn't work
begin
b1: block
use work.p4.all; -- doesn't work
begin
pp1: process
use work.p5.all; -- doesn't work
procedure doit is
use work.p6.all; -- doesn't work
variable x : integer;
begin
x := c1 + c2 + c3 + c4 + c5 + c6;
wait;
end procedure doit;
begin
doit;
end process pp1;
end block b1;
end generate g1;
end architecture test;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: various
-- File: clkgen_xilinx.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Author: Richard Pender, Pender Electronic Design
-- Description: Clock generators for Virtex and Virtex-2 fpgas
------------------------------------------------------------------------------
------------------------------------------------------------------
-- Virtex5 clock generator ---------------------------------------
------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library grlib;
use grlib.stdlib.all;
library unisim;
use unisim.BUFG;
use unisim.DCM;
--use unisim.BUFGDLL;
use unisim.BUFGMUX;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity clkgen_virtex5 is
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
noclkfb : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000; -- clock frequency in KHz
clk2xen : integer := 0;
clksel : integer := 0); -- enable clock select
port (
clkin : in std_ulogic;
pciclkin: in std_ulogic;
clk : out std_ulogic; -- main clock
clkn : out std_ulogic; -- inverted main clock
clk2x : out std_ulogic; -- double clock
sdclk : out std_ulogic; -- SDRAM clock
pciclk : out std_ulogic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk1xu : out std_ulogic; -- unscaled clock
clk2xu : out std_ulogic -- unscaled 2X clock
);
end;
architecture struct of clkgen_virtex5 is
component BUFG port (O : out std_logic; I : in std_logic); end component;
component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic;
I1 : in std_ulogic; S : in std_ulogic);
end component;
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
-- component BUFGDLL port (O : out std_logic; I : in std_logic); end component;
constant VERSION : integer := 1;
--constant CLKIN_PERIOD_ST : string := "20.0";
constant FREQ_MHZ : integer := freq/1000;
--attribute CLKIN_PERIOD : string;
--attribute CLKIN_PERIOD of dll0: label is CLKIN_PERIOD_ST;
signal gnd, clk_i, clk_j, clk_k, clk_l, clk_m, lsdclk : std_logic;
signal clk_x, clk_n, clk_o, clk_p, clk_i2, clk_sd, clk_r: std_logic;
signal dll0rst, dll0lock, dll1lock, dll2xlock : std_logic;
signal dll1rst, dll2xrst : std_logic_vector(0 to 3);
signal clk0B, clkint, pciclkint : std_logic;
begin
gnd <= '0';
clk <= clk_i when (CLK2XEN = 0) else clk_p;
clkn <= clk_m; clk2x <= clk_i2;
c0 : if (PCISYSCLK = 0) or (PCIEN = 0) generate
clkint <= clkin;
end generate;
c2 : if PCIEN /= 0 generate
pciclkint <= pciclkin;
p3 : if PCISYSCLK = 1 generate clkint <= pciclkint; end generate;
p0 : if PCIDLL = 1 generate
-- x1 : BUFGDLL port map (I => pciclkint, O => pciclk);
--pragma translate_off
assert false report "PCIDLL = 1 currently not supported for virtex5_clkgen"
severity failure;
--pragma translate_on
end generate;
p1 : if PCIDLL = 0 generate
x1 : BUFG port map (I => pciclkint, O => pciclk);
end generate;
end generate;
c3 : if PCIEN = 0 generate
pciclk <= '0';
end generate;
clk1xu <= clk_k;
clk2xu <= clk_x;
bufg0 : BUFG port map (I => clk0B, O => clk_i);
bufg1 : BUFG port map (I => clk_j, O => clk_k);
bufg2 : BUFG port map (I => clk_l, O => clk_m);
buf34gen : if (CLK2XEN /= 0) generate
cs0 : if (clksel = 0) generate
bufg3 : BUFG port map (I => clk_n, O => clk_i2);
end generate;
cs1 : if (clksel /= 0) generate
bufg3 : BUFGMUX port map (S => cgi.clksel(0), I0 => clk_o, I1 => clk_n, O => clk_i2);
end generate;
bufg4 : BUFG port map (I => clk_o, O => clk_p);
end generate;
dll0rst <= not cgi.pllrst;
-- HMODE_dll0 : if (((FREQ_MHZ*clk_mul)/clk_div >= 140) or (FREQ_MHZ >= 120)) generate
-- dll0 : DCM
-- generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
-- DFS_FREQUENCY_MODE => "HIGH", DLL_FREQUENCY_MODE => "HIGH")
-- port map ( CLKIN => clkint, CLKFB => clk_k, DSSEN => gnd, PSCLK => gnd,
-- PSEN => gnd, PSINCDEC => gnd, RST => dll0rst, CLK0 => clk_j,
-- CLKFX => clk0B, CLK2X => clk_x, CLKFX180 => clk_l, LOCKED => dll0lock);
-- end generate;
-- LMODE_dll0 : if not (((FREQ_MHZ*clk_mul)/clk_div >= 140) or (FREQ_MHZ >= 120)) generate
dll0 : DCM
generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW")
port map ( CLKIN => clkint, CLKFB => clk_k, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst, CLK0 => clk_j,
CLKFX => clk0B, CLK2X => clk_x, CLKFX180 => clk_l, LOCKED => dll0lock);
-- end generate;
clk2xgen : if (CLK2XEN /= 0) generate
-- HMODE_dll2x : if ((FREQ_MHZ*clk_mul)/clk_div >= 120) generate
-- dll2x : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
-- DFS_FREQUENCY_MODE => "HIGH", DLL_FREQUENCY_MODE => "HIGH")
-- port map ( CLKIN => clk_i, CLKFB => clk_p, DSSEN => gnd, PSCLK => gnd,
-- PSEN => gnd, PSINCDEC => gnd, RST => dll2xrst(0), CLK0 => clk_o,
-- CLK2X => clk_n, LOCKED => dll2xlock);
-- end generate;
-- LMODE_dll2x : if not ((FREQ_MHZ*clk_mul)/clk_div >= 120) generate
dll2x : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW")
port map ( CLKIN => clk_i, CLKFB => clk_p, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2xrst(0), CLK0 => clk_o,
CLK2X => clk_n, LOCKED => dll2xlock);
-- end generate;
rstdel2x : process (clk_i, dll0lock)
begin
if dll0lock = '0' then dll2xrst <= (others => '1');
elsif rising_edge(clk_i) then
dll2xrst <= dll2xrst(1 to 3) & '0';
end if;
end process;
end generate;
clk_sd1 : if (CLK2XEN = 0) generate
clk_i2 <= clk_x;
dll2xlock <= dll0lock;
clk_sd <= clk_i;
end generate;
clk_sd2 : if (CLK2XEN = 1) generate clk_sd <= clk_p; end generate;
clk_sd3 : if (CLK2XEN = 2) generate clk_sd <= clk_i2; end generate;
sd0 : if (SDRAMEN /= 0) and (NOCLKFB=0) generate
cgo.clklock <= dll1lock;
-- HMODE_dll1 : if ((FREQ_MHZ*clk_mul)/clk_div >= (120-60*(CLK2XEN/2))) generate
-- dll1 : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
-- DFS_FREQUENCY_MODE => "HIGH", DLL_FREQUENCY_MODE => "HIGH",
-- DESKEW_ADJUST => "SOURCE_SYNCHRONOUS")
-- port map ( CLKIN => clk_sd, CLKFB => cgi.pllref, DSSEN => gnd, PSCLK => gnd,
-- PSEN => gnd, PSINCDEC => gnd, RST => dll1rst(0), CLK0 => lsdclk, --CLK2X => clk2x,
-- LOCKED => dll1lock);
-- end generate;
-- LMODE_dll1 : if not ((FREQ_MHZ*clk_mul)/clk_div >= (120-60*(CLK2XEN/2))) generate
dll1 : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW",
DESKEW_ADJUST => "SOURCE_SYNCHRONOUS")
port map ( CLKIN => clk_sd, CLKFB => cgi.pllref, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll1rst(0), CLK0 => lsdclk, --CLK2X => clk2x,
LOCKED => dll1lock);
-- end generate;
bufgx : BUFG port map (I => lsdclk, O => sdclk);
rstdel : process (clk_sd, dll2xlock)
begin
if dll2xlock = '0' then dll1rst <= (others => '1');
elsif rising_edge(clk_sd) then
dll1rst <= dll1rst(1 to 3) & '0';
end if;
end process;
end generate;
sd1 : if ((SDRAMEN = 0) or (NOCLKFB = 1)) and (CLK2XEN /= 2) generate
sdclk <= clk_i;
cgo.clklock <= dll0lock when (CLK2XEN = 0) else dll2xlock;
end generate;
sd1_2x : if ((SDRAMEN = 0) or (NOCLKFB = 1)) and (CLK2XEN = 2) generate
sdclk <= clk_i2;
cgo.clklock <= dll2xlock;
end generate;
cgo.pcilock <= '1';
-- pragma translate_off
bootmsg : report_version
generic map (
"clkgen_virtex5" & ": virtex-5 sdram/pci clock generator, version " & tost(VERSION),
"clkgen_virtex5" & ": Frequency " & tost(freq) & " KHz, DCM divisor " & tost(clk_mul) & "/" & tost(clk_div));
-- pragma translate_on
end;
------------------------------------------------------------------
-- Virtex7 clock generator ---------------------------------------
------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library grlib;
use grlib.stdlib.all;
library unisim;
use UNISIM.vcomponents.all;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity clkgen_virtex7 is
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
freq : integer := 200000 -- clock frequency in KHz
);
port (
clkin : in std_ulogic;
clk : out std_ulogic; -- main clock
clk90 : out std_ulogic; -- main clock 90deg
clkio : out std_ulogic; -- IO ref clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type
);
end;
architecture struct of clkgen_virtex7 is
component BUFG port (O : out std_logic; I : in std_logic); end component;
----- component PLLE2_ADV -----
component PLLE2_ADV
generic (
BANDWIDTH : string := "OPTIMIZED";
CLKFBOUT_MULT : integer := 5;
CLKFBOUT_PHASE : real := 0.0;
CLKIN1_PERIOD : real := 0.0;
CLKIN2_PERIOD : real := 0.0;
CLKOUT0_DIVIDE : integer := 1;
CLKOUT0_DUTY_CYCLE : real := 0.5;
CLKOUT0_PHASE : real := 0.0;
CLKOUT1_DIVIDE : integer := 1;
CLKOUT1_DUTY_CYCLE : real := 0.5;
CLKOUT1_PHASE : real := 0.0;
CLKOUT2_DIVIDE : integer := 1;
CLKOUT2_DUTY_CYCLE : real := 0.5;
CLKOUT2_PHASE : real := 0.0;
CLKOUT3_DIVIDE : integer := 1;
CLKOUT3_DUTY_CYCLE : real := 0.5;
CLKOUT3_PHASE : real := 0.0;
CLKOUT4_DIVIDE : integer := 1;
CLKOUT4_DUTY_CYCLE : real := 0.5;
CLKOUT4_PHASE : real := 0.0;
CLKOUT5_DIVIDE : integer := 1;
CLKOUT5_DUTY_CYCLE : real := 0.5;
CLKOUT5_PHASE : real := 0.0;
COMPENSATION : string := "ZHOLD";
DIVCLK_DIVIDE : integer := 1;
REF_JITTER1 : real := 0.0;
REF_JITTER2 : real := 0.0;
STARTUP_WAIT : string := "FALSE"
);
port (
CLKFBOUT : out std_ulogic := '0';
CLKOUT0 : out std_ulogic := '0';
CLKOUT1 : out std_ulogic := '0';
CLKOUT2 : out std_ulogic := '0';
CLKOUT3 : out std_ulogic := '0';
CLKOUT4 : out std_ulogic := '0';
CLKOUT5 : out std_ulogic := '0';
DO : out std_logic_vector (15 downto 0);
DRDY : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
CLKFBIN : in std_ulogic;
CLKIN1 : in std_ulogic;
CLKIN2 : in std_ulogic;
CLKINSEL : in std_ulogic;
DADDR : in std_logic_vector(6 downto 0);
DCLK : in std_ulogic;
DEN : in std_ulogic;
DI : in std_logic_vector(15 downto 0);
DWE : in std_ulogic;
PWRDWN : in std_ulogic;
RST : in std_ulogic
);
end component;
constant VERSION : integer := 1;
constant period : real := 1000000.0/real(freq);
constant clkio_div : integer := freq*clk_mul/200000;
signal CLKFBOUT : std_logic;
signal CLKFBIN : std_logic;
signal int_rst : std_logic;
signal clk_nobuf : std_logic;
signal clk90_nobuf : std_logic;
signal clkio_nobuf : std_logic;
begin
CLKFBIN <= CLKFBOUT;
int_rst <= not cgi.pllrst;
PLLE2_ADV_inst : PLLE2_ADV
generic map (
BANDWIDTH => "OPTIMIZED", -- OPTIMIZED, HIGH, LOW
CLKFBOUT_MULT => clk_mul, -- Multiply value for all CLKOUT, (2-64)
CLKFBOUT_PHASE => 0.0, -- Phase offset in degrees of CLKFB, (-360.000-360.000).
-- CLKIN_PERIOD: Input clock period in nS to ps resolution (i.e. 33.333 is 30 MHz).
CLKIN1_PERIOD => period,
CLKIN2_PERIOD => 0.0,
-- CLKOUT0_DIVIDE - CLKOUT5_DIVIDE: Divide amount for CLKOUT (1-128)
CLKOUT0_DIVIDE => clk_div,
CLKOUT1_DIVIDE => clk_div,
CLKOUT2_DIVIDE => clkio_div,
CLKOUT3_DIVIDE => 1,
CLKOUT4_DIVIDE => 1,
CLKOUT5_DIVIDE => 1,
-- CLKOUT0_DUTY_CYCLE - CLKOUT5_DUTY_CYCLE: Duty cycle for CLKOUT outputs (0.001-0.999).
CLKOUT0_DUTY_CYCLE => 0.5,
CLKOUT1_DUTY_CYCLE => 0.5,
CLKOUT2_DUTY_CYCLE => 0.5,
CLKOUT3_DUTY_CYCLE => 0.5,
CLKOUT4_DUTY_CYCLE => 0.5,
CLKOUT5_DUTY_CYCLE => 0.5,
-- CLKOUT0_PHASE - CLKOUT5_PHASE: Phase offset for CLKOUT outputs (-360.000-360.000).
CLKOUT0_PHASE => 0.0,
CLKOUT1_PHASE => 90.0,
CLKOUT2_PHASE => 0.0,
CLKOUT3_PHASE => 0.0,
CLKOUT4_PHASE => 0.0,
CLKOUT5_PHASE => 0.0,
COMPENSATION => "ZHOLD", -- ZHOLD, BUF_IN, EXTERNAL, INTERNAL
DIVCLK_DIVIDE => 1, -- Master division value (1-56)
-- REF_JITTER: Reference input jitter in UI (0.000-0.999).
REF_JITTER1 => 0.0,
REF_JITTER2 => 0.0,
STARTUP_WAIT => "TRUE" -- Delay DONE until PLL Locks, ("TRUE"/"FALSE")
)
port map (
-- Clock Outputs: 1-bit (each) output: User configurable clock outputs
CLKOUT0 => clk_nobuf,
CLKOUT1 => clk90_nobuf,
CLKOUT2 => clkio_nobuf,
CLKOUT3 => OPEN,
CLKOUT4 => OPEN,
CLKOUT5 => OPEN,
-- DRP Ports: 16-bit (each) output: Dynamic reconfigration ports
DO => OPEN,
DRDY => OPEN,
-- Feedback Clocks: 1-bit (each) output: Clock feedback ports
CLKFBOUT => CLKFBOUT,
-- Status Ports: 1-bit (each) output: PLL status ports
LOCKED => cgo.clklock,
-- Clock Inputs: 1-bit (each) input: Clock inputs
CLKIN1 => clkin,
CLKIN2 => '0',
-- Con trol Ports: 1-bit (each) input: PLL control ports
CLKINSEL => '1',
PWRDWN => '0',
RST => int_rst,
-- DRP Ports: 7-bit (each) input: Dynamic reconfigration ports
DADDR => "0000000",
DCLK => '0',
DEN => '0',
DI => "0000000000000000",
DWE => '0',
-- Feedback Clocks: 1-bit (each) input: Clock feedback ports
CLKFBIN => CLKFBIN
);
cgo.pcilock <= '0';
bufgclk0 : BUFG port map (I => clk_nobuf, O => clk);
bufgclk90 : BUFG port map (I => clk90_nobuf, O => clk90);
bufgclkio : BUFG port map (I => clkio_nobuf, O => clkio);
-- pragma translate_off
bootmsg : report_version
generic map (
"clkgen_virtex7" & ": virtex-7 sdram/pci clock generator, version " & tost(VERSION),
"clkgen_virtex7" & ": Frequency " & tost(freq) & " KHz, DCM divisor " & tost(clk_mul) & "/" & tost(clk_div));
-- pragma translate_on
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BUFGMUX;
-- pragma translate_on
entity clkand_unisim is
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic
);
end entity;
architecture rtl of clkand_unisim is
component BUFGCE
port(
O : out STD_ULOGIC;
CE: in STD_ULOGIC;
I : in STD_ULOGIC
);
end component;
begin
buf : bufgce port map(I => i, CE => en, O => o);
end architecture;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BUFGMUX;
-- pragma translate_on
entity clkmux_unisim is
port(
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic
);
end entity;
architecture rtl of clkmux_unisim is
component bufgmux is
port(
i0, i1 : in std_ulogic;
s : in std_ulogic;
o : out std_ulogic);
end component;
signal sel0, sel1, cg0, cg1 : std_ulogic;
begin
buf : bufgmux port map(S => sel, I0 => i0, I1 => i1, O => o);
end architecture;
|
Subsets and Splits
No saved queries yet
Save your SQL queries to embed, download, and access them later. Queries will appear here once saved.