content
stringlengths
1
1.04M
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
-- -- General-purpose FIFO for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; entity fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end entity fifo; architecture behave of fifo is type mem_t is array (0 to ((2**bits)-1)) of std_logic_vector(7 downto 0); signal memory: mem_t; signal wraddr: unsigned(bits-1 downto 0); signal rdaddr: unsigned(bits-1 downto 0); begin process(clk) begin if rising_edge(clk) then read <= memory( conv_integer(std_logic_vector(rdaddr)) ); end if; end process; process(clk,rdaddr,wraddr,rst) variable full_v: std_logic; variable empty_v: std_logic; begin if rdaddr=wraddr then empty_v:='1'; else empty_v:='0'; end if; if wraddr=rdaddr-1 then full_v:='1'; else full_v:='0'; end if; if rising_edge(clk) then if rst='1' then wraddr <= (others => '0'); rdaddr <= (others => '0'); else if wr='1' and full_v='0' then memory(conv_integer(std_logic_vector(wraddr) ) ) <= write; wraddr <= wraddr+1; end if; if rd='1' and empty_v='0' then rdaddr <= rdaddr+1; end if; end if; full <= full_v; empty <= empty_v; end if; end process; end behave;
------------------------------------------------------------------------------- -- Title : ------------------------------------------------------------------------------- -- File : UtilityPkg.vhd -- Author : Kurtis Nishimura ------------------------------------------------------------------------------- -- Description: A set of common useful definitions. Some of these ideas -- originate from Ben Reese @ SLAC and his StdRtlPkg. ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package UtilityPkg is -- Shorthand names for common types subtype sl is std_logic; subtype slv is std_logic_vector; -- Useful array types type Word8Array is array (natural range <>) of slv( 7 downto 0); type Word9Array is array (natural range <>) of slv( 8 downto 0); type Word10Array is array (natural range <>) of slv( 9 downto 0); type Word13Array is array (natural range <>) of slv(12 downto 0); type Word16Array is array (natural range <>) of slv(15 downto 0); type Word32Array is array (natural range <>) of slv(31 downto 0); ----------------------- -- Function prototypes ----------------------- -- Grab 1 byte of an input SLV function getByte (byteNum : integer; input : slv) return slv; -- Conditional selection of constants function sel (conditional : boolean; if_true : natural; if_false : natural) return natural; -- Count number of 1's in a std_logic_vector function countOnes (input : slv) return integer; -- Sum up number of bytes function sumBytes (input : Word8Array) return integer; -- Sum up an array of 2-byte inputs function sum2Bytes (input : Word16Array) return integer; end UtilityPkg; package body UtilityPkg is function getByte (byteNum : integer; input : slv) return slv is variable retVar : slv(7 downto 0) := (others => '0'); begin -- Make sure that we're not looking out of range of the input assert(byteNum*8 <= input'length and byteNum >= 0) report "Byte number is out of range!" severity failure; -- Calculate the byte we want retVar := input(8*byteNum+7 downto 8*byteNum); return retVar; end function; function sel (conditional : boolean; if_true : natural; if_false : natural) return natural is begin if (conditional = true) then return(if_true); else return(if_false); end if; end function; function countOnes (input : slv) return integer is variable retVal : integer := 0; begin for i in input'range loop if input(i) = '1' then retVal := retVal + 1; end if; end loop; return retVal; end function; function sumBytes (input : Word8Array) return integer is variable retVal : integer := 0; begin for i in input'range loop retVal := retVal + conv_integer(input(i)); end loop; return retVal; end function; function sum2Bytes (input : Word16Array) return integer is variable retVal : integer := 0; begin for i in input'range loop retVal := retVal + conv_integer(input(i)); end loop; return retVal; end function; end package body UtilityPkg;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_get_finals is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; ap_ce : IN STD_LOGIC; nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of nfa_get_finals is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_ST_pp0_stg1_fsm_1 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_ST_pp0_stg2_fsm_2 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_ST_pp0_stg3_fsm_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv64_1 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; signal ap_CS_fsm : STD_LOGIC_VECTOR (1 downto 0) := "10"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal nfa_finals_buckets_read_reg_59 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppiten_pp0_it0_preg : STD_LOGIC := '0'; signal ap_NS_fsm : STD_LOGIC_VECTOR (1 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; signal ap_sig_bdd_131 : BOOLEAN; signal ap_sig_bdd_130 : BOOLEAN; begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it0_preg assign process. -- ap_reg_ppiten_pp0_it0_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0_preg <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))))) then ap_reg_ppiten_pp0_it0_preg <= ap_start; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it0)))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; elsif (((ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg2_fsm_2 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))) then nfa_finals_buckets_read_reg_59 <= nfa_finals_buckets_datain; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it1 , ap_ce , nfa_finals_buckets_rsp_empty_n , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))) and not(((ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_start))))) then ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; end if; when ap_ST_pp0_stg1_fsm_1 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_sig_pprstidle_pp0)))) then ap_NS_fsm <= ap_ST_pp0_stg2_fsm_2; elsif ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_sig_pprstidle_pp0))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; end if; when ap_ST_pp0_stg2_fsm_2 => if (not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))) then ap_NS_fsm <= ap_ST_pp0_stg3_fsm_3; else ap_NS_fsm <= ap_ST_pp0_stg2_fsm_2; end if; when ap_ST_pp0_stg3_fsm_3 => if ((ap_const_logic_1 = ap_ce)) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg3_fsm_3; end if; when others => ap_NS_fsm <= "XX"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_ce, nfa_finals_buckets_rsp_empty_n) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_ce) begin if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0_preg) begin if ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm)) then ap_reg_ppiten_pp0_it0 <= ap_start; else ap_reg_ppiten_pp0_it0 <= ap_reg_ppiten_pp0_it0_preg; end if; end process; ap_return_0 <= nfa_finals_buckets_read_reg_59; ap_return_1 <= nfa_finals_buckets_datain; -- ap_sig_bdd_130 assign process. -- ap_sig_bdd_130_assign_proc : process(ap_reg_ppiten_pp0_it0, ap_ce) begin ap_sig_bdd_130 <= ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce)); end process; -- ap_sig_bdd_131 assign process. -- ap_sig_bdd_131_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0) begin ap_sig_bdd_131 <= ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))); end process; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_address assign process. -- nfa_finals_buckets_address_assign_proc : process(ap_CS_fsm, ap_sig_bdd_131, ap_sig_bdd_130) begin if (ap_sig_bdd_130) then if ((ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm)) then nfa_finals_buckets_address <= ap_const_lv64_1(32 - 1 downto 0); elsif (ap_sig_bdd_131) then nfa_finals_buckets_address <= ap_const_lv32_0; else nfa_finals_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; else nfa_finals_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; nfa_finals_buckets_dataout <= ap_const_lv32_0; nfa_finals_buckets_req_din <= ap_const_logic_0; -- nfa_finals_buckets_req_write assign process. -- nfa_finals_buckets_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_ce) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))))) then nfa_finals_buckets_req_write <= ap_const_logic_1; else nfa_finals_buckets_req_write <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_rsp_read assign process. -- nfa_finals_buckets_rsp_read_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_ce, nfa_finals_buckets_rsp_empty_n) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg2_fsm_2 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))))) then nfa_finals_buckets_rsp_read <= ap_const_logic_1; else nfa_finals_buckets_rsp_read <= ap_const_logic_0; end if; end process; nfa_finals_buckets_size <= ap_const_lv32_1; end behav;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_get_finals is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; ap_ce : IN STD_LOGIC; nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of nfa_get_finals is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_ST_pp0_stg1_fsm_1 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_ST_pp0_stg2_fsm_2 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_ST_pp0_stg3_fsm_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv64_1 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; signal ap_CS_fsm : STD_LOGIC_VECTOR (1 downto 0) := "10"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal nfa_finals_buckets_read_reg_59 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppiten_pp0_it0_preg : STD_LOGIC := '0'; signal ap_NS_fsm : STD_LOGIC_VECTOR (1 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; signal ap_sig_bdd_131 : BOOLEAN; signal ap_sig_bdd_130 : BOOLEAN; begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it0_preg assign process. -- ap_reg_ppiten_pp0_it0_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0_preg <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))))) then ap_reg_ppiten_pp0_it0_preg <= ap_start; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it0)))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; elsif (((ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg2_fsm_2 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))) then nfa_finals_buckets_read_reg_59 <= nfa_finals_buckets_datain; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it1 , ap_ce , nfa_finals_buckets_rsp_empty_n , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))) and not(((ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_start))))) then ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; end if; when ap_ST_pp0_stg1_fsm_1 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_sig_pprstidle_pp0)))) then ap_NS_fsm <= ap_ST_pp0_stg2_fsm_2; elsif ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_sig_pprstidle_pp0))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; end if; when ap_ST_pp0_stg2_fsm_2 => if (not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))) then ap_NS_fsm <= ap_ST_pp0_stg3_fsm_3; else ap_NS_fsm <= ap_ST_pp0_stg2_fsm_2; end if; when ap_ST_pp0_stg3_fsm_3 => if ((ap_const_logic_1 = ap_ce)) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg3_fsm_3; end if; when others => ap_NS_fsm <= "XX"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_ce, nfa_finals_buckets_rsp_empty_n) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_ce) begin if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0_preg) begin if ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm)) then ap_reg_ppiten_pp0_it0 <= ap_start; else ap_reg_ppiten_pp0_it0 <= ap_reg_ppiten_pp0_it0_preg; end if; end process; ap_return_0 <= nfa_finals_buckets_read_reg_59; ap_return_1 <= nfa_finals_buckets_datain; -- ap_sig_bdd_130 assign process. -- ap_sig_bdd_130_assign_proc : process(ap_reg_ppiten_pp0_it0, ap_ce) begin ap_sig_bdd_130 <= ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce)); end process; -- ap_sig_bdd_131 assign process. -- ap_sig_bdd_131_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0) begin ap_sig_bdd_131 <= ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))); end process; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_address assign process. -- nfa_finals_buckets_address_assign_proc : process(ap_CS_fsm, ap_sig_bdd_131, ap_sig_bdd_130) begin if (ap_sig_bdd_130) then if ((ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm)) then nfa_finals_buckets_address <= ap_const_lv64_1(32 - 1 downto 0); elsif (ap_sig_bdd_131) then nfa_finals_buckets_address <= ap_const_lv32_0; else nfa_finals_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; else nfa_finals_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; nfa_finals_buckets_dataout <= ap_const_lv32_0; nfa_finals_buckets_req_din <= ap_const_logic_0; -- nfa_finals_buckets_req_write assign process. -- nfa_finals_buckets_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_ce) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))))) then nfa_finals_buckets_req_write <= ap_const_logic_1; else nfa_finals_buckets_req_write <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_rsp_read assign process. -- nfa_finals_buckets_rsp_read_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_ce, nfa_finals_buckets_rsp_empty_n) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg2_fsm_2 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))))) then nfa_finals_buckets_rsp_read <= ap_const_logic_1; else nfa_finals_buckets_rsp_read <= ap_const_logic_0; end if; end process; nfa_finals_buckets_size <= ap_const_lv32_1; end behav;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_get_finals is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; ap_ce : IN STD_LOGIC; nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of nfa_get_finals is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_ST_pp0_stg1_fsm_1 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_ST_pp0_stg2_fsm_2 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_ST_pp0_stg3_fsm_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv64_1 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; signal ap_CS_fsm : STD_LOGIC_VECTOR (1 downto 0) := "10"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal nfa_finals_buckets_read_reg_59 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppiten_pp0_it0_preg : STD_LOGIC := '0'; signal ap_NS_fsm : STD_LOGIC_VECTOR (1 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; signal ap_sig_bdd_131 : BOOLEAN; signal ap_sig_bdd_130 : BOOLEAN; begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it0_preg assign process. -- ap_reg_ppiten_pp0_it0_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0_preg <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))))) then ap_reg_ppiten_pp0_it0_preg <= ap_start; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it0)))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; elsif (((ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg2_fsm_2 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))) then nfa_finals_buckets_read_reg_59 <= nfa_finals_buckets_datain; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it1 , ap_ce , nfa_finals_buckets_rsp_empty_n , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))) and not(((ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_start))))) then ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; end if; when ap_ST_pp0_stg1_fsm_1 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_sig_pprstidle_pp0)))) then ap_NS_fsm <= ap_ST_pp0_stg2_fsm_2; elsif ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_sig_pprstidle_pp0))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; end if; when ap_ST_pp0_stg2_fsm_2 => if (not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))) then ap_NS_fsm <= ap_ST_pp0_stg3_fsm_3; else ap_NS_fsm <= ap_ST_pp0_stg2_fsm_2; end if; when ap_ST_pp0_stg3_fsm_3 => if ((ap_const_logic_1 = ap_ce)) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg3_fsm_3; end if; when others => ap_NS_fsm <= "XX"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_ce, nfa_finals_buckets_rsp_empty_n) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_ce) begin if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0_preg) begin if ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm)) then ap_reg_ppiten_pp0_it0 <= ap_start; else ap_reg_ppiten_pp0_it0 <= ap_reg_ppiten_pp0_it0_preg; end if; end process; ap_return_0 <= nfa_finals_buckets_read_reg_59; ap_return_1 <= nfa_finals_buckets_datain; -- ap_sig_bdd_130 assign process. -- ap_sig_bdd_130_assign_proc : process(ap_reg_ppiten_pp0_it0, ap_ce) begin ap_sig_bdd_130 <= ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce)); end process; -- ap_sig_bdd_131 assign process. -- ap_sig_bdd_131_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0) begin ap_sig_bdd_131 <= ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))); end process; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_address assign process. -- nfa_finals_buckets_address_assign_proc : process(ap_CS_fsm, ap_sig_bdd_131, ap_sig_bdd_130) begin if (ap_sig_bdd_130) then if ((ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm)) then nfa_finals_buckets_address <= ap_const_lv64_1(32 - 1 downto 0); elsif (ap_sig_bdd_131) then nfa_finals_buckets_address <= ap_const_lv32_0; else nfa_finals_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; else nfa_finals_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; nfa_finals_buckets_dataout <= ap_const_lv32_0; nfa_finals_buckets_req_din <= ap_const_logic_0; -- nfa_finals_buckets_req_write assign process. -- nfa_finals_buckets_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_ce) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))))) then nfa_finals_buckets_req_write <= ap_const_logic_1; else nfa_finals_buckets_req_write <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_rsp_read assign process. -- nfa_finals_buckets_rsp_read_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_ce, nfa_finals_buckets_rsp_empty_n) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg2_fsm_2 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))))) then nfa_finals_buckets_rsp_read <= ap_const_logic_1; else nfa_finals_buckets_rsp_read <= ap_const_logic_0; end if; end process; nfa_finals_buckets_size <= ap_const_lv32_1; end behav;
package body fifo_pkg is end package body fifo_pkg; package body fifo is end package body fifo;
--/******************************************************************************* -- * -- * -- * File: -- * counter.vhd -- * -- * -- * Module: -- * precise_timestamp -- * -- * Author: -- * Mario Ruiz -- * -- * -- * Copyright (C) 2015 - Mario Ruiz and HPCN-UAM High Performance Computing and Networking -- * -- * Licence: -- * This file is part of the HPCN-NetFPGA 10G development base package. -- * -- * This file is free code: you can redistribute it and/or modify it under -- * the terms of the GNU Lesser General Public License version 2.0 as -- * published by the Free Software Foundation. -- * -- * This package is distributed in the hope that it will be useful, but -- * WITHOUT ANY WARRANTY; without even the implied warranty of -- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- * Lesser General Public License for more details. -- * -- * You should have received a copy of the GNU Lesser General Public -- * License along with the NetFPGA source package. If not, see -- * http://www.gnu.org/licenses/. -- * -- */ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter is generic ( constant width_counter : integer := 32; constant max_count : std_logic_vector := x"3B9ACA00"; -- 1.000.000.000 ns constant high_time_pps : std_logic_vector := x"05F5E100"; -- 100.000.000 ns constant counter_increment : integer :=10 -- 10ns ); port( aclk : in std_logic; aresetn : in std_logic; timedrift : in std_logic_vector (1 downto 0); pps : in std_logic; pps_internal : out std_logic; second : out std_logic_vector (width_counter-1 downto 0); nanosecond : out std_logic_vector (width_counter-1 downto 0); mode : in std_logic; updatesec : in std_logic_vector (width_counter-1 downto 0) ); end counter; -- Mode -- 0 Stop and load second -- 1 Start Counting architecture Behavioral of counter is signal nsec_count,sec_count : std_logic_vector (width_counter-1 downto 0); type state_t is (load, waiting, counting); signal state : state_t := load; signal next_state : state_t; signal pps_ant : std_logic :='1'; begin process (aclk) begin if rising_edge(aclk) then if (aresetn='0') then nsec_count <= (others => '0'); sec_count <= (others => '0'); pps_internal <= '0'; next_state <= load; else case (state) is when load => -- load second if (mode='0') then sec_count <=updatesec; nsec_count <= (others =>'0'); next_state <= load; else next_state <= waiting; end if; when waiting => -- wait for pps signal if (pps_ant='0' and pps='1') then -- when rising_edge pps increment second and start normal counting sec_count <=sec_count+1; next_state <= counting; elsif (mode = '0') then next_state <= load; else next_state <= waiting; end if; pps_ant <=pps; when counting => -- normal counting case (timedrift) is -- check that correction is available when "01" => -- advance counting nsec_count <= nsec_count + counter_increment+1; -- nsec_count + 11 when "10" => nsec_count <= nsec_count + counter_increment-1; -- nsec_count + 9 when others => -- normal counting nsec_count <= nsec_count + counter_increment; -- nsec_count + 10 end case; if (nsec_count > max_count-10) then -- sec_count <= sec_count+1; nsec_count <= (others =>'0'); pps_internal <='1'; elsif (nsec_count > high_time_pps) then pps_internal <='0'; end if; if (mode = '0') then next_state <= load; else next_state <= counting; end if; end case; end if; end if; end process; state <= next_state; second <= sec_count; nanosecond <= nsec_count; end Behavioral;
library ieee; use ieee.std_logic_1164.all; entity reg_file_tb is end entity reg_file_tb; architecture tb of reg_file_tb is constant T : time := 20 ns; constant B : integer := 16; constant R : integer := 4; signal clk, rst, we_l : std_logic; signal w_data, r_data_1, r_data_2 : std_logic_vector(B-1 downto 0); signal w_addr, r_addr_1, r_addr_2 : std_logic_vector(R-1 downto 0); begin uut : entity work.reg_file generic map(B => B, R => R) port map(clk => clk, rst => rst, we_l => we_l, w_data => w_data, w_addr => w_addr, rd_addr_1 => r_addr_1, rd_addr_2 => r_addr_2, rd_data_1 => r_data_1, rd_data_2 => r_data_2); clk_gen : process is begin clk <= '0'; wait for T/2; clk <= '1'; wait for T/2; end process clk_gen; rst <= '1', '0' after 3*T/4; stim_gen : process is begin we_l <= '1'; r_addr_1 <= X"2"; r_addr_2 <= X"3"; wait for 4*T; assert false report "Simulation over" severity failure; end process stim_gen; end architecture tb;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: test_image_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY test_image_tb IS END ENTITY; ARCHITECTURE test_image_tb_ARCH OF test_image_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; test_image_synth_inst:ENTITY work.test_image_synth PORT MAP( CLK_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural := 8; depth : natural := 1; reset_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; component dspba_sync_reg is generic ( width1 : natural := 8; width2 : natural := 8; depth : natural := 2; init_value : std_logic_vector; pulse_multiplier : natural := 1; counter_width : natural := 8; reset1_high : std_logic := '1'; reset2_high : std_logic := '1'; reset_kind : string := "ASYNC" ); port ( clk1 : in std_logic; aclr1 : in std_logic; ena : in std_logic_vector(0 downto 0); xin : in std_logic_vector(width1-1 downto 0); xout : out std_logic_vector(width1-1 downto 0); clk2 : in std_logic; aclr2 : in std_logic; sxout : out std_logic_vector(width2-1 downto 0) ); end component; end dspba_library_package;
------------------------------------------------------------------------------- -- -- -- CERN BE-CO-HT GN4124 core for PCIe FMC carrier -- -- http://www.ohwr.org/projects/gn4124-core -- ------------------------------------------------------------------------------- -- -- unit name: GN4124 core arbiter (arbiter.vhd) -- -- authors: Simon Deprez ([email protected]) -- Matthieu Cattin ([email protected]) -- -- date: 12-08-2010 -- -- version: 0.1 -- -- description: Arbitrates PCIe accesses between Wishbone master, -- L2P DMA master and P2L DMA master -- -- dependencies: -- -------------------------------------------------------------------------------- -- GNU LESSER GENERAL PUBLIC LICENSE -------------------------------------------------------------------------------- -- This source file is free software; you can redistribute it and/or modify it -- under the terms of the GNU Lesser General Public License as published by the -- Free Software Foundation; either version 2.1 of the License, or (at your -- option) any later version. This source is distributed in the hope that it -- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU Lesser General Public License for more details. You should have -- received a copy of the GNU Lesser General Public License along with this -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html ------------------------------------------------------------------------------- -- last changes: 23-09-2010 (mcattin) Add FF on data path and -- change valid request logic -- 26.02.2014 (theim) Changed priority order (swapped LDM <-> PDM) ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; --use work.gn4124_core_pkg.all; --use work.common_pkg.all; entity l2p_arbiter is generic( axis_data_width_c : integer := 64 ); port ( --------------------------------------------------------- -- GN4124 core clock and reset clk_i : in std_logic; rst_n_i : in std_logic; --------------------------------------------------------- -- From Wishbone master (wbm) to arbiter (arb) wbm_arb_tdata_i : in std_logic_vector (axis_data_width_c - 1 downto 0); wbm_arb_tkeep_i : in std_logic_vector (axis_data_width_c/8 - 1 downto 0); wbm_arb_tlast_i : in std_logic; wbm_arb_tvalid_i : in std_logic; wbm_arb_tready_o : out std_logic; wbm_arb_req_i : in std_logic; arb_wbm_gnt_o : out std_logic; --------------------------------------------------------- -- From P2L DMA master (pdm) to arbiter (arb) pdm_arb_tdata_i : in std_logic_vector (axis_data_width_c - 1 downto 0); pdm_arb_tkeep_i : in std_logic_vector (axis_data_width_c/8 - 1 downto 0); pdm_arb_tlast_i : in std_logic; pdm_arb_tvalid_i : in std_logic; pdm_arb_tready_o : out std_logic; pdm_arb_req_i : in std_logic; arb_pdm_gnt_o : out std_logic; --------------------------------------------------------- -- From L2P DMA master (ldm) to arbiter (arb) ldm_arb_tdata_i : in std_logic_vector (axis_data_width_c - 1 downto 0); ldm_arb_tkeep_i : in std_logic_vector (axis_data_width_c/8 - 1 downto 0); ldm_arb_tlast_i : in std_logic; ldm_arb_tvalid_i : in std_logic; ldm_arb_tready_o : out std_logic; ldm_arb_req_i : in std_logic; arb_ldm_gnt_o : out std_logic; --------------------------------------------------------- -- From arbiter (arb) to pcie_tx (tx) axis_tx_tdata_o : out STD_LOGIC_VECTOR (axis_data_width_c - 1 downto 0); axis_tx_tkeep_o : out STD_LOGIC_VECTOR (axis_data_width_c/8 - 1 downto 0); axis_tx_tuser_o : out STD_LOGIC_VECTOR (3 downto 0); axis_tx_tlast_o : out STD_LOGIC; axis_tx_tvalid_o : out STD_LOGIC; axis_tx_tready_i : in STD_LOGIC; --------------------------------------------------------- -- Debug eop_do : out std_logic ); end l2p_arbiter; architecture rtl of l2p_arbiter is ------------------------------------------------------------------------------ -- Signals declaration ------------------------------------------------------------------------------ signal wbm_arb_req_valid : std_logic; signal pdm_arb_req_valid : std_logic; signal ldm_arb_req_valid : std_logic; signal arb_wbm_gnt : std_logic; signal arb_pdm_gnt : std_logic; signal arb_ldm_gnt : std_logic; signal eop : std_logic; -- End of packet signal axis_tx_tvalid_t : std_logic; signal axis_tx_tlast_t : std_logic; signal axis_tx_tdata_t : std_logic_vector(axis_data_width_c - 1 downto 0); signal axis_tx_tkeep_t : std_logic_vector(axis_data_width_c/8 - 1 downto 0); constant c_RST_ACTIVE : std_logic := '0'; begin -- A request is valid only if the access not already granted to another source wbm_arb_req_valid <= wbm_arb_req_i and (not(arb_pdm_gnt) and not(arb_ldm_gnt)); pdm_arb_req_valid <= pdm_arb_req_i and (not(arb_wbm_gnt) and not(arb_ldm_gnt)); ldm_arb_req_valid <= ldm_arb_req_i and (not(arb_wbm_gnt) and not(arb_pdm_gnt)); eop_do <= eop; -- Detect end of packet to delimit the arbitration phase -- eop <= ((arb_wbm_gnt and not(wbm_arb_dframe_i) and wbm_arb_valid_i) or -- (arb_pdm_gnt and not(pdm_arb_dframe_i) and pdm_arb_valid_i) or -- (arb_ldm_gnt and not(ldm_arb_dframe_i) and ldm_arb_valid_i)); process (clk_i, rst_n_i) begin if (rst_n_i = c_RST_ACTIVE) then eop <= '0'; elsif rising_edge(clk_i) then if ((arb_wbm_gnt = '1' and wbm_arb_tlast_i = '1') or (arb_pdm_gnt = '1' and pdm_arb_tlast_i = '1') or (arb_ldm_gnt = '1' and ldm_arb_tlast_i = '1')) then eop <= '1'; else eop <= '0'; end if; end if; end process; ----------------------------------------------------------------------------- -- Arbitration is started when a valid request is present and ends when the -- EOP condition is detected -- -- Strict priority arbitration scheme -- Highest : WBM request -- : LDM request -- Lowest : PDM request ----------------------------------------------------------------------------- process (clk_i, rst_n_i) begin if(rst_n_i = c_RST_ACTIVE) then arb_wbm_gnt <= '0'; arb_pdm_gnt <= '0'; arb_ldm_gnt <= '0'; elsif rising_edge(clk_i) then --if (arb_req_valid = '1') then if (eop = '1') then arb_wbm_gnt <= '0'; arb_pdm_gnt <= '0'; arb_ldm_gnt <= '0'; elsif (wbm_arb_req_valid = '1') then arb_wbm_gnt <= '1'; arb_pdm_gnt <= '0'; arb_ldm_gnt <= '0'; elsif (ldm_arb_req_valid = '1') then arb_wbm_gnt <= '0'; arb_pdm_gnt <= '0'; arb_ldm_gnt <= '1'; elsif (pdm_arb_req_valid = '1') then arb_wbm_gnt <= '0'; arb_pdm_gnt <= '1'; arb_ldm_gnt <= '0'; end if; end if; end process; process (clk_i, rst_n_i) begin if rst_n_i = '0' then axis_tx_tvalid_t <= '0'; axis_tx_tlast_t <= '0'; axis_tx_tdata_t <= (others => '0'); axis_tx_tkeep_t <= (others => '0'); elsif rising_edge(clk_i) then if arb_wbm_gnt = '1' then axis_tx_tvalid_t <= wbm_arb_tvalid_i; axis_tx_tlast_t <= wbm_arb_tlast_i; axis_tx_tdata_t <= wbm_arb_tdata_i; axis_tx_tkeep_t <= wbm_arb_tkeep_i; elsif arb_pdm_gnt = '1' then axis_tx_tvalid_t <= pdm_arb_tvalid_i; axis_tx_tlast_t <= pdm_arb_tlast_i; axis_tx_tdata_t <= pdm_arb_tdata_i; axis_tx_tkeep_t <= pdm_arb_tkeep_i; elsif arb_ldm_gnt = '1' then axis_tx_tvalid_t <= ldm_arb_tvalid_i; axis_tx_tlast_t <= ldm_arb_tlast_i; axis_tx_tdata_t <= ldm_arb_tdata_i; axis_tx_tkeep_t <= ldm_arb_tkeep_i; else axis_tx_tvalid_t <= '0'; axis_tx_tlast_t <= '0'; axis_tx_tdata_t <= (others => '0'); axis_tx_tkeep_t <= (others => '0'); end if; end if; end process; process (clk_i, rst_n_i) begin if rst_n_i = c_RST_ACTIVE then axis_tx_tvalid_o <= '0'; axis_tx_tlast_o <= '0'; axis_tx_tdata_o <= (others => '0'); axis_tx_tkeep_o <= (others => '0'); elsif rising_edge(clk_i) then axis_tx_tvalid_o <= axis_tx_tvalid_t; axis_tx_tlast_o <= axis_tx_tlast_t; axis_tx_tdata_o <= axis_tx_tdata_t; axis_tx_tkeep_o <= axis_tx_tkeep_t; end if; end process; arb_wbm_gnt_o <= arb_wbm_gnt; arb_pdm_gnt_o <= arb_pdm_gnt; arb_ldm_gnt_o <= arb_ldm_gnt; wbm_arb_tready_o <= axis_tx_tready_i and arb_wbm_gnt; pdm_arb_tready_o <= axis_tx_tready_i and arb_pdm_gnt; ldm_arb_tready_o <= axis_tx_tready_i and arb_ldm_gnt; axis_tx_tuser_o <= "0000"; end rtl;
-- Btrace 448 -- Up/down counter -- -- Bradley Boccuzzi -- 2016 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is generic(n: integer := 5); port(clk, reset: in std_logic; ld, up, down: in std_logic; d: in std_logic_vector(n-1 downto 0); q: out std_logic_vector(n-1 downto 0) := (others => '0')); end counter; architecture arch of counter is signal q_next: std_logic_vector(n-1 downto 0); begin process(clk, reset) begin if (reset = '1') then q_next <= (others => '0'); elsif rising_edge(clk) then if (ld = '1') then q_next <= d; elsif (up = '1') then q_next <= std_logic_vector(unsigned(q_next) + 1); elsif (down = '1') then q_next <= std_logic_vector(unsigned(q_next) - 1); end if; end if; end process; q <= q_next; end arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mash is generic ( g_order : positive := 2; g_width : positive := 16 ); port ( clock : in std_logic; enable : in std_logic := '1'; reset : in std_logic; dac_in : in unsigned(g_width-1 downto 0); dac_out : out integer range 0 to (2**g_order)-1); end entity; architecture gideon of mash is type t_accu_array is array(natural range <>) of unsigned(g_width-1 downto 0); signal accu : t_accu_array(0 to g_order-1); signal carry : std_logic_vector(0 to g_order-1); signal sum : t_accu_array(0 to g_order-1); subtype t_delta_range is integer range -(2**(g_order-1)) to (2**(g_order-1)); type t_int_array is array(natural range <>) of t_delta_range; signal delta : t_int_array(0 to g_order-1) := (others => 0); signal delta_d : t_int_array(0 to g_order-1) := (others => 0); procedure sum_with_carry(a, b : unsigned; y : out unsigned; c : out std_logic ) is variable a_ext : unsigned(a'length downto 0); variable b_ext : unsigned(a'length downto 0); variable summed : unsigned(a'length downto 0); begin a_ext := '0' & a; b_ext := '0' & b; summed := a_ext + b_ext; c := summed(summed'left); y := summed(a'length-1 downto 0); end procedure; function count_deltas(a : std_logic; b : t_delta_range; c : t_delta_range) return t_delta_range is begin if a = '1' then return 1 + b - c; end if; return b - c; end function; begin process(accu, dac_in, carry, delta, delta_d, sum) variable a : unsigned(dac_in'range); variable y : unsigned(dac_in'range); variable c : std_logic; begin for i in 0 to g_order-1 loop if i=0 then a := dac_in; else a := sum(i-1); end if; sum_with_carry(a, accu(i), y, c); sum(i) <= y; carry(i) <= c; if i = g_order-1 then delta(i) <= count_deltas(carry(i), 0, 0); else delta(i) <= count_deltas(carry(i), delta(i+1), delta_d(i+1)); end if; end loop; end process; dac_out <= delta_d(0) + (2 ** (g_order-1) - 1); process(clock) begin if rising_edge(clock) then if enable='1' then accu <= sum; delta_d <= delta; end if; if reset='1' then accu <= (others => (others => '0')); delta_d <= (others => 0); end if; end if; end process; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mash is generic ( g_order : positive := 2; g_width : positive := 16 ); port ( clock : in std_logic; enable : in std_logic := '1'; reset : in std_logic; dac_in : in unsigned(g_width-1 downto 0); dac_out : out integer range 0 to (2**g_order)-1); end entity; architecture gideon of mash is type t_accu_array is array(natural range <>) of unsigned(g_width-1 downto 0); signal accu : t_accu_array(0 to g_order-1); signal carry : std_logic_vector(0 to g_order-1); signal sum : t_accu_array(0 to g_order-1); subtype t_delta_range is integer range -(2**(g_order-1)) to (2**(g_order-1)); type t_int_array is array(natural range <>) of t_delta_range; signal delta : t_int_array(0 to g_order-1) := (others => 0); signal delta_d : t_int_array(0 to g_order-1) := (others => 0); procedure sum_with_carry(a, b : unsigned; y : out unsigned; c : out std_logic ) is variable a_ext : unsigned(a'length downto 0); variable b_ext : unsigned(a'length downto 0); variable summed : unsigned(a'length downto 0); begin a_ext := '0' & a; b_ext := '0' & b; summed := a_ext + b_ext; c := summed(summed'left); y := summed(a'length-1 downto 0); end procedure; function count_deltas(a : std_logic; b : t_delta_range; c : t_delta_range) return t_delta_range is begin if a = '1' then return 1 + b - c; end if; return b - c; end function; begin process(accu, dac_in, carry, delta, delta_d, sum) variable a : unsigned(dac_in'range); variable y : unsigned(dac_in'range); variable c : std_logic; begin for i in 0 to g_order-1 loop if i=0 then a := dac_in; else a := sum(i-1); end if; sum_with_carry(a, accu(i), y, c); sum(i) <= y; carry(i) <= c; if i = g_order-1 then delta(i) <= count_deltas(carry(i), 0, 0); else delta(i) <= count_deltas(carry(i), delta(i+1), delta_d(i+1)); end if; end loop; end process; dac_out <= delta_d(0) + (2 ** (g_order-1) - 1); process(clock) begin if rising_edge(clock) then if enable='1' then accu <= sum; delta_d <= delta; end if; if reset='1' then accu <= (others => (others => '0')); delta_d <= (others => 0); end if; end if; end process; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mash is generic ( g_order : positive := 2; g_width : positive := 16 ); port ( clock : in std_logic; enable : in std_logic := '1'; reset : in std_logic; dac_in : in unsigned(g_width-1 downto 0); dac_out : out integer range 0 to (2**g_order)-1); end entity; architecture gideon of mash is type t_accu_array is array(natural range <>) of unsigned(g_width-1 downto 0); signal accu : t_accu_array(0 to g_order-1); signal carry : std_logic_vector(0 to g_order-1); signal sum : t_accu_array(0 to g_order-1); subtype t_delta_range is integer range -(2**(g_order-1)) to (2**(g_order-1)); type t_int_array is array(natural range <>) of t_delta_range; signal delta : t_int_array(0 to g_order-1) := (others => 0); signal delta_d : t_int_array(0 to g_order-1) := (others => 0); procedure sum_with_carry(a, b : unsigned; y : out unsigned; c : out std_logic ) is variable a_ext : unsigned(a'length downto 0); variable b_ext : unsigned(a'length downto 0); variable summed : unsigned(a'length downto 0); begin a_ext := '0' & a; b_ext := '0' & b; summed := a_ext + b_ext; c := summed(summed'left); y := summed(a'length-1 downto 0); end procedure; function count_deltas(a : std_logic; b : t_delta_range; c : t_delta_range) return t_delta_range is begin if a = '1' then return 1 + b - c; end if; return b - c; end function; begin process(accu, dac_in, carry, delta, delta_d, sum) variable a : unsigned(dac_in'range); variable y : unsigned(dac_in'range); variable c : std_logic; begin for i in 0 to g_order-1 loop if i=0 then a := dac_in; else a := sum(i-1); end if; sum_with_carry(a, accu(i), y, c); sum(i) <= y; carry(i) <= c; if i = g_order-1 then delta(i) <= count_deltas(carry(i), 0, 0); else delta(i) <= count_deltas(carry(i), delta(i+1), delta_d(i+1)); end if; end loop; end process; dac_out <= delta_d(0) + (2 ** (g_order-1) - 1); process(clock) begin if rising_edge(clock) then if enable='1' then accu <= sum; delta_d <= delta; end if; if reset='1' then accu <= (others => (others => '0')); delta_d <= (others => 0); end if; end if; end process; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mash is generic ( g_order : positive := 2; g_width : positive := 16 ); port ( clock : in std_logic; enable : in std_logic := '1'; reset : in std_logic; dac_in : in unsigned(g_width-1 downto 0); dac_out : out integer range 0 to (2**g_order)-1); end entity; architecture gideon of mash is type t_accu_array is array(natural range <>) of unsigned(g_width-1 downto 0); signal accu : t_accu_array(0 to g_order-1); signal carry : std_logic_vector(0 to g_order-1); signal sum : t_accu_array(0 to g_order-1); subtype t_delta_range is integer range -(2**(g_order-1)) to (2**(g_order-1)); type t_int_array is array(natural range <>) of t_delta_range; signal delta : t_int_array(0 to g_order-1) := (others => 0); signal delta_d : t_int_array(0 to g_order-1) := (others => 0); procedure sum_with_carry(a, b : unsigned; y : out unsigned; c : out std_logic ) is variable a_ext : unsigned(a'length downto 0); variable b_ext : unsigned(a'length downto 0); variable summed : unsigned(a'length downto 0); begin a_ext := '0' & a; b_ext := '0' & b; summed := a_ext + b_ext; c := summed(summed'left); y := summed(a'length-1 downto 0); end procedure; function count_deltas(a : std_logic; b : t_delta_range; c : t_delta_range) return t_delta_range is begin if a = '1' then return 1 + b - c; end if; return b - c; end function; begin process(accu, dac_in, carry, delta, delta_d, sum) variable a : unsigned(dac_in'range); variable y : unsigned(dac_in'range); variable c : std_logic; begin for i in 0 to g_order-1 loop if i=0 then a := dac_in; else a := sum(i-1); end if; sum_with_carry(a, accu(i), y, c); sum(i) <= y; carry(i) <= c; if i = g_order-1 then delta(i) <= count_deltas(carry(i), 0, 0); else delta(i) <= count_deltas(carry(i), delta(i+1), delta_d(i+1)); end if; end loop; end process; dac_out <= delta_d(0) + (2 ** (g_order-1) - 1); process(clock) begin if rising_edge(clock) then if enable='1' then accu <= sum; delta_d <= delta; end if; if reset='1' then accu <= (others => (others => '0')); delta_d <= (others => 0); end if; end if; end process; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mash is generic ( g_order : positive := 2; g_width : positive := 16 ); port ( clock : in std_logic; enable : in std_logic := '1'; reset : in std_logic; dac_in : in unsigned(g_width-1 downto 0); dac_out : out integer range 0 to (2**g_order)-1); end entity; architecture gideon of mash is type t_accu_array is array(natural range <>) of unsigned(g_width-1 downto 0); signal accu : t_accu_array(0 to g_order-1); signal carry : std_logic_vector(0 to g_order-1); signal sum : t_accu_array(0 to g_order-1); subtype t_delta_range is integer range -(2**(g_order-1)) to (2**(g_order-1)); type t_int_array is array(natural range <>) of t_delta_range; signal delta : t_int_array(0 to g_order-1) := (others => 0); signal delta_d : t_int_array(0 to g_order-1) := (others => 0); procedure sum_with_carry(a, b : unsigned; y : out unsigned; c : out std_logic ) is variable a_ext : unsigned(a'length downto 0); variable b_ext : unsigned(a'length downto 0); variable summed : unsigned(a'length downto 0); begin a_ext := '0' & a; b_ext := '0' & b; summed := a_ext + b_ext; c := summed(summed'left); y := summed(a'length-1 downto 0); end procedure; function count_deltas(a : std_logic; b : t_delta_range; c : t_delta_range) return t_delta_range is begin if a = '1' then return 1 + b - c; end if; return b - c; end function; begin process(accu, dac_in, carry, delta, delta_d, sum) variable a : unsigned(dac_in'range); variable y : unsigned(dac_in'range); variable c : std_logic; begin for i in 0 to g_order-1 loop if i=0 then a := dac_in; else a := sum(i-1); end if; sum_with_carry(a, accu(i), y, c); sum(i) <= y; carry(i) <= c; if i = g_order-1 then delta(i) <= count_deltas(carry(i), 0, 0); else delta(i) <= count_deltas(carry(i), delta(i+1), delta_d(i+1)); end if; end loop; end process; dac_out <= delta_d(0) + (2 ** (g_order-1) - 1); process(clock) begin if rising_edge(clock) then if enable='1' then accu <= sum; delta_d <= delta; end if; if reset='1' then accu <= (others => (others => '0')); delta_d <= (others => 0); end if; end if; end process; end gideon;
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented by / Altera and Xilinx in their software. / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / / **************************************************************************************************/ library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.math_real.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity pipelines is generic( LENGTH : natural ); port( clk : in std_ulogic; input : in std_ulogic_vector; output : out std_ulogic_vector ); end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture pipelines_1 of pipelines is begin pipelines_core_1: entity work.pipelines_core generic map( LENGTH => LENGTH, INPUT_HIGH => input'high, INPUT_LOW => input'low ) port map( clk => clk, input => input, output => output ); end architecture;
library ieee; use ieee.std_logic_1164.all; entity output07 is port (clk : std_logic; i : std_logic; o : out std_logic_vector (1 downto 0) := "10"); end output07; architecture behav of output07 is begin process (clk) begin if rising_edge(clk) then o (0) <= i; o (1) <= not i; end if; end process; end behav;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity rounder is generic( TOTAL_BITS:natural := 23; EXP_BITS: natural := 6 ); port ( exponent_addition_cout: in std_logic; man_in: in std_logic_vector(TOTAL_BITS - EXP_BITS downto 0); exp_in: in std_logic_vector(EXP_BITS - 1 downto 0); man_out : out std_logic_vector(TOTAL_BITS - EXP_BITS - 2 downto 0); exp_out : out std_logic_vector(EXP_BITS - 1 downto 0) ); end; architecture rounder_arq of rounder is begin process(man_in, exp_in, exponent_addition_cout) variable tmp_exp : integer := 0; variable bias_vector : std_logic_vector(EXP_BITS - 2 downto 0) := (others => '1'); variable bias : integer := 0; variable extended_exponent : std_logic_vector(EXP_BITS downto 0) := (others => '0'); variable zero_man : std_logic_vector(TOTAL_BITS - EXP_BITS - 2 downto 0) := (others => '0'); variable zero_exp : std_logic_vector(EXP_BITS - 1 downto 0) := (others => '0'); variable infinity_man : std_logic_vector(TOTAL_BITS - EXP_BITS - 2 downto 0) := (others => '1'); variable infinity_exp : std_logic_vector(EXP_BITS - 2 downto 0) := (others => '1'); variable max_exp_vector : std_logic_vector(EXP_BITS - 1 downto 0) := (others => '1'); variable max_exp : integer := 0; variable out_man : std_logic_vector(TOTAL_BITS - EXP_BITS - 2 downto 0) := (others => '0'); begin extended_exponent := exponent_addition_cout & exp_in; tmp_exp := to_integer(unsigned(extended_exponent)); bias := to_integer(unsigned('0' & bias_vector)); tmp_exp := tmp_exp - bias; max_exp := to_integer(unsigned(max_exp_vector)); --Check if the exponent needs to be modified and the mantissa shifted if(man_in(TOTAL_BITS - EXP_BITS) = '1') then out_man := man_in(TOTAL_BITS - EXP_BITS - 1 downto 1); tmp_exp := tmp_exp + 1; else out_man := man_in(TOTAL_BITS - EXP_BITS - 2 downto 0); end if; if(tmp_exp <= 0) then --round to 0 exp_out <= zero_exp; man_out <= zero_man; elsif(tmp_exp >= max_exp) then --round to infinity exp_out <= infinity_exp & '0'; man_out <= infinity_man; else exp_out <= std_logic_vector(to_unsigned(tmp_exp, EXP_BITS)); man_out <= out_man; end if; end process; end architecture;
------------------------------------------------------------------------------- -- Title : Wishbone Debugger SDB descriptor -- Project : FMC DEL 1ns 4cha-stand-alone application (fmc-delay-1ns-4cha-sa) ------------------------------------------------------------------------------- -- File : synthesis_descriptor.vhd -- Author : Jose Jimenez <[email protected]> -- Company : University of Granada -- Created : 2014-07-31 -- Last update: 2014-07-36 -- Platform : FPGA-generic -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: SDB descriptor for the WB Debugger and top level of the FMC used -- on a SPEC carrier. -- Contains synthesis & source repository information. -- Warning: this file is modified whenever a synthesis is executed. ------------------------------------------------------------------------------- library ieee; use ieee.STD_LOGIC_1164.all; use work.wishbone_pkg.all; package synthesis_descriptor is constant c_sdb_FMC_DEL_synthesis_info : t_sdb_synthesis := ( syn_module_name => "spec-fine-delay ", syn_commit_id => "00000000000000000000000000000000", syn_tool_name => "ISE ", syn_tool_version => x"00000147", syn_date => x"20140731", syn_username => "jjimenez "); constant c_sdb_repo_url : t_sdb_repo_url := ( repo_url => "git://ohwr.org/fmc-projects/fmc-delay-1ns-8cha-sa.git " ); constant c_sdb_synthesis_info : t_sdb_synthesis := ( syn_module_name => "wb-debugger ", syn_commit_id => "00000000000000000000000000000000", syn_tool_name => "ISE ", syn_tool_version => x"00000147", syn_date => x"20140731", syn_username => "jjimenez "); end package synthesis_descriptor;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.2 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity convolve_kernel_control_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 4; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; interrupt :out STD_LOGIC; -- user signals ap_start :out STD_LOGIC; ap_done :in STD_LOGIC; ap_ready :in STD_LOGIC; ap_idle :in STD_LOGIC ); end entity convolve_kernel_control_s_axi; -- ------------------------Address Info------------------- -- 0x0 : Control signals -- bit 0 - ap_start (Read/Write/COH) -- bit 1 - ap_done (Read/COR) -- bit 2 - ap_idle (Read) -- bit 3 - ap_ready (Read) -- bit 7 - auto_restart (Read/Write) -- others - reserved -- 0x4 : Global Interrupt Enable Register -- bit 0 - Global Interrupt Enable (Read/Write) -- others - reserved -- 0x8 : IP Interrupt Enable Register (Read/Write) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0xc : IP Interrupt Status Register (Read/TOW) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of convolve_kernel_control_s_axi is type states is (wridle, wrdata, wrresp, wrreset, rdidle, rddata, rdreset); -- read and write fsm states signal wstate : states := wrreset; signal rstate : states := rdreset; signal wnext, rnext: states; constant ADDR_AP_CTRL : INTEGER := 16#0#; constant ADDR_GIE : INTEGER := 16#4#; constant ADDR_IER : INTEGER := 16#8#; constant ADDR_ISR : INTEGER := 16#c#; constant ADDR_BITS : INTEGER := 4; signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_ap_idle : STD_LOGIC; signal int_ap_ready : STD_LOGIC; signal int_ap_done : STD_LOGIC := '0'; signal int_ap_start : STD_LOGIC := '0'; signal int_auto_restart : STD_LOGIC := '0'; signal int_gie : STD_LOGIC := '0'; signal int_ier : UNSIGNED(1 downto 0) := (others => '0'); signal int_isr : UNSIGNED(1 downto 0) := (others => '0'); begin -- ----------------------- Instantiation------------------ -- ----------------------- AXI WRITE --------------------- AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wrreset; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end if; end process; -- ----------------------- AXI READ ---------------------- ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdreset; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_AP_CTRL => rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0'); when ADDR_GIE => rdata_data <= (0 => int_gie, others => '0'); when ADDR_IER => rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0'); when ADDR_ISR => rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0'); when others => rdata_data <= (others => '0'); end case; end if; end if; end if; end process; -- ----------------------- Register logic ---------------- interrupt <= int_gie and (int_isr(0) or int_isr(1)); ap_start <= int_ap_start; int_ap_idle <= ap_idle; int_ap_ready <= ap_ready; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_start <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_ap_start <= '1'; elsif (int_ap_ready = '1') then int_ap_start <= int_auto_restart; -- clear on handshake/auto restart end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_done <= '0'; elsif (ACLK_EN = '1') then if (ap_done = '1') then int_ap_done <= '1'; elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then int_ap_done <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_auto_restart <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then int_auto_restart <= WDATA(7); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_gie <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then int_gie <= WDATA(0); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ier <= "00"; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then int_ier <= UNSIGNED(WDATA(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(0) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(0) = '1' and ap_done = '1') then int_isr(0) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(1) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(1) = '1' and ap_ready = '1') then int_isr(1) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write end if; end if; end if; end process; -- ----------------------- Memory logic ------------------ end architecture behave;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a72d+j/QkZDkv8eDO5pA9BEngzdbQGQHhuxY2nDNAEhnIoRf0SgDQdLQUGAjqTT/LgQ/F0Cs+16w c1P7TVYYIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l/1IXczTwKQBVElHEsh+Zvo7KblkXaJxo8UlygCcRw3ZY3tWBi5BiOQVA9yqXhof3R4G5ULkurKn 46GuEoIAY3OUXtbKoFE/fg9ET9kDVkPf1jISgRyi7rAeyld9i/Cbc18E0R0zSIcXYm0KkBSXRMxF 9wuwdCtkYN244/gGxpo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l2DcCPLVR8IEoJU3RMy+SjLkstHNjHjhsTPyvJyG55CosrmHYPJF1hv5Q2QL/s+a8qVVv/FshWre ZhgfOLKwFsPfAotMElv4nD87vdWuiTfoT02uJ3qo+dMgqdK1NTGcrQz44sHgvLPLWzKe7hlF6k6o Rvf27QkEmCc2RIz/44tOQ/UppDCnrsrSVyntb+a+7BW1A9KnQCNSmFmxGUvHpVhP12cJTZtxVJbJ Gqk5MrAj6sMn7uGnVNgQNANDDB+DZgaG/rX6HKpInZYt8BzBk6ItkozY9t3z7oLm8gSHD9yIsJBO 9AMq0Li5R/GGkBoyk8LpfwkWqntHfUWm0bnNZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DjQz1cDtlzfTydRyrY+CZqTPGwZEHHaCGldw1iTUBh5QfXaAiR1uKl2S9PniOeC/cvZgRRDpmcaN zNVXhjOeHU3dnRVJvgmKq3SSiJA7PuJ5iq48dVkI+PqLpzJqKub/4QXlIW2D3K0jO9cEZznt4pz4 zGK6KgjZ7aPbC7aR/LU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cCj1sFiXTorUE6cijFjReKgoLQpZZhe3m8TlSV9f5LlFUKu+l0WXSJg/leWTQZO2OtLniO0g6v1X Q3rtBRwm8GhMcNcwV8oh3DFFtIhPAWIx8pc1IWS1flBcINGtXYVzaV9N47/Rfvj7bD/mJLL+3Y8M w37oj3YyxkZJ4Qw01CkXNmjP9Jdaf9l8rl9mPrRqfpwkMT2Wu3jRZhr19CZISAVqAU1dUMwyXjha uuLdqvOh9S6lQlkmMyjmEIKbqZUMj9jqGdqIEgihmrcRH4TEim8XRv6avaaNKbCDz4oCy/pt1FkK 6Op5z2R8h8lf36/aCuM+cJu5Sxegm18sIBuy1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block VvIw3u4z644M9oCnXrN11AFyy7KcxZsZdyLbnBx3LqVMsNcmmsh1iowZ5ub3Cw5awQgWRQ7wnJ1Z BFJWLXjtihrCL6nd2ENzmEtmUjzQroqjkhWIhGczCePlbDZGh+xle1VT1ImwLIHiJX2U7Vg1xQOz rxEV+8WYJtP7X80ztNSsy8Z+wRQnNeNHz2UBN08bcEd8nr0bVgWmJNpOGVz6/MihqxlHNXdZuS35 iiiCwUClBpNi/pVXiEyw00gmNhN/pys+TsAZJ0hVVwH1tdgqXQPQ2q0mPdIjOhQ3CGb4FtveZq0C d7qo04frJZMQ8OoaCLzu6EyWNQUjqAJUw8nPEMG39SHNyK7PRLdEEXg+AoLmHY9WaVGf/FgZppDK cpwuLLRukVN7yyJkZ1Fgh+ixcPoaiKjebdS9mlZvXKyquMxa9EbvJllsgghk0KzVjfLD37ERzOJf PMMFKyP1rRONhUl3Mf4K1AkEnlHOCnvyFAtC4QyQ05E9vVbM8lssPnZAr/Q565IavqgcrY2PMiWO 0ZKwMa0SYxeYyy7uKRpQDb1KOVFlMws2F15F6CTAloUWRCkKXDOoJO0SBh/+3j2wbUXuo5CVptD3 3CE07EcuAUYcMPIiPFY9roF4w7fDPbxtTbJBqvxREEudd64w4sUm3sES1SkRmrIi7CPLz+uFjDD4 NeFssnvqQFHxHcmYaNjzy8iWzpZD2iFoNZ49VKTFeSyDAJSRfUGfsFDNYwYezryThNx0z76hZ9iE brpEMtwuYy4fVBgcJ6+aH0tdeOFAH5VirQ7QcLoz6QyCR54lLpuJeOBVuh47gZkuuxA4+73AlNId fnOh0idbguclxHVzLsAMzCFhfnT11FlcIIyDrE9mWXj8O9YPM0RwpM5MIBlUv+GVV4ysOysyy1qF ItOTnYFJLc/EzAyY+EkGY9XNRvFAGf7oZexCjVwPbYhbs4fLgSP/nxY7W9oIaX+qrjKD8JCbL8cD D64ky7gssk1VxWSQjCrRxhcejYw6annUtLojDB0wcXseo7/XxOTBCOkFDxGl2U/EUYq2Yz3d1J5f n417zhS7PfyHauWQoInrcMP4KRQpw0/L7Z2VA6dW/hP+Udnfr/z9gADglJtO9UfNF5cswhUJWT6M Ye5ZhDFUxjGY50hciJqGLQnRGsOrAEYiqlfg2A5/G7hPhVht5oRFh57vNv0hkskWrbVqX9u5M2+l EvhwbLktgYFLRaqoevI96gklToiO7MK7ML/O0xKR0x0WcNWXbxxiYiVWVJKDpDqBNbswQaqceRnw +puj7OKCkNGrRFXNoIIsk/DZEXCmuqR/WWcFTU5pqsQdYFdgcCEXoWSVMdXFQlX/wTq6MR/08eqr gByMfU6PjsTm68/P7E35DKerC/blX12tRARkYueL2WOHRG13rLwXJczEJXq6IAfgmyDCCK2X+LNX nrvVHfn9YXP8k/VbQUddXxUQLVDteu4/zhd+CMk6MDP25N4kKP+Nog9UMYUw325D0jQcGDKlwJzv g022IhaCQP0s4ApZb/heP+xgPFS8kxCpIVxbuKd8o3EaotsZAl/u9ZLQ2L34wCpj7OPuN6RiqXMT 8N4+ZvMdoSvjNsB2doffrv3wdFqrPaIXVPJQmDxTeLq4yHYVSbw/L3HE+8KmBdg/1/vazPyEnJQ7 /f+jKFWf+KjSg58K/3hzm3dzCoy07ImxveO8jEUGdgi4Q6nM/i0tqwnjeGU+JofpGMn7OPlLIXty NJON3yzqTXwgiwvC+n71brGm+qBTAfRhaSq/+kOJDTPx9QHPL5YCztXaWrkyvzdzAsf7K0sTIT9N Tvs3IEXhIX6T/848IqCj+MDQpL/UVNVt3lwOq9JxvaEyx7pOU9QOdIlXaSa/g3SdBB/OE9D0eV/L Z2Szowo0HksKj+mCRpOykmfT9FkiPWaGqUx6akGQC2PagVlFDTiQhInp8OSWr7bNKPdKIfkXF+Nd w3FyYWhvo9uNJle/FAr9aP4maR7ZFYyCw17BpRjR1O23C+IAcmbrpBgxn6+73a9nnnvN/TVYCVtM 4DBBnzpVLdp+GkEY9l6HSxBBxFoSVeGm5vwKG0o1RthKPoRYsWRqH0dany7GCT9XfLp+N9ZSwVLL DbQuoR471RYcxMl7bglAhI2NUEOdl9kRfPYNffO/b+ga3bSGZ5eYzOJiIUKmYIma+s6bLKXvuqEk ol/6uCGXDx//Up3DGB+cSbLWti2WR3ux2z6pt1JaAzvU/PJyN3+JsIBFqZWA0eUIckJeYbvruUiF to4ScJOuwhHpNc84GtiUB/S1YShXMYaakQDJ1AduMQaWsg3ayh5LkRoeoSg0cJ4QLCef0l+ghfCo /22Gr2/oJwb/wu9YTAMa1SppOK2z2L6xJAd8aiJqsT/XEtXYHZ245FPifP5zM83uYCeAsNyZpeIQ wLE727z1YCIl6RtnIttA5hXTqNTcVWUVf4nc9k3mfBqu2SaqfdNUaCMZzB8ewkSNhLvIxy52UPtb oT9/8J9yNwkl+Q8wOBivRj7WJQIe+qVq3XVeoda8ja4BYpzLmGjz4Uj+Gx7Y84+Yr5nHLaLC0I4M fzhKgMOJJptowhnXroSskYF+LuYHefJdJt2O3XjObVo/FUOwMPKrwHuaOr8ge8f57lcgxue+oc11 Z44qy+5s6NNJsKzo9xp2Z8CisYzvWPmj9eVqmADF6mR7YAkgS3poHJjXf51NRwtKk8fGbHrpZDA2 nJZP7eldtKw3Sq4d1hKlm9HmEdf0nUgq/sYgUYSsqFoy8EsiLZi/gylnsFRGgKAPyYD+jUASNUAb go95eu7YOq+PYVV4fpenUsh9VG4wnPrR6QbEco5O03Bmkk7nh7hyJbydRtcEUCRUcrpafh7+JWOW bx/jAVIqgx8lePe7aPhWTivdXv3aR2OiUQIzi2mYlwHtufp1Q5yqtozMcmMuA5UtpdXy11NqGtLh fw6ojztUOszKeb3km6lv7DO/UlQ658dL+25fsB8f0SVH93XqawREwAwQ+Y9Q8547SAVRMRmRIq9G lSejmC85f/CQhUpyMiPvHt0alea1RzEic1Z4UeS3HCDTp0yIX3yxnGwz8Fsv8cHeV11bavAo55lx MeXH/tKGHEDL9KLEvPV1+zLLXY0Xd9NqHdnW95e4+gnmYWPWqHbIDtAgq6a1luU8bKCFGj9UYZ1z BMyXBGz5jHW19rRIPSr92tjNbc+RHGJAu/ZO2TdDX7Blb8OvAt4nbXGD9qojkZkUjV5vF6+RSBQG qWk7RgIGsgfnT4HYWYtHkxhpM/ZHgiPrfzg85ZQwTUqEEo24pxcHEhUBEXiQphfgilE56FKaDk0y X41mZraWVnfpSYYJLwfScx+mDSC4zKxA9Q3sp/pXVplnN81R3+5rp7H4GgofIu+0DbIdeAFhC7In xXOHlok2y9IVD6QnxZhVnX9EfV/AhB+4QQvwwSdlZR5BtitKeDilmRmX56ESHDOcJUCkVe5srkqw J+gPKG0Q+24zbyPjzKrJWOWYPV3Woyue4bWlHZmbrrwueleTzIjUmILjd84SQ/JqT0YElyMRwcvO kPrnGVXd9L9c3f8amVPK6a3BmHm7SCZuTcgfO9N3tiEjirNGIubrcyIGZ7WeVUZRGrUJY+jMJwz0 L8XFj2JsWAJyNZoxSQItptiSMK2z8oas49B/iejdGoBoheX+92eF6SNqcbZbfMPvM+jS04oBOK76 xmofg7tbGwySq/hhHBtzYLalCrPNebWgw5MAGSax9fLeqK33qbiAxTVOvmkrO6KT0jpUR39empi1 LWVXTHOvdC+PireT0gdL1MkFnZgWK/W1+t+OhiOOUP9Muqa5PhcZThLOerhn+WHzcufwKLtVPvbM Ba2JJSUYhK2M2XcimPd2PE/rFyC/DZE10CIVFrlmAqCtFLu/slV9Q0AEU8huTpA2nl0OukyQoVaw NvknUHtieKYpQzpPmsb9y3t8aH2667aBcAdSjOEhlOV9PduYzFpHNvJDFthC+Blxmjr0x8apFZbo bYtEylkIYptj++xtiJH+wRvyE/w9nsHNBvFkk7+Q4oQ9Oj8GkMlD8miKCb2nF7HzBhcYEMGv+j4W 2M7dAxndHjqGtQii3U0B7Jmvq4VshtMy+iO2c31MXwEk09CmLNGNR4texRmMCYsx6l+zjAm1QKUk 6hdwzt35PrMXQBPUOCdt7J7du5uNewxBsWlxy13tC90atHtMdMdqt3JIerNbMnTPyZyhlQbHfZ/z vpWUoTJmn8zGlpjP/HqK/KDoG1Swke1f5b9+eZ+Q/5zCiwwgCQi/Ab1qTBjQdDdSDasiWdC5zI4A uj8MYDTRVUmISipvxHO190BvcvYY+HfirIIUfSZ0hS4hBlTawWO5GPnoIwztTUjXidRZLhXmBgJp vyDzZwlXeDbde3ygZALtnXX6N7NBf6BWWdQ/jhDQqEerWbzkUgxQdLCzccJ7E0Fi9FbSZdR23jXV nZJLpbLEbcvZEO7XoaBVmnz0vY7Vbwv4UpBPnWQToarGEHMemQtePCPnxD0c/iJD+yAZC1OUoxdi QrSrXfVjS68vTGop7nTQ9vb5UeL36GWar8DH/SAPiK8Mw3Um0QKqNDuQ20TlXRA80xTZCEZFI6K1 Ll7mVKCE1ox9POXno9cUQ3UxWsqt9CkL4JkvXnL424iPEQ5v+M6XKL8SZDreafO/UGT9gD29oCWf y8PYTaoo9V9RikY6sBHb5tEpAzmkWWr797K0EgFp2LBQMGoobCj6mpMx5FOuSypa7+T/fmo1avdS FeeOS3MQjOEOgNVl56qVIFBRdiIQRCV5poFgaNlAnjh2aF74RLwmMXzvfAqOvGFta3YeNJIfgBfA ThExU83rqXqicT7/H422DzJzJ1og/9M7xPSMeve73oPiOHZkoEcRhaCk439AuOY7pavF9ZJ8piwI UPkHRxtyzKEONig+qLFa7mLBrHJfINsaSFygQVMMxpL5MAJuyAYUTGGhKVb7GvJ9RHWURtV42LjX qp5QfFy+p4cl/jz69PG7Xs7+ztJSIjDjqTBpjuXIHBZllG2u20GasKMuymLqtTVKpx1vqOVrRzLA rnDSVSXjDm0xwdWI46UOMwFz3aC9OEHxoPl6un44lkWt2XBYw9Ye+tly0YLaKHixc0U4XZHiTraa HnLk3OE5eB00/q+hie14wTEDrT0ksEmDZi+Z0kTQIP5dkbjmBvj5iYdfhN/IEMfFUVg+81rtm6ml a4q+MvUPjvR11JcFb5iX6Ckc07vHAf4LVMHMRxvDFNckeX1WCOgE893ipuZDrq06wluDLnMnDIm1 JwElJUR2w1jp81Jlg5qeYjZHWgPxDNeSmuF60eeFHzOPs8Zve8zWre7UeSilPY6bz4fq0KrUC2Lc /d+wHupiZIRIr7auw53cyAxAjQnmA0PNxSLAz3UuAMFb7E1gLVNnoXvO+5Ln3nSdL8m1EBW6+1gI 86MjQPAGLlHPk4TBJkbbCbCZnDEAk7LiJrdbqSE4dQBEjozl+9Rjiud5D8LS3Hn3ISOLbjxTX7RF zhOghuLjBDtwR7AlqlsMfj6q3I0eE3lYcXEA12lJdF+BKqL3CJx+h0iIdTutIp9XXWO0PDiWhT0u CwaAPzC60vnn+/r3KoqFwGAGxbB2sgwhskeKDyh3sdXxTOdoP6B9Ljc4pEFBEjFO3afgquugWxQw 7uAYG67zo+DqYVFOX78aTgr+3t2LO0ptV7v1WvscURi+4+R0eR+C48pZ/GJD+hj+thzj31Tv6ynJ Oev2RyyBG0GweZCZ3LWANiZ+ZR2AGQf8ImAxaFu7mJh3RFsdw97WzXFUWJ6jSs/7dF/tVjtm3EdV iy6LRc5hGeFlExe2llFL0d0ugh2EAS5LzJJXDBebO5wlETdXDywAIVJ2ZUJIlUtqanypIiqNWmbA VTq//dBKdUQFY8P2xS9zAW1DvDlwlvF2ceaMVfolbec7hLpOoato8bTn+o6miDkI44WiLlY+DtX6 Rg4AbVvayCLqF3K5woGGb+TAAxgPDsnTpYtHxy0kERwYGeCpthnZW0oqYaLP5PnqGrEXIGJp3ysr vkSUx79bxPZ3E21wiw1G3mmX+f/3KhZXL0ZXyBq+bdJmxBNE+LNLes9XlQe5Z9Vtj0sk2UmPENe5 pOzQHwNMV1ONhQ+r8M3licVa7ycdGEdFIz0JHkDQnLOg7kodNckmeM34gDfqZkUPqEFDRhVPEP+9 YN7eCq5GmviIivi7r2pQoiqAFc1VMCJsYNzxnSAV5TvjW2WhkXRRJCt5If2vfMi1tfG240ke5hiQ Gb2gtubhfgnwLHmJsLXvKYwjCqSChG12m6JV9RnlRhA7DQ8yb438qsMqLK105PHomVUGrb95XfVK jQ4mdcRNvvrvcuhDxTodsHEDaLBF6PiJ25Dv5cDWFEIuwesOP+hGAKUIrlG0TCofj+S+D93M2i+Q ihgzVzN1DLEbrKxNeEBkQqI11GB5GXhOY+UAM7sv+NdJLL4UBErBYBveFmwucME90FuuAWrdS23i /PCHpqq0KIlY3/kUv9lPmMGPhh9tR7AvVKEpFmxud+ohm4dyG0a7T85muelDly9HoQwid/EMCYc4 sdvEbarUC1IUzG+6NRR3ZnV1fy5gJbp8xw46v27lQZCjAdGm/GfGobpaN9acCut7kz0UkXaZhZzT 1PqomSDbjZNNA2Z/89B+Wdy7gLumWxc4cllUno/SfNJZOoduvEXz+7A5mXgmgSyb32EaA9ggUfdA PvseAU96yG4XFpf6Y97j+BXnwszbS/TkplK8+M1PGGVsnumH/0xDi8gUY2T85oGfMSqkjaewzHn/ YZXYoOdwX6qFZMaA2huT35EGNQwUwkOgpfe05su43jrLznlhUq9oIWUwipfJADUJ555gvG8smHci gopG9nfB2atVa3rpMWdX46wVoiEZh0bb2r1C0bK46CXCe7xfaIbN26smsErrUSD3w0aTJOuk9dRw W+c4MN2HxSuWu/d4Mrqv6V7UqZdv3dYCeqPTPn0rn1OG/fMhGXpWkkhHu8DnJ6N++dbRb60thDUB kX7UEeADj+W2PI4MC/hX7qg139Fi5h0F1r09z3SLSjEHtp60ykJ8D9sWXsY1NTHhvx8eZvDRWVk0 kFHXP4O0OOZvl4ee5f/ymeNEXubbKPq5amDIhzXXuVRH7xBoubZ8gJjVb5wn39sbOOwsD69r7f0R eEUPPyRVPEH9VtGSC4MznJZQgpTHoPA9PAJJtQMxzaSvGGy81q4EAO8vWagVQBk+YcdSO+KwdfGb CHJ+hCJExMlwLs+e66B/Q0Vmv3WG2tWkfzqfU/C0SxgOaQ0OsXoJ1vnteilPLDx85Nzr62x5X0DI +b+NCcKVbhbDI0sg2g4tf2emiTcbxqxDr1Qf/i+giYb3al2Jj5kil1ppjzY9tNXtEFWliDGJ1bNM T6HirUcxwbtCFhqTSQhSXVnbddi2Fu/DsBnlf+uDQrvm3Mj7EQRubWj2RudEGp497pnoughGvH1m 7oUb6LfX0SS0x12euXhAbzkkcfS+caHcSSj38Mb+HUNqlS/+VyunTNHqTVt3zQ7zDZBH7jhuEa8V ZiFGq96daS5LNPntj1YsLszVPUdHkzbq3YdTYySGYJzT+giY195ubT2XireW7t5D4okfSIM6UcFK 0/X4azuvzemVGw+AkHWaULNWKOqYPwGXtNr/wV5DBEhFoWEvHXX1ACuRigPS/CM1Zu1whnj7FESe tWpMN72oAHpXJktbjj8zNuRNjz2kLdFMpQHk0t8Ttu6PjhE/0gaHotIv/SkXE3kRGAnNqhrmsdNf 92I7jy+se7NH6XjejCR49eiwQ0OtYoU5tiqDwrrXKfTBovS0zwVV+IZbryFXmL931VnMccwNGUZX DngyxxXYSDV3x8mxTdSGhzBlEsa4jiyxCaQQE7KMRsWPL4Yu/qVob6LatbHxhZowmJV538SmmqRy 8g/oDuEIA4OrxbpjArjLxR6XdJGG9ji8K3po8F2AfwjiMycCZemIhlUdfl9uOuXx9kxb9YxZi0b8 GJZE0S6M0mricYu9LoQ/G1X0DtWdJjjmO1i0Kd3WjbTLyRYMoFq7roAAbSnPTxxp7vWx2w6eOS+i XKvOrPEJEiFSYHqwidlxvnP4F4ZZ3NDuTsC46FlHV7Wnm1o7LeI5s4XfTuzpZCO3MVMc5cnOZKZm bBb6DnbE+eUWbXqD0d1+twTJPgXURkf4TU0tvwrFmmTwkN8PF3cyzN9O0jSBGfijoRvjZfdFJ+Ni CBynYLYZpiEWu4oSuYjHhiL3oRYMEdQSRFT9pOPeLp2yDbiO6nAptRph3NpxUMNLDWetUDW5wgks w0ECOY2/6nkRmazytMG1Ab8cerz4fzvMYfq26azHwXIntIXIL/d8d+Md/EfUo2vv+0uU79sOmQCC j5WeTMYmiCirZMnZEuV9VpVwjrusuuh7SnGotzX5waGiDC2k9zV6283HuN4MDHGzjc4zI7ZZRnVy etRhwUJF0SO4LPAnUjuJVDA97Cm6qP4rAwOAht5aNwGYW8+srEyDsuzrlR+XdJVx6ffSo5UOJVr4 a0uv5u8VQdzE5pZpxWv2KM85YL4rGgROXNfLcPMCo7v2yfe4e76Ct3xfxMJjGtN4OPduhGlXgyqh XZ+HBW0xjbAoIUf1srtFlZLEu7rgJhV3+uBDjHVlzm/JPBUWPcX5LcACbZPGisRfS5GHjBA0cHTa BU7a9WaxjQ+3aeIp934wsjY6JRWP0mimVTQhZGGK/DIv9YzSh8iGfUwdoNFv3QGMuKbOEGIpbJT7 hSj7HPKLByx8ru09dMDgbSXbeGudHVoZqaTtpeIrq39hDZwlNIlj7QNVm687RqfDfb1yF+1VHw8c B0jnmn49lGUrx0lXcZQf88aVhpinLQ419gLzD+ETsOJ8O0mDuflQ+cyhaOcn69JNAA039ic76H1r RxZTsrv+0wKLag6vynjuDrxes5WX416O76rC1mNNfjFtXCmd2FcC15fFIW2//WwgL56dI2AhpMkd +iwidtdFtNrIeaCZuLKaqsr3v6rkHjJCyrqMsl+7piWvwYil9d3kvKzZgY9MK4tyHHlhZTG4AFyR lVMVSK6aefVWR/WO2OVUTD3D078SU5XiWe4M0NG/QN2hTIDPjicYvrqoFfVf/zTW4hc57Jk83TLx oSSITqLBSnLIV3viCot/rehmwLXd0sSXHWSnvxMdAZ5tVA6GmkFzmzLUCJHl/Ip8l4KhtW9mjFJi EsojqB9PgHUdHpZCdhHWLncA1+f62+5SGD+o+La/c1LEGxnF30IervNyV0FrUFvmacTvy8hDVCic V9jhVMQvPWjC+BGcoHhIDQHSI9ITqzsc97YTH6WB4Jm/b6GQ3xGI7V8Ro1k6efc1hpZEDJyjG6fH RqSbb7T5WGmmVbN3jtbZTGaGinDfXy2RH8UmGgVOavk6GmqUfb4gUH7/PFYPoE7F8N9eE5hBZ6mJ KZEn+O2/fZTZxA/RBNd5VrC7Gy9aZF/QqMtQjmw2Auiy+dtaxSnHQwyxVsslvlIQixMR+zTKbTmv +/i6SsGM2AficHCT4EkYQSoJXyklSMHZ6qdcHY+B8gMeUqPCRRt5kJb3eTq8X9Okn4rK/GL3+L52 B8Jp7T6AciqkIZbfvSGX2YADvXOmlT1QngiOoXxB9U18gS96hDI13HPTkz0NjVegFXE21ekt5/CN JiWkyFYoIelUifMJRJMLAY4oZpFVCR1GhI58djq2GjgNKUCBGtUijujDhgs2SgUJlZKfVlEZmqu7 ubcmGn/W5HKXvIlpJaEDSQqmYrNLMUidwqEEGVufGwlaFErSTFyDvVNpD6lUvmPkD9nnpyYukUEN BwimUcjhNpKA4IhBKGawMvNFpeAzpBq2DCpr7t5NN1SCSqv0QzyhvLnZOAAltJTHruoFqYC5+Nky scgVMOBUzcoRqbOXycFg8vyUF0tevLiZkIFq1vQ/hdSoYYwBOMJ4H2Md2+hVUYhPRM8K2rtBaOcA fJ+kEo3jwRy5+QN0HuwGHaWiEqkdPzIk3tLlYZM6lVejZP0egS0VOUwaS6p17WgT/pYx8Tty12Ge 2JKaRTKFXkXdmWP6jsrC97BlS87HAjugQJEiGcJnF8JcuxunzscP4XRiv1gPvL10pmX1rjorPPnk As/zoRNW6bv5Y9GdUZFwExkeHzKEY1axFGE8Zbxme4oky5n4ciJ1CDOTEieUUW+tu/W2QCh7ysLi cl1rFOQxnU2a3h2xV9Kvoy+ENwCR/+jsyKzzdC9psKduoMWhnPxVT2T7QKz+Ie2yJft3PYfhvFHU jjisZwgJ7GCUbfZvX4WPDpv+wQKJGhHY4y6O/CIZFW+ayZ2ROmTMwJM43tAkT9wr5bxE1usKf4Tz gaBgs4QDKq7NfBQQ9F2hzPNOZe+zo4Kc16FYjVcW8F+sVCmw5z5YLiN7sMKSI/sJFT3X4MuQt6By NmBdoNxMjhnJAS4+ffCdp+BlMPC1gQyV4hDFxIy5P80pIguijvHQS2ZRiWt0K+DLuZ3Eo8CjCFgN AcslcZySg2ZwhP9hD5a6RjBDCNJAzOXMiRoKDlbj+UfqTeFO6jgDGzH71sQT0hBHiPbUe3v7yvey r43PG38FzbRw4W4A+lKxp5gJKudojjqsJ4bq+4PTw/EPr1gkPyjKfbL6BnvXvYi0GXnt33Chl35I z8SBhB6btAiIBd6tHaOdR8HBHwdQQE2b2Kw3kxwKXVTOHzcMjr1aKL/fde7C3bqbpiG59yQIJDny rXJkbZumDQAwHHEfyuAsl9/H98Qky0xjuN4WOEA59fECCdQ1AtVMX8OmEtKsbSvVG1/6WGPsB0hI LQOG6t1+hV+hSIjE0LyvqelXCDAuBZm4P2Gq832AKTpxsnuPAX7eAezsolFJIf1ZkxaO+RwEiici kYnJfoh4o5uLjtdJ4dOdbwcSNdOh5ledvo1rydnRQifgS8dg18bGah9uWehNkjpkCENTdUhWMj9a XSP2nZJ5iLFqyLNNjnq6wPyQsy6eIXFt04XmPWcm9+l7VhhEUTvmX5yHwokMFzyjf4KErstg//3t ejxNCwotBVE9RNDBXtBNji/1XfqrQDhXgcFJZA6ySgA6SGqp3MVTUprVQt4t19yutWr8ZvK9wuPm 7WHASVKWmLconviyQy0BKr8vYn6sOGpj/ulYJ4TT3Cfb/E58WJlDPFU4BjJioL7JZ6QKqfzIJGva bgNKIeiLW6F3uuI6xGKpMcCoIjkTBnRwEpU6GfI7U2aFed2Z8tjZ3IDnDPfjeBwCtMKijw62ybFB EIhYX73F/90UE9atCyaoaOcfzL0PDNEmjcAi7KbxU0Cr071P8/pKswE0AcGyI+Ls9wbLAl7w7NvL D3fg9gLIBbZTGRD55gIWOHZoULZFD5LEVgYJnhnRE+zILf10wa8prKuRzRCbuzNSmm9Vs38lmztd 5QUT3fLnAYjgJLoxw3UtuxskAnVFbUS67Cc+tHyVnlsbyWUWw3QQHvlsT49E5Lb6B8D5QpetJixn +wTs1HQmOZUP2W1js8uRUqPwuHmEgreF8RvYCb8dp1SAH0ryWl35MhFBzcCfLjc2ApSqwlxPapLM nqLfV0bC5b5D6R5g+19xX3DeFs/xik3+H9CpIDu9BsMmCLqLRRGHXAWRZcHeyX/hpfFTe9O3QGUV KzBi9nfhCTrJ1AW7hyGcxTzBosCr17rHcbpVZTB5+jdP9JYCI5D81PDtbYKgFSPDzqp2IV5vB6ds U3wGO1rkJhYn5wHZRXyfbyRpGXOfRzWSGR39GuWexRDEQIBWj/Mtix4GlblCQUwjXfBjVlbBhrrE yWteWravr6YEhPTJ1kDux1NTsEaEZbZPj4+NigrqXFwah9Ah/xjEVUMZm10R00zTpbJ6OG5QThRu sUIt+krru/SDIokLGGJhHbpuaeA3gzdMV2wSa24YSU57kfYoZkcjJtrFkyk3Z32++8M1rXL2vOy8 k+ImH+cAfXDteqklwkqlQ4XfDmxEmKfv5sysafvCodzu97resoNNTxOG6rcN+8s2pUUU5plTEGVR T07o3NCJCddcyKpJZRFrruM1YyG1yTtWoaxnngV8iM7hu+B9LNEj0aDm03gT5sraKZjEfWDoJv6d 7hT3Eq+NisqnSn/C95FlfIMda7wY2kLKrcM5re8n3QaSCfTQ71L64N3i0UkTuMC7I2y+mU/wgr0A 93V89ydO71QHjjZIKvXOPxcP9F1DyUq/FBLb+gOlr17C+RGQlUGg3kEzIr9XJTpkNVRPwNmkfJjJ t6V3S+hsu4aMbITmq/cCa7q0L+hkyUfmW+HtwOG1pDR+uaIICtcs/Yh9JkeNJsCE0IkTCZPdrYK0 +lcmlLD9iajgelJeR8oKU3xA2dHcphdXcapPhY1dRi6GvcgADcPkkAw7uzGb5iG3HgcPlnx9kyJl 6bQTYIQIPE4BnwljpcRwpAQ9bbnMgSMvYvtoxHV9so5vgdmelIR5YREhWWM= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a72d+j/QkZDkv8eDO5pA9BEngzdbQGQHhuxY2nDNAEhnIoRf0SgDQdLQUGAjqTT/LgQ/F0Cs+16w c1P7TVYYIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l/1IXczTwKQBVElHEsh+Zvo7KblkXaJxo8UlygCcRw3ZY3tWBi5BiOQVA9yqXhof3R4G5ULkurKn 46GuEoIAY3OUXtbKoFE/fg9ET9kDVkPf1jISgRyi7rAeyld9i/Cbc18E0R0zSIcXYm0KkBSXRMxF 9wuwdCtkYN244/gGxpo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l2DcCPLVR8IEoJU3RMy+SjLkstHNjHjhsTPyvJyG55CosrmHYPJF1hv5Q2QL/s+a8qVVv/FshWre ZhgfOLKwFsPfAotMElv4nD87vdWuiTfoT02uJ3qo+dMgqdK1NTGcrQz44sHgvLPLWzKe7hlF6k6o Rvf27QkEmCc2RIz/44tOQ/UppDCnrsrSVyntb+a+7BW1A9KnQCNSmFmxGUvHpVhP12cJTZtxVJbJ Gqk5MrAj6sMn7uGnVNgQNANDDB+DZgaG/rX6HKpInZYt8BzBk6ItkozY9t3z7oLm8gSHD9yIsJBO 9AMq0Li5R/GGkBoyk8LpfwkWqntHfUWm0bnNZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DjQz1cDtlzfTydRyrY+CZqTPGwZEHHaCGldw1iTUBh5QfXaAiR1uKl2S9PniOeC/cvZgRRDpmcaN zNVXhjOeHU3dnRVJvgmKq3SSiJA7PuJ5iq48dVkI+PqLpzJqKub/4QXlIW2D3K0jO9cEZznt4pz4 zGK6KgjZ7aPbC7aR/LU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cCj1sFiXTorUE6cijFjReKgoLQpZZhe3m8TlSV9f5LlFUKu+l0WXSJg/leWTQZO2OtLniO0g6v1X Q3rtBRwm8GhMcNcwV8oh3DFFtIhPAWIx8pc1IWS1flBcINGtXYVzaV9N47/Rfvj7bD/mJLL+3Y8M w37oj3YyxkZJ4Qw01CkXNmjP9Jdaf9l8rl9mPrRqfpwkMT2Wu3jRZhr19CZISAVqAU1dUMwyXjha uuLdqvOh9S6lQlkmMyjmEIKbqZUMj9jqGdqIEgihmrcRH4TEim8XRv6avaaNKbCDz4oCy/pt1FkK 6Op5z2R8h8lf36/aCuM+cJu5Sxegm18sIBuy1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block VvIw3u4z644M9oCnXrN11AFyy7KcxZsZdyLbnBx3LqVMsNcmmsh1iowZ5ub3Cw5awQgWRQ7wnJ1Z BFJWLXjtihrCL6nd2ENzmEtmUjzQroqjkhWIhGczCePlbDZGh+xle1VT1ImwLIHiJX2U7Vg1xQOz rxEV+8WYJtP7X80ztNSsy8Z+wRQnNeNHz2UBN08bcEd8nr0bVgWmJNpOGVz6/MihqxlHNXdZuS35 iiiCwUClBpNi/pVXiEyw00gmNhN/pys+TsAZJ0hVVwH1tdgqXQPQ2q0mPdIjOhQ3CGb4FtveZq0C d7qo04frJZMQ8OoaCLzu6EyWNQUjqAJUw8nPEMG39SHNyK7PRLdEEXg+AoLmHY9WaVGf/FgZppDK cpwuLLRukVN7yyJkZ1Fgh+ixcPoaiKjebdS9mlZvXKyquMxa9EbvJllsgghk0KzVjfLD37ERzOJf PMMFKyP1rRONhUl3Mf4K1AkEnlHOCnvyFAtC4QyQ05E9vVbM8lssPnZAr/Q565IavqgcrY2PMiWO 0ZKwMa0SYxeYyy7uKRpQDb1KOVFlMws2F15F6CTAloUWRCkKXDOoJO0SBh/+3j2wbUXuo5CVptD3 3CE07EcuAUYcMPIiPFY9roF4w7fDPbxtTbJBqvxREEudd64w4sUm3sES1SkRmrIi7CPLz+uFjDD4 NeFssnvqQFHxHcmYaNjzy8iWzpZD2iFoNZ49VKTFeSyDAJSRfUGfsFDNYwYezryThNx0z76hZ9iE brpEMtwuYy4fVBgcJ6+aH0tdeOFAH5VirQ7QcLoz6QyCR54lLpuJeOBVuh47gZkuuxA4+73AlNId fnOh0idbguclxHVzLsAMzCFhfnT11FlcIIyDrE9mWXj8O9YPM0RwpM5MIBlUv+GVV4ysOysyy1qF ItOTnYFJLc/EzAyY+EkGY9XNRvFAGf7oZexCjVwPbYhbs4fLgSP/nxY7W9oIaX+qrjKD8JCbL8cD D64ky7gssk1VxWSQjCrRxhcejYw6annUtLojDB0wcXseo7/XxOTBCOkFDxGl2U/EUYq2Yz3d1J5f n417zhS7PfyHauWQoInrcMP4KRQpw0/L7Z2VA6dW/hP+Udnfr/z9gADglJtO9UfNF5cswhUJWT6M Ye5ZhDFUxjGY50hciJqGLQnRGsOrAEYiqlfg2A5/G7hPhVht5oRFh57vNv0hkskWrbVqX9u5M2+l EvhwbLktgYFLRaqoevI96gklToiO7MK7ML/O0xKR0x0WcNWXbxxiYiVWVJKDpDqBNbswQaqceRnw +puj7OKCkNGrRFXNoIIsk/DZEXCmuqR/WWcFTU5pqsQdYFdgcCEXoWSVMdXFQlX/wTq6MR/08eqr gByMfU6PjsTm68/P7E35DKerC/blX12tRARkYueL2WOHRG13rLwXJczEJXq6IAfgmyDCCK2X+LNX nrvVHfn9YXP8k/VbQUddXxUQLVDteu4/zhd+CMk6MDP25N4kKP+Nog9UMYUw325D0jQcGDKlwJzv g022IhaCQP0s4ApZb/heP+xgPFS8kxCpIVxbuKd8o3EaotsZAl/u9ZLQ2L34wCpj7OPuN6RiqXMT 8N4+ZvMdoSvjNsB2doffrv3wdFqrPaIXVPJQmDxTeLq4yHYVSbw/L3HE+8KmBdg/1/vazPyEnJQ7 /f+jKFWf+KjSg58K/3hzm3dzCoy07ImxveO8jEUGdgi4Q6nM/i0tqwnjeGU+JofpGMn7OPlLIXty NJON3yzqTXwgiwvC+n71brGm+qBTAfRhaSq/+kOJDTPx9QHPL5YCztXaWrkyvzdzAsf7K0sTIT9N Tvs3IEXhIX6T/848IqCj+MDQpL/UVNVt3lwOq9JxvaEyx7pOU9QOdIlXaSa/g3SdBB/OE9D0eV/L Z2Szowo0HksKj+mCRpOykmfT9FkiPWaGqUx6akGQC2PagVlFDTiQhInp8OSWr7bNKPdKIfkXF+Nd w3FyYWhvo9uNJle/FAr9aP4maR7ZFYyCw17BpRjR1O23C+IAcmbrpBgxn6+73a9nnnvN/TVYCVtM 4DBBnzpVLdp+GkEY9l6HSxBBxFoSVeGm5vwKG0o1RthKPoRYsWRqH0dany7GCT9XfLp+N9ZSwVLL DbQuoR471RYcxMl7bglAhI2NUEOdl9kRfPYNffO/b+ga3bSGZ5eYzOJiIUKmYIma+s6bLKXvuqEk ol/6uCGXDx//Up3DGB+cSbLWti2WR3ux2z6pt1JaAzvU/PJyN3+JsIBFqZWA0eUIckJeYbvruUiF to4ScJOuwhHpNc84GtiUB/S1YShXMYaakQDJ1AduMQaWsg3ayh5LkRoeoSg0cJ4QLCef0l+ghfCo /22Gr2/oJwb/wu9YTAMa1SppOK2z2L6xJAd8aiJqsT/XEtXYHZ245FPifP5zM83uYCeAsNyZpeIQ wLE727z1YCIl6RtnIttA5hXTqNTcVWUVf4nc9k3mfBqu2SaqfdNUaCMZzB8ewkSNhLvIxy52UPtb oT9/8J9yNwkl+Q8wOBivRj7WJQIe+qVq3XVeoda8ja4BYpzLmGjz4Uj+Gx7Y84+Yr5nHLaLC0I4M fzhKgMOJJptowhnXroSskYF+LuYHefJdJt2O3XjObVo/FUOwMPKrwHuaOr8ge8f57lcgxue+oc11 Z44qy+5s6NNJsKzo9xp2Z8CisYzvWPmj9eVqmADF6mR7YAkgS3poHJjXf51NRwtKk8fGbHrpZDA2 nJZP7eldtKw3Sq4d1hKlm9HmEdf0nUgq/sYgUYSsqFoy8EsiLZi/gylnsFRGgKAPyYD+jUASNUAb go95eu7YOq+PYVV4fpenUsh9VG4wnPrR6QbEco5O03Bmkk7nh7hyJbydRtcEUCRUcrpafh7+JWOW bx/jAVIqgx8lePe7aPhWTivdXv3aR2OiUQIzi2mYlwHtufp1Q5yqtozMcmMuA5UtpdXy11NqGtLh fw6ojztUOszKeb3km6lv7DO/UlQ658dL+25fsB8f0SVH93XqawREwAwQ+Y9Q8547SAVRMRmRIq9G lSejmC85f/CQhUpyMiPvHt0alea1RzEic1Z4UeS3HCDTp0yIX3yxnGwz8Fsv8cHeV11bavAo55lx MeXH/tKGHEDL9KLEvPV1+zLLXY0Xd9NqHdnW95e4+gnmYWPWqHbIDtAgq6a1luU8bKCFGj9UYZ1z BMyXBGz5jHW19rRIPSr92tjNbc+RHGJAu/ZO2TdDX7Blb8OvAt4nbXGD9qojkZkUjV5vF6+RSBQG qWk7RgIGsgfnT4HYWYtHkxhpM/ZHgiPrfzg85ZQwTUqEEo24pxcHEhUBEXiQphfgilE56FKaDk0y X41mZraWVnfpSYYJLwfScx+mDSC4zKxA9Q3sp/pXVplnN81R3+5rp7H4GgofIu+0DbIdeAFhC7In xXOHlok2y9IVD6QnxZhVnX9EfV/AhB+4QQvwwSdlZR5BtitKeDilmRmX56ESHDOcJUCkVe5srkqw J+gPKG0Q+24zbyPjzKrJWOWYPV3Woyue4bWlHZmbrrwueleTzIjUmILjd84SQ/JqT0YElyMRwcvO kPrnGVXd9L9c3f8amVPK6a3BmHm7SCZuTcgfO9N3tiEjirNGIubrcyIGZ7WeVUZRGrUJY+jMJwz0 L8XFj2JsWAJyNZoxSQItptiSMK2z8oas49B/iejdGoBoheX+92eF6SNqcbZbfMPvM+jS04oBOK76 xmofg7tbGwySq/hhHBtzYLalCrPNebWgw5MAGSax9fLeqK33qbiAxTVOvmkrO6KT0jpUR39empi1 LWVXTHOvdC+PireT0gdL1MkFnZgWK/W1+t+OhiOOUP9Muqa5PhcZThLOerhn+WHzcufwKLtVPvbM Ba2JJSUYhK2M2XcimPd2PE/rFyC/DZE10CIVFrlmAqCtFLu/slV9Q0AEU8huTpA2nl0OukyQoVaw NvknUHtieKYpQzpPmsb9y3t8aH2667aBcAdSjOEhlOV9PduYzFpHNvJDFthC+Blxmjr0x8apFZbo bYtEylkIYptj++xtiJH+wRvyE/w9nsHNBvFkk7+Q4oQ9Oj8GkMlD8miKCb2nF7HzBhcYEMGv+j4W 2M7dAxndHjqGtQii3U0B7Jmvq4VshtMy+iO2c31MXwEk09CmLNGNR4texRmMCYsx6l+zjAm1QKUk 6hdwzt35PrMXQBPUOCdt7J7du5uNewxBsWlxy13tC90atHtMdMdqt3JIerNbMnTPyZyhlQbHfZ/z vpWUoTJmn8zGlpjP/HqK/KDoG1Swke1f5b9+eZ+Q/5zCiwwgCQi/Ab1qTBjQdDdSDasiWdC5zI4A uj8MYDTRVUmISipvxHO190BvcvYY+HfirIIUfSZ0hS4hBlTawWO5GPnoIwztTUjXidRZLhXmBgJp vyDzZwlXeDbde3ygZALtnXX6N7NBf6BWWdQ/jhDQqEerWbzkUgxQdLCzccJ7E0Fi9FbSZdR23jXV nZJLpbLEbcvZEO7XoaBVmnz0vY7Vbwv4UpBPnWQToarGEHMemQtePCPnxD0c/iJD+yAZC1OUoxdi QrSrXfVjS68vTGop7nTQ9vb5UeL36GWar8DH/SAPiK8Mw3Um0QKqNDuQ20TlXRA80xTZCEZFI6K1 Ll7mVKCE1ox9POXno9cUQ3UxWsqt9CkL4JkvXnL424iPEQ5v+M6XKL8SZDreafO/UGT9gD29oCWf y8PYTaoo9V9RikY6sBHb5tEpAzmkWWr797K0EgFp2LBQMGoobCj6mpMx5FOuSypa7+T/fmo1avdS FeeOS3MQjOEOgNVl56qVIFBRdiIQRCV5poFgaNlAnjh2aF74RLwmMXzvfAqOvGFta3YeNJIfgBfA ThExU83rqXqicT7/H422DzJzJ1og/9M7xPSMeve73oPiOHZkoEcRhaCk439AuOY7pavF9ZJ8piwI UPkHRxtyzKEONig+qLFa7mLBrHJfINsaSFygQVMMxpL5MAJuyAYUTGGhKVb7GvJ9RHWURtV42LjX qp5QfFy+p4cl/jz69PG7Xs7+ztJSIjDjqTBpjuXIHBZllG2u20GasKMuymLqtTVKpx1vqOVrRzLA rnDSVSXjDm0xwdWI46UOMwFz3aC9OEHxoPl6un44lkWt2XBYw9Ye+tly0YLaKHixc0U4XZHiTraa HnLk3OE5eB00/q+hie14wTEDrT0ksEmDZi+Z0kTQIP5dkbjmBvj5iYdfhN/IEMfFUVg+81rtm6ml a4q+MvUPjvR11JcFb5iX6Ckc07vHAf4LVMHMRxvDFNckeX1WCOgE893ipuZDrq06wluDLnMnDIm1 JwElJUR2w1jp81Jlg5qeYjZHWgPxDNeSmuF60eeFHzOPs8Zve8zWre7UeSilPY6bz4fq0KrUC2Lc /d+wHupiZIRIr7auw53cyAxAjQnmA0PNxSLAz3UuAMFb7E1gLVNnoXvO+5Ln3nSdL8m1EBW6+1gI 86MjQPAGLlHPk4TBJkbbCbCZnDEAk7LiJrdbqSE4dQBEjozl+9Rjiud5D8LS3Hn3ISOLbjxTX7RF zhOghuLjBDtwR7AlqlsMfj6q3I0eE3lYcXEA12lJdF+BKqL3CJx+h0iIdTutIp9XXWO0PDiWhT0u CwaAPzC60vnn+/r3KoqFwGAGxbB2sgwhskeKDyh3sdXxTOdoP6B9Ljc4pEFBEjFO3afgquugWxQw 7uAYG67zo+DqYVFOX78aTgr+3t2LO0ptV7v1WvscURi+4+R0eR+C48pZ/GJD+hj+thzj31Tv6ynJ Oev2RyyBG0GweZCZ3LWANiZ+ZR2AGQf8ImAxaFu7mJh3RFsdw97WzXFUWJ6jSs/7dF/tVjtm3EdV iy6LRc5hGeFlExe2llFL0d0ugh2EAS5LzJJXDBebO5wlETdXDywAIVJ2ZUJIlUtqanypIiqNWmbA VTq//dBKdUQFY8P2xS9zAW1DvDlwlvF2ceaMVfolbec7hLpOoato8bTn+o6miDkI44WiLlY+DtX6 Rg4AbVvayCLqF3K5woGGb+TAAxgPDsnTpYtHxy0kERwYGeCpthnZW0oqYaLP5PnqGrEXIGJp3ysr vkSUx79bxPZ3E21wiw1G3mmX+f/3KhZXL0ZXyBq+bdJmxBNE+LNLes9XlQe5Z9Vtj0sk2UmPENe5 pOzQHwNMV1ONhQ+r8M3licVa7ycdGEdFIz0JHkDQnLOg7kodNckmeM34gDfqZkUPqEFDRhVPEP+9 YN7eCq5GmviIivi7r2pQoiqAFc1VMCJsYNzxnSAV5TvjW2WhkXRRJCt5If2vfMi1tfG240ke5hiQ Gb2gtubhfgnwLHmJsLXvKYwjCqSChG12m6JV9RnlRhA7DQ8yb438qsMqLK105PHomVUGrb95XfVK jQ4mdcRNvvrvcuhDxTodsHEDaLBF6PiJ25Dv5cDWFEIuwesOP+hGAKUIrlG0TCofj+S+D93M2i+Q ihgzVzN1DLEbrKxNeEBkQqI11GB5GXhOY+UAM7sv+NdJLL4UBErBYBveFmwucME90FuuAWrdS23i /PCHpqq0KIlY3/kUv9lPmMGPhh9tR7AvVKEpFmxud+ohm4dyG0a7T85muelDly9HoQwid/EMCYc4 sdvEbarUC1IUzG+6NRR3ZnV1fy5gJbp8xw46v27lQZCjAdGm/GfGobpaN9acCut7kz0UkXaZhZzT 1PqomSDbjZNNA2Z/89B+Wdy7gLumWxc4cllUno/SfNJZOoduvEXz+7A5mXgmgSyb32EaA9ggUfdA PvseAU96yG4XFpf6Y97j+BXnwszbS/TkplK8+M1PGGVsnumH/0xDi8gUY2T85oGfMSqkjaewzHn/ YZXYoOdwX6qFZMaA2huT35EGNQwUwkOgpfe05su43jrLznlhUq9oIWUwipfJADUJ555gvG8smHci gopG9nfB2atVa3rpMWdX46wVoiEZh0bb2r1C0bK46CXCe7xfaIbN26smsErrUSD3w0aTJOuk9dRw W+c4MN2HxSuWu/d4Mrqv6V7UqZdv3dYCeqPTPn0rn1OG/fMhGXpWkkhHu8DnJ6N++dbRb60thDUB kX7UEeADj+W2PI4MC/hX7qg139Fi5h0F1r09z3SLSjEHtp60ykJ8D9sWXsY1NTHhvx8eZvDRWVk0 kFHXP4O0OOZvl4ee5f/ymeNEXubbKPq5amDIhzXXuVRH7xBoubZ8gJjVb5wn39sbOOwsD69r7f0R eEUPPyRVPEH9VtGSC4MznJZQgpTHoPA9PAJJtQMxzaSvGGy81q4EAO8vWagVQBk+YcdSO+KwdfGb CHJ+hCJExMlwLs+e66B/Q0Vmv3WG2tWkfzqfU/C0SxgOaQ0OsXoJ1vnteilPLDx85Nzr62x5X0DI +b+NCcKVbhbDI0sg2g4tf2emiTcbxqxDr1Qf/i+giYb3al2Jj5kil1ppjzY9tNXtEFWliDGJ1bNM T6HirUcxwbtCFhqTSQhSXVnbddi2Fu/DsBnlf+uDQrvm3Mj7EQRubWj2RudEGp497pnoughGvH1m 7oUb6LfX0SS0x12euXhAbzkkcfS+caHcSSj38Mb+HUNqlS/+VyunTNHqTVt3zQ7zDZBH7jhuEa8V ZiFGq96daS5LNPntj1YsLszVPUdHkzbq3YdTYySGYJzT+giY195ubT2XireW7t5D4okfSIM6UcFK 0/X4azuvzemVGw+AkHWaULNWKOqYPwGXtNr/wV5DBEhFoWEvHXX1ACuRigPS/CM1Zu1whnj7FESe tWpMN72oAHpXJktbjj8zNuRNjz2kLdFMpQHk0t8Ttu6PjhE/0gaHotIv/SkXE3kRGAnNqhrmsdNf 92I7jy+se7NH6XjejCR49eiwQ0OtYoU5tiqDwrrXKfTBovS0zwVV+IZbryFXmL931VnMccwNGUZX DngyxxXYSDV3x8mxTdSGhzBlEsa4jiyxCaQQE7KMRsWPL4Yu/qVob6LatbHxhZowmJV538SmmqRy 8g/oDuEIA4OrxbpjArjLxR6XdJGG9ji8K3po8F2AfwjiMycCZemIhlUdfl9uOuXx9kxb9YxZi0b8 GJZE0S6M0mricYu9LoQ/G1X0DtWdJjjmO1i0Kd3WjbTLyRYMoFq7roAAbSnPTxxp7vWx2w6eOS+i XKvOrPEJEiFSYHqwidlxvnP4F4ZZ3NDuTsC46FlHV7Wnm1o7LeI5s4XfTuzpZCO3MVMc5cnOZKZm bBb6DnbE+eUWbXqD0d1+twTJPgXURkf4TU0tvwrFmmTwkN8PF3cyzN9O0jSBGfijoRvjZfdFJ+Ni CBynYLYZpiEWu4oSuYjHhiL3oRYMEdQSRFT9pOPeLp2yDbiO6nAptRph3NpxUMNLDWetUDW5wgks w0ECOY2/6nkRmazytMG1Ab8cerz4fzvMYfq26azHwXIntIXIL/d8d+Md/EfUo2vv+0uU79sOmQCC j5WeTMYmiCirZMnZEuV9VpVwjrusuuh7SnGotzX5waGiDC2k9zV6283HuN4MDHGzjc4zI7ZZRnVy etRhwUJF0SO4LPAnUjuJVDA97Cm6qP4rAwOAht5aNwGYW8+srEyDsuzrlR+XdJVx6ffSo5UOJVr4 a0uv5u8VQdzE5pZpxWv2KM85YL4rGgROXNfLcPMCo7v2yfe4e76Ct3xfxMJjGtN4OPduhGlXgyqh XZ+HBW0xjbAoIUf1srtFlZLEu7rgJhV3+uBDjHVlzm/JPBUWPcX5LcACbZPGisRfS5GHjBA0cHTa BU7a9WaxjQ+3aeIp934wsjY6JRWP0mimVTQhZGGK/DIv9YzSh8iGfUwdoNFv3QGMuKbOEGIpbJT7 hSj7HPKLByx8ru09dMDgbSXbeGudHVoZqaTtpeIrq39hDZwlNIlj7QNVm687RqfDfb1yF+1VHw8c B0jnmn49lGUrx0lXcZQf88aVhpinLQ419gLzD+ETsOJ8O0mDuflQ+cyhaOcn69JNAA039ic76H1r RxZTsrv+0wKLag6vynjuDrxes5WX416O76rC1mNNfjFtXCmd2FcC15fFIW2//WwgL56dI2AhpMkd +iwidtdFtNrIeaCZuLKaqsr3v6rkHjJCyrqMsl+7piWvwYil9d3kvKzZgY9MK4tyHHlhZTG4AFyR lVMVSK6aefVWR/WO2OVUTD3D078SU5XiWe4M0NG/QN2hTIDPjicYvrqoFfVf/zTW4hc57Jk83TLx oSSITqLBSnLIV3viCot/rehmwLXd0sSXHWSnvxMdAZ5tVA6GmkFzmzLUCJHl/Ip8l4KhtW9mjFJi EsojqB9PgHUdHpZCdhHWLncA1+f62+5SGD+o+La/c1LEGxnF30IervNyV0FrUFvmacTvy8hDVCic V9jhVMQvPWjC+BGcoHhIDQHSI9ITqzsc97YTH6WB4Jm/b6GQ3xGI7V8Ro1k6efc1hpZEDJyjG6fH RqSbb7T5WGmmVbN3jtbZTGaGinDfXy2RH8UmGgVOavk6GmqUfb4gUH7/PFYPoE7F8N9eE5hBZ6mJ KZEn+O2/fZTZxA/RBNd5VrC7Gy9aZF/QqMtQjmw2Auiy+dtaxSnHQwyxVsslvlIQixMR+zTKbTmv +/i6SsGM2AficHCT4EkYQSoJXyklSMHZ6qdcHY+B8gMeUqPCRRt5kJb3eTq8X9Okn4rK/GL3+L52 B8Jp7T6AciqkIZbfvSGX2YADvXOmlT1QngiOoXxB9U18gS96hDI13HPTkz0NjVegFXE21ekt5/CN JiWkyFYoIelUifMJRJMLAY4oZpFVCR1GhI58djq2GjgNKUCBGtUijujDhgs2SgUJlZKfVlEZmqu7 ubcmGn/W5HKXvIlpJaEDSQqmYrNLMUidwqEEGVufGwlaFErSTFyDvVNpD6lUvmPkD9nnpyYukUEN BwimUcjhNpKA4IhBKGawMvNFpeAzpBq2DCpr7t5NN1SCSqv0QzyhvLnZOAAltJTHruoFqYC5+Nky scgVMOBUzcoRqbOXycFg8vyUF0tevLiZkIFq1vQ/hdSoYYwBOMJ4H2Md2+hVUYhPRM8K2rtBaOcA fJ+kEo3jwRy5+QN0HuwGHaWiEqkdPzIk3tLlYZM6lVejZP0egS0VOUwaS6p17WgT/pYx8Tty12Ge 2JKaRTKFXkXdmWP6jsrC97BlS87HAjugQJEiGcJnF8JcuxunzscP4XRiv1gPvL10pmX1rjorPPnk As/zoRNW6bv5Y9GdUZFwExkeHzKEY1axFGE8Zbxme4oky5n4ciJ1CDOTEieUUW+tu/W2QCh7ysLi cl1rFOQxnU2a3h2xV9Kvoy+ENwCR/+jsyKzzdC9psKduoMWhnPxVT2T7QKz+Ie2yJft3PYfhvFHU jjisZwgJ7GCUbfZvX4WPDpv+wQKJGhHY4y6O/CIZFW+ayZ2ROmTMwJM43tAkT9wr5bxE1usKf4Tz gaBgs4QDKq7NfBQQ9F2hzPNOZe+zo4Kc16FYjVcW8F+sVCmw5z5YLiN7sMKSI/sJFT3X4MuQt6By NmBdoNxMjhnJAS4+ffCdp+BlMPC1gQyV4hDFxIy5P80pIguijvHQS2ZRiWt0K+DLuZ3Eo8CjCFgN AcslcZySg2ZwhP9hD5a6RjBDCNJAzOXMiRoKDlbj+UfqTeFO6jgDGzH71sQT0hBHiPbUe3v7yvey r43PG38FzbRw4W4A+lKxp5gJKudojjqsJ4bq+4PTw/EPr1gkPyjKfbL6BnvXvYi0GXnt33Chl35I z8SBhB6btAiIBd6tHaOdR8HBHwdQQE2b2Kw3kxwKXVTOHzcMjr1aKL/fde7C3bqbpiG59yQIJDny rXJkbZumDQAwHHEfyuAsl9/H98Qky0xjuN4WOEA59fECCdQ1AtVMX8OmEtKsbSvVG1/6WGPsB0hI LQOG6t1+hV+hSIjE0LyvqelXCDAuBZm4P2Gq832AKTpxsnuPAX7eAezsolFJIf1ZkxaO+RwEiici kYnJfoh4o5uLjtdJ4dOdbwcSNdOh5ledvo1rydnRQifgS8dg18bGah9uWehNkjpkCENTdUhWMj9a XSP2nZJ5iLFqyLNNjnq6wPyQsy6eIXFt04XmPWcm9+l7VhhEUTvmX5yHwokMFzyjf4KErstg//3t ejxNCwotBVE9RNDBXtBNji/1XfqrQDhXgcFJZA6ySgA6SGqp3MVTUprVQt4t19yutWr8ZvK9wuPm 7WHASVKWmLconviyQy0BKr8vYn6sOGpj/ulYJ4TT3Cfb/E58WJlDPFU4BjJioL7JZ6QKqfzIJGva bgNKIeiLW6F3uuI6xGKpMcCoIjkTBnRwEpU6GfI7U2aFed2Z8tjZ3IDnDPfjeBwCtMKijw62ybFB EIhYX73F/90UE9atCyaoaOcfzL0PDNEmjcAi7KbxU0Cr071P8/pKswE0AcGyI+Ls9wbLAl7w7NvL D3fg9gLIBbZTGRD55gIWOHZoULZFD5LEVgYJnhnRE+zILf10wa8prKuRzRCbuzNSmm9Vs38lmztd 5QUT3fLnAYjgJLoxw3UtuxskAnVFbUS67Cc+tHyVnlsbyWUWw3QQHvlsT49E5Lb6B8D5QpetJixn +wTs1HQmOZUP2W1js8uRUqPwuHmEgreF8RvYCb8dp1SAH0ryWl35MhFBzcCfLjc2ApSqwlxPapLM nqLfV0bC5b5D6R5g+19xX3DeFs/xik3+H9CpIDu9BsMmCLqLRRGHXAWRZcHeyX/hpfFTe9O3QGUV KzBi9nfhCTrJ1AW7hyGcxTzBosCr17rHcbpVZTB5+jdP9JYCI5D81PDtbYKgFSPDzqp2IV5vB6ds U3wGO1rkJhYn5wHZRXyfbyRpGXOfRzWSGR39GuWexRDEQIBWj/Mtix4GlblCQUwjXfBjVlbBhrrE yWteWravr6YEhPTJ1kDux1NTsEaEZbZPj4+NigrqXFwah9Ah/xjEVUMZm10R00zTpbJ6OG5QThRu sUIt+krru/SDIokLGGJhHbpuaeA3gzdMV2wSa24YSU57kfYoZkcjJtrFkyk3Z32++8M1rXL2vOy8 k+ImH+cAfXDteqklwkqlQ4XfDmxEmKfv5sysafvCodzu97resoNNTxOG6rcN+8s2pUUU5plTEGVR T07o3NCJCddcyKpJZRFrruM1YyG1yTtWoaxnngV8iM7hu+B9LNEj0aDm03gT5sraKZjEfWDoJv6d 7hT3Eq+NisqnSn/C95FlfIMda7wY2kLKrcM5re8n3QaSCfTQ71L64N3i0UkTuMC7I2y+mU/wgr0A 93V89ydO71QHjjZIKvXOPxcP9F1DyUq/FBLb+gOlr17C+RGQlUGg3kEzIr9XJTpkNVRPwNmkfJjJ t6V3S+hsu4aMbITmq/cCa7q0L+hkyUfmW+HtwOG1pDR+uaIICtcs/Yh9JkeNJsCE0IkTCZPdrYK0 +lcmlLD9iajgelJeR8oKU3xA2dHcphdXcapPhY1dRi6GvcgADcPkkAw7uzGb5iG3HgcPlnx9kyJl 6bQTYIQIPE4BnwljpcRwpAQ9bbnMgSMvYvtoxHV9so5vgdmelIR5YREhWWM= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a72d+j/QkZDkv8eDO5pA9BEngzdbQGQHhuxY2nDNAEhnIoRf0SgDQdLQUGAjqTT/LgQ/F0Cs+16w c1P7TVYYIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l/1IXczTwKQBVElHEsh+Zvo7KblkXaJxo8UlygCcRw3ZY3tWBi5BiOQVA9yqXhof3R4G5ULkurKn 46GuEoIAY3OUXtbKoFE/fg9ET9kDVkPf1jISgRyi7rAeyld9i/Cbc18E0R0zSIcXYm0KkBSXRMxF 9wuwdCtkYN244/gGxpo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l2DcCPLVR8IEoJU3RMy+SjLkstHNjHjhsTPyvJyG55CosrmHYPJF1hv5Q2QL/s+a8qVVv/FshWre ZhgfOLKwFsPfAotMElv4nD87vdWuiTfoT02uJ3qo+dMgqdK1NTGcrQz44sHgvLPLWzKe7hlF6k6o Rvf27QkEmCc2RIz/44tOQ/UppDCnrsrSVyntb+a+7BW1A9KnQCNSmFmxGUvHpVhP12cJTZtxVJbJ Gqk5MrAj6sMn7uGnVNgQNANDDB+DZgaG/rX6HKpInZYt8BzBk6ItkozY9t3z7oLm8gSHD9yIsJBO 9AMq0Li5R/GGkBoyk8LpfwkWqntHfUWm0bnNZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DjQz1cDtlzfTydRyrY+CZqTPGwZEHHaCGldw1iTUBh5QfXaAiR1uKl2S9PniOeC/cvZgRRDpmcaN zNVXhjOeHU3dnRVJvgmKq3SSiJA7PuJ5iq48dVkI+PqLpzJqKub/4QXlIW2D3K0jO9cEZznt4pz4 zGK6KgjZ7aPbC7aR/LU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cCj1sFiXTorUE6cijFjReKgoLQpZZhe3m8TlSV9f5LlFUKu+l0WXSJg/leWTQZO2OtLniO0g6v1X Q3rtBRwm8GhMcNcwV8oh3DFFtIhPAWIx8pc1IWS1flBcINGtXYVzaV9N47/Rfvj7bD/mJLL+3Y8M w37oj3YyxkZJ4Qw01CkXNmjP9Jdaf9l8rl9mPrRqfpwkMT2Wu3jRZhr19CZISAVqAU1dUMwyXjha uuLdqvOh9S6lQlkmMyjmEIKbqZUMj9jqGdqIEgihmrcRH4TEim8XRv6avaaNKbCDz4oCy/pt1FkK 6Op5z2R8h8lf36/aCuM+cJu5Sxegm18sIBuy1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block VvIw3u4z644M9oCnXrN11AFyy7KcxZsZdyLbnBx3LqVMsNcmmsh1iowZ5ub3Cw5awQgWRQ7wnJ1Z BFJWLXjtihrCL6nd2ENzmEtmUjzQroqjkhWIhGczCePlbDZGh+xle1VT1ImwLIHiJX2U7Vg1xQOz rxEV+8WYJtP7X80ztNSsy8Z+wRQnNeNHz2UBN08bcEd8nr0bVgWmJNpOGVz6/MihqxlHNXdZuS35 iiiCwUClBpNi/pVXiEyw00gmNhN/pys+TsAZJ0hVVwH1tdgqXQPQ2q0mPdIjOhQ3CGb4FtveZq0C d7qo04frJZMQ8OoaCLzu6EyWNQUjqAJUw8nPEMG39SHNyK7PRLdEEXg+AoLmHY9WaVGf/FgZppDK cpwuLLRukVN7yyJkZ1Fgh+ixcPoaiKjebdS9mlZvXKyquMxa9EbvJllsgghk0KzVjfLD37ERzOJf PMMFKyP1rRONhUl3Mf4K1AkEnlHOCnvyFAtC4QyQ05E9vVbM8lssPnZAr/Q565IavqgcrY2PMiWO 0ZKwMa0SYxeYyy7uKRpQDb1KOVFlMws2F15F6CTAloUWRCkKXDOoJO0SBh/+3j2wbUXuo5CVptD3 3CE07EcuAUYcMPIiPFY9roF4w7fDPbxtTbJBqvxREEudd64w4sUm3sES1SkRmrIi7CPLz+uFjDD4 NeFssnvqQFHxHcmYaNjzy8iWzpZD2iFoNZ49VKTFeSyDAJSRfUGfsFDNYwYezryThNx0z76hZ9iE brpEMtwuYy4fVBgcJ6+aH0tdeOFAH5VirQ7QcLoz6QyCR54lLpuJeOBVuh47gZkuuxA4+73AlNId fnOh0idbguclxHVzLsAMzCFhfnT11FlcIIyDrE9mWXj8O9YPM0RwpM5MIBlUv+GVV4ysOysyy1qF ItOTnYFJLc/EzAyY+EkGY9XNRvFAGf7oZexCjVwPbYhbs4fLgSP/nxY7W9oIaX+qrjKD8JCbL8cD D64ky7gssk1VxWSQjCrRxhcejYw6annUtLojDB0wcXseo7/XxOTBCOkFDxGl2U/EUYq2Yz3d1J5f n417zhS7PfyHauWQoInrcMP4KRQpw0/L7Z2VA6dW/hP+Udnfr/z9gADglJtO9UfNF5cswhUJWT6M Ye5ZhDFUxjGY50hciJqGLQnRGsOrAEYiqlfg2A5/G7hPhVht5oRFh57vNv0hkskWrbVqX9u5M2+l EvhwbLktgYFLRaqoevI96gklToiO7MK7ML/O0xKR0x0WcNWXbxxiYiVWVJKDpDqBNbswQaqceRnw +puj7OKCkNGrRFXNoIIsk/DZEXCmuqR/WWcFTU5pqsQdYFdgcCEXoWSVMdXFQlX/wTq6MR/08eqr gByMfU6PjsTm68/P7E35DKerC/blX12tRARkYueL2WOHRG13rLwXJczEJXq6IAfgmyDCCK2X+LNX nrvVHfn9YXP8k/VbQUddXxUQLVDteu4/zhd+CMk6MDP25N4kKP+Nog9UMYUw325D0jQcGDKlwJzv g022IhaCQP0s4ApZb/heP+xgPFS8kxCpIVxbuKd8o3EaotsZAl/u9ZLQ2L34wCpj7OPuN6RiqXMT 8N4+ZvMdoSvjNsB2doffrv3wdFqrPaIXVPJQmDxTeLq4yHYVSbw/L3HE+8KmBdg/1/vazPyEnJQ7 /f+jKFWf+KjSg58K/3hzm3dzCoy07ImxveO8jEUGdgi4Q6nM/i0tqwnjeGU+JofpGMn7OPlLIXty NJON3yzqTXwgiwvC+n71brGm+qBTAfRhaSq/+kOJDTPx9QHPL5YCztXaWrkyvzdzAsf7K0sTIT9N Tvs3IEXhIX6T/848IqCj+MDQpL/UVNVt3lwOq9JxvaEyx7pOU9QOdIlXaSa/g3SdBB/OE9D0eV/L Z2Szowo0HksKj+mCRpOykmfT9FkiPWaGqUx6akGQC2PagVlFDTiQhInp8OSWr7bNKPdKIfkXF+Nd w3FyYWhvo9uNJle/FAr9aP4maR7ZFYyCw17BpRjR1O23C+IAcmbrpBgxn6+73a9nnnvN/TVYCVtM 4DBBnzpVLdp+GkEY9l6HSxBBxFoSVeGm5vwKG0o1RthKPoRYsWRqH0dany7GCT9XfLp+N9ZSwVLL DbQuoR471RYcxMl7bglAhI2NUEOdl9kRfPYNffO/b+ga3bSGZ5eYzOJiIUKmYIma+s6bLKXvuqEk ol/6uCGXDx//Up3DGB+cSbLWti2WR3ux2z6pt1JaAzvU/PJyN3+JsIBFqZWA0eUIckJeYbvruUiF to4ScJOuwhHpNc84GtiUB/S1YShXMYaakQDJ1AduMQaWsg3ayh5LkRoeoSg0cJ4QLCef0l+ghfCo /22Gr2/oJwb/wu9YTAMa1SppOK2z2L6xJAd8aiJqsT/XEtXYHZ245FPifP5zM83uYCeAsNyZpeIQ wLE727z1YCIl6RtnIttA5hXTqNTcVWUVf4nc9k3mfBqu2SaqfdNUaCMZzB8ewkSNhLvIxy52UPtb oT9/8J9yNwkl+Q8wOBivRj7WJQIe+qVq3XVeoda8ja4BYpzLmGjz4Uj+Gx7Y84+Yr5nHLaLC0I4M fzhKgMOJJptowhnXroSskYF+LuYHefJdJt2O3XjObVo/FUOwMPKrwHuaOr8ge8f57lcgxue+oc11 Z44qy+5s6NNJsKzo9xp2Z8CisYzvWPmj9eVqmADF6mR7YAkgS3poHJjXf51NRwtKk8fGbHrpZDA2 nJZP7eldtKw3Sq4d1hKlm9HmEdf0nUgq/sYgUYSsqFoy8EsiLZi/gylnsFRGgKAPyYD+jUASNUAb go95eu7YOq+PYVV4fpenUsh9VG4wnPrR6QbEco5O03Bmkk7nh7hyJbydRtcEUCRUcrpafh7+JWOW bx/jAVIqgx8lePe7aPhWTivdXv3aR2OiUQIzi2mYlwHtufp1Q5yqtozMcmMuA5UtpdXy11NqGtLh fw6ojztUOszKeb3km6lv7DO/UlQ658dL+25fsB8f0SVH93XqawREwAwQ+Y9Q8547SAVRMRmRIq9G lSejmC85f/CQhUpyMiPvHt0alea1RzEic1Z4UeS3HCDTp0yIX3yxnGwz8Fsv8cHeV11bavAo55lx MeXH/tKGHEDL9KLEvPV1+zLLXY0Xd9NqHdnW95e4+gnmYWPWqHbIDtAgq6a1luU8bKCFGj9UYZ1z BMyXBGz5jHW19rRIPSr92tjNbc+RHGJAu/ZO2TdDX7Blb8OvAt4nbXGD9qojkZkUjV5vF6+RSBQG qWk7RgIGsgfnT4HYWYtHkxhpM/ZHgiPrfzg85ZQwTUqEEo24pxcHEhUBEXiQphfgilE56FKaDk0y X41mZraWVnfpSYYJLwfScx+mDSC4zKxA9Q3sp/pXVplnN81R3+5rp7H4GgofIu+0DbIdeAFhC7In xXOHlok2y9IVD6QnxZhVnX9EfV/AhB+4QQvwwSdlZR5BtitKeDilmRmX56ESHDOcJUCkVe5srkqw J+gPKG0Q+24zbyPjzKrJWOWYPV3Woyue4bWlHZmbrrwueleTzIjUmILjd84SQ/JqT0YElyMRwcvO kPrnGVXd9L9c3f8amVPK6a3BmHm7SCZuTcgfO9N3tiEjirNGIubrcyIGZ7WeVUZRGrUJY+jMJwz0 L8XFj2JsWAJyNZoxSQItptiSMK2z8oas49B/iejdGoBoheX+92eF6SNqcbZbfMPvM+jS04oBOK76 xmofg7tbGwySq/hhHBtzYLalCrPNebWgw5MAGSax9fLeqK33qbiAxTVOvmkrO6KT0jpUR39empi1 LWVXTHOvdC+PireT0gdL1MkFnZgWK/W1+t+OhiOOUP9Muqa5PhcZThLOerhn+WHzcufwKLtVPvbM Ba2JJSUYhK2M2XcimPd2PE/rFyC/DZE10CIVFrlmAqCtFLu/slV9Q0AEU8huTpA2nl0OukyQoVaw NvknUHtieKYpQzpPmsb9y3t8aH2667aBcAdSjOEhlOV9PduYzFpHNvJDFthC+Blxmjr0x8apFZbo bYtEylkIYptj++xtiJH+wRvyE/w9nsHNBvFkk7+Q4oQ9Oj8GkMlD8miKCb2nF7HzBhcYEMGv+j4W 2M7dAxndHjqGtQii3U0B7Jmvq4VshtMy+iO2c31MXwEk09CmLNGNR4texRmMCYsx6l+zjAm1QKUk 6hdwzt35PrMXQBPUOCdt7J7du5uNewxBsWlxy13tC90atHtMdMdqt3JIerNbMnTPyZyhlQbHfZ/z vpWUoTJmn8zGlpjP/HqK/KDoG1Swke1f5b9+eZ+Q/5zCiwwgCQi/Ab1qTBjQdDdSDasiWdC5zI4A uj8MYDTRVUmISipvxHO190BvcvYY+HfirIIUfSZ0hS4hBlTawWO5GPnoIwztTUjXidRZLhXmBgJp vyDzZwlXeDbde3ygZALtnXX6N7NBf6BWWdQ/jhDQqEerWbzkUgxQdLCzccJ7E0Fi9FbSZdR23jXV nZJLpbLEbcvZEO7XoaBVmnz0vY7Vbwv4UpBPnWQToarGEHMemQtePCPnxD0c/iJD+yAZC1OUoxdi QrSrXfVjS68vTGop7nTQ9vb5UeL36GWar8DH/SAPiK8Mw3Um0QKqNDuQ20TlXRA80xTZCEZFI6K1 Ll7mVKCE1ox9POXno9cUQ3UxWsqt9CkL4JkvXnL424iPEQ5v+M6XKL8SZDreafO/UGT9gD29oCWf y8PYTaoo9V9RikY6sBHb5tEpAzmkWWr797K0EgFp2LBQMGoobCj6mpMx5FOuSypa7+T/fmo1avdS FeeOS3MQjOEOgNVl56qVIFBRdiIQRCV5poFgaNlAnjh2aF74RLwmMXzvfAqOvGFta3YeNJIfgBfA ThExU83rqXqicT7/H422DzJzJ1og/9M7xPSMeve73oPiOHZkoEcRhaCk439AuOY7pavF9ZJ8piwI UPkHRxtyzKEONig+qLFa7mLBrHJfINsaSFygQVMMxpL5MAJuyAYUTGGhKVb7GvJ9RHWURtV42LjX qp5QfFy+p4cl/jz69PG7Xs7+ztJSIjDjqTBpjuXIHBZllG2u20GasKMuymLqtTVKpx1vqOVrRzLA rnDSVSXjDm0xwdWI46UOMwFz3aC9OEHxoPl6un44lkWt2XBYw9Ye+tly0YLaKHixc0U4XZHiTraa HnLk3OE5eB00/q+hie14wTEDrT0ksEmDZi+Z0kTQIP5dkbjmBvj5iYdfhN/IEMfFUVg+81rtm6ml a4q+MvUPjvR11JcFb5iX6Ckc07vHAf4LVMHMRxvDFNckeX1WCOgE893ipuZDrq06wluDLnMnDIm1 JwElJUR2w1jp81Jlg5qeYjZHWgPxDNeSmuF60eeFHzOPs8Zve8zWre7UeSilPY6bz4fq0KrUC2Lc /d+wHupiZIRIr7auw53cyAxAjQnmA0PNxSLAz3UuAMFb7E1gLVNnoXvO+5Ln3nSdL8m1EBW6+1gI 86MjQPAGLlHPk4TBJkbbCbCZnDEAk7LiJrdbqSE4dQBEjozl+9Rjiud5D8LS3Hn3ISOLbjxTX7RF zhOghuLjBDtwR7AlqlsMfj6q3I0eE3lYcXEA12lJdF+BKqL3CJx+h0iIdTutIp9XXWO0PDiWhT0u CwaAPzC60vnn+/r3KoqFwGAGxbB2sgwhskeKDyh3sdXxTOdoP6B9Ljc4pEFBEjFO3afgquugWxQw 7uAYG67zo+DqYVFOX78aTgr+3t2LO0ptV7v1WvscURi+4+R0eR+C48pZ/GJD+hj+thzj31Tv6ynJ Oev2RyyBG0GweZCZ3LWANiZ+ZR2AGQf8ImAxaFu7mJh3RFsdw97WzXFUWJ6jSs/7dF/tVjtm3EdV iy6LRc5hGeFlExe2llFL0d0ugh2EAS5LzJJXDBebO5wlETdXDywAIVJ2ZUJIlUtqanypIiqNWmbA VTq//dBKdUQFY8P2xS9zAW1DvDlwlvF2ceaMVfolbec7hLpOoato8bTn+o6miDkI44WiLlY+DtX6 Rg4AbVvayCLqF3K5woGGb+TAAxgPDsnTpYtHxy0kERwYGeCpthnZW0oqYaLP5PnqGrEXIGJp3ysr vkSUx79bxPZ3E21wiw1G3mmX+f/3KhZXL0ZXyBq+bdJmxBNE+LNLes9XlQe5Z9Vtj0sk2UmPENe5 pOzQHwNMV1ONhQ+r8M3licVa7ycdGEdFIz0JHkDQnLOg7kodNckmeM34gDfqZkUPqEFDRhVPEP+9 YN7eCq5GmviIivi7r2pQoiqAFc1VMCJsYNzxnSAV5TvjW2WhkXRRJCt5If2vfMi1tfG240ke5hiQ Gb2gtubhfgnwLHmJsLXvKYwjCqSChG12m6JV9RnlRhA7DQ8yb438qsMqLK105PHomVUGrb95XfVK jQ4mdcRNvvrvcuhDxTodsHEDaLBF6PiJ25Dv5cDWFEIuwesOP+hGAKUIrlG0TCofj+S+D93M2i+Q ihgzVzN1DLEbrKxNeEBkQqI11GB5GXhOY+UAM7sv+NdJLL4UBErBYBveFmwucME90FuuAWrdS23i /PCHpqq0KIlY3/kUv9lPmMGPhh9tR7AvVKEpFmxud+ohm4dyG0a7T85muelDly9HoQwid/EMCYc4 sdvEbarUC1IUzG+6NRR3ZnV1fy5gJbp8xw46v27lQZCjAdGm/GfGobpaN9acCut7kz0UkXaZhZzT 1PqomSDbjZNNA2Z/89B+Wdy7gLumWxc4cllUno/SfNJZOoduvEXz+7A5mXgmgSyb32EaA9ggUfdA PvseAU96yG4XFpf6Y97j+BXnwszbS/TkplK8+M1PGGVsnumH/0xDi8gUY2T85oGfMSqkjaewzHn/ YZXYoOdwX6qFZMaA2huT35EGNQwUwkOgpfe05su43jrLznlhUq9oIWUwipfJADUJ555gvG8smHci gopG9nfB2atVa3rpMWdX46wVoiEZh0bb2r1C0bK46CXCe7xfaIbN26smsErrUSD3w0aTJOuk9dRw W+c4MN2HxSuWu/d4Mrqv6V7UqZdv3dYCeqPTPn0rn1OG/fMhGXpWkkhHu8DnJ6N++dbRb60thDUB kX7UEeADj+W2PI4MC/hX7qg139Fi5h0F1r09z3SLSjEHtp60ykJ8D9sWXsY1NTHhvx8eZvDRWVk0 kFHXP4O0OOZvl4ee5f/ymeNEXubbKPq5amDIhzXXuVRH7xBoubZ8gJjVb5wn39sbOOwsD69r7f0R eEUPPyRVPEH9VtGSC4MznJZQgpTHoPA9PAJJtQMxzaSvGGy81q4EAO8vWagVQBk+YcdSO+KwdfGb CHJ+hCJExMlwLs+e66B/Q0Vmv3WG2tWkfzqfU/C0SxgOaQ0OsXoJ1vnteilPLDx85Nzr62x5X0DI +b+NCcKVbhbDI0sg2g4tf2emiTcbxqxDr1Qf/i+giYb3al2Jj5kil1ppjzY9tNXtEFWliDGJ1bNM T6HirUcxwbtCFhqTSQhSXVnbddi2Fu/DsBnlf+uDQrvm3Mj7EQRubWj2RudEGp497pnoughGvH1m 7oUb6LfX0SS0x12euXhAbzkkcfS+caHcSSj38Mb+HUNqlS/+VyunTNHqTVt3zQ7zDZBH7jhuEa8V ZiFGq96daS5LNPntj1YsLszVPUdHkzbq3YdTYySGYJzT+giY195ubT2XireW7t5D4okfSIM6UcFK 0/X4azuvzemVGw+AkHWaULNWKOqYPwGXtNr/wV5DBEhFoWEvHXX1ACuRigPS/CM1Zu1whnj7FESe tWpMN72oAHpXJktbjj8zNuRNjz2kLdFMpQHk0t8Ttu6PjhE/0gaHotIv/SkXE3kRGAnNqhrmsdNf 92I7jy+se7NH6XjejCR49eiwQ0OtYoU5tiqDwrrXKfTBovS0zwVV+IZbryFXmL931VnMccwNGUZX DngyxxXYSDV3x8mxTdSGhzBlEsa4jiyxCaQQE7KMRsWPL4Yu/qVob6LatbHxhZowmJV538SmmqRy 8g/oDuEIA4OrxbpjArjLxR6XdJGG9ji8K3po8F2AfwjiMycCZemIhlUdfl9uOuXx9kxb9YxZi0b8 GJZE0S6M0mricYu9LoQ/G1X0DtWdJjjmO1i0Kd3WjbTLyRYMoFq7roAAbSnPTxxp7vWx2w6eOS+i XKvOrPEJEiFSYHqwidlxvnP4F4ZZ3NDuTsC46FlHV7Wnm1o7LeI5s4XfTuzpZCO3MVMc5cnOZKZm bBb6DnbE+eUWbXqD0d1+twTJPgXURkf4TU0tvwrFmmTwkN8PF3cyzN9O0jSBGfijoRvjZfdFJ+Ni CBynYLYZpiEWu4oSuYjHhiL3oRYMEdQSRFT9pOPeLp2yDbiO6nAptRph3NpxUMNLDWetUDW5wgks w0ECOY2/6nkRmazytMG1Ab8cerz4fzvMYfq26azHwXIntIXIL/d8d+Md/EfUo2vv+0uU79sOmQCC j5WeTMYmiCirZMnZEuV9VpVwjrusuuh7SnGotzX5waGiDC2k9zV6283HuN4MDHGzjc4zI7ZZRnVy etRhwUJF0SO4LPAnUjuJVDA97Cm6qP4rAwOAht5aNwGYW8+srEyDsuzrlR+XdJVx6ffSo5UOJVr4 a0uv5u8VQdzE5pZpxWv2KM85YL4rGgROXNfLcPMCo7v2yfe4e76Ct3xfxMJjGtN4OPduhGlXgyqh XZ+HBW0xjbAoIUf1srtFlZLEu7rgJhV3+uBDjHVlzm/JPBUWPcX5LcACbZPGisRfS5GHjBA0cHTa BU7a9WaxjQ+3aeIp934wsjY6JRWP0mimVTQhZGGK/DIv9YzSh8iGfUwdoNFv3QGMuKbOEGIpbJT7 hSj7HPKLByx8ru09dMDgbSXbeGudHVoZqaTtpeIrq39hDZwlNIlj7QNVm687RqfDfb1yF+1VHw8c B0jnmn49lGUrx0lXcZQf88aVhpinLQ419gLzD+ETsOJ8O0mDuflQ+cyhaOcn69JNAA039ic76H1r RxZTsrv+0wKLag6vynjuDrxes5WX416O76rC1mNNfjFtXCmd2FcC15fFIW2//WwgL56dI2AhpMkd +iwidtdFtNrIeaCZuLKaqsr3v6rkHjJCyrqMsl+7piWvwYil9d3kvKzZgY9MK4tyHHlhZTG4AFyR lVMVSK6aefVWR/WO2OVUTD3D078SU5XiWe4M0NG/QN2hTIDPjicYvrqoFfVf/zTW4hc57Jk83TLx oSSITqLBSnLIV3viCot/rehmwLXd0sSXHWSnvxMdAZ5tVA6GmkFzmzLUCJHl/Ip8l4KhtW9mjFJi EsojqB9PgHUdHpZCdhHWLncA1+f62+5SGD+o+La/c1LEGxnF30IervNyV0FrUFvmacTvy8hDVCic V9jhVMQvPWjC+BGcoHhIDQHSI9ITqzsc97YTH6WB4Jm/b6GQ3xGI7V8Ro1k6efc1hpZEDJyjG6fH RqSbb7T5WGmmVbN3jtbZTGaGinDfXy2RH8UmGgVOavk6GmqUfb4gUH7/PFYPoE7F8N9eE5hBZ6mJ KZEn+O2/fZTZxA/RBNd5VrC7Gy9aZF/QqMtQjmw2Auiy+dtaxSnHQwyxVsslvlIQixMR+zTKbTmv +/i6SsGM2AficHCT4EkYQSoJXyklSMHZ6qdcHY+B8gMeUqPCRRt5kJb3eTq8X9Okn4rK/GL3+L52 B8Jp7T6AciqkIZbfvSGX2YADvXOmlT1QngiOoXxB9U18gS96hDI13HPTkz0NjVegFXE21ekt5/CN JiWkyFYoIelUifMJRJMLAY4oZpFVCR1GhI58djq2GjgNKUCBGtUijujDhgs2SgUJlZKfVlEZmqu7 ubcmGn/W5HKXvIlpJaEDSQqmYrNLMUidwqEEGVufGwlaFErSTFyDvVNpD6lUvmPkD9nnpyYukUEN BwimUcjhNpKA4IhBKGawMvNFpeAzpBq2DCpr7t5NN1SCSqv0QzyhvLnZOAAltJTHruoFqYC5+Nky scgVMOBUzcoRqbOXycFg8vyUF0tevLiZkIFq1vQ/hdSoYYwBOMJ4H2Md2+hVUYhPRM8K2rtBaOcA fJ+kEo3jwRy5+QN0HuwGHaWiEqkdPzIk3tLlYZM6lVejZP0egS0VOUwaS6p17WgT/pYx8Tty12Ge 2JKaRTKFXkXdmWP6jsrC97BlS87HAjugQJEiGcJnF8JcuxunzscP4XRiv1gPvL10pmX1rjorPPnk As/zoRNW6bv5Y9GdUZFwExkeHzKEY1axFGE8Zbxme4oky5n4ciJ1CDOTEieUUW+tu/W2QCh7ysLi cl1rFOQxnU2a3h2xV9Kvoy+ENwCR/+jsyKzzdC9psKduoMWhnPxVT2T7QKz+Ie2yJft3PYfhvFHU jjisZwgJ7GCUbfZvX4WPDpv+wQKJGhHY4y6O/CIZFW+ayZ2ROmTMwJM43tAkT9wr5bxE1usKf4Tz gaBgs4QDKq7NfBQQ9F2hzPNOZe+zo4Kc16FYjVcW8F+sVCmw5z5YLiN7sMKSI/sJFT3X4MuQt6By NmBdoNxMjhnJAS4+ffCdp+BlMPC1gQyV4hDFxIy5P80pIguijvHQS2ZRiWt0K+DLuZ3Eo8CjCFgN AcslcZySg2ZwhP9hD5a6RjBDCNJAzOXMiRoKDlbj+UfqTeFO6jgDGzH71sQT0hBHiPbUe3v7yvey r43PG38FzbRw4W4A+lKxp5gJKudojjqsJ4bq+4PTw/EPr1gkPyjKfbL6BnvXvYi0GXnt33Chl35I z8SBhB6btAiIBd6tHaOdR8HBHwdQQE2b2Kw3kxwKXVTOHzcMjr1aKL/fde7C3bqbpiG59yQIJDny rXJkbZumDQAwHHEfyuAsl9/H98Qky0xjuN4WOEA59fECCdQ1AtVMX8OmEtKsbSvVG1/6WGPsB0hI LQOG6t1+hV+hSIjE0LyvqelXCDAuBZm4P2Gq832AKTpxsnuPAX7eAezsolFJIf1ZkxaO+RwEiici kYnJfoh4o5uLjtdJ4dOdbwcSNdOh5ledvo1rydnRQifgS8dg18bGah9uWehNkjpkCENTdUhWMj9a XSP2nZJ5iLFqyLNNjnq6wPyQsy6eIXFt04XmPWcm9+l7VhhEUTvmX5yHwokMFzyjf4KErstg//3t ejxNCwotBVE9RNDBXtBNji/1XfqrQDhXgcFJZA6ySgA6SGqp3MVTUprVQt4t19yutWr8ZvK9wuPm 7WHASVKWmLconviyQy0BKr8vYn6sOGpj/ulYJ4TT3Cfb/E58WJlDPFU4BjJioL7JZ6QKqfzIJGva bgNKIeiLW6F3uuI6xGKpMcCoIjkTBnRwEpU6GfI7U2aFed2Z8tjZ3IDnDPfjeBwCtMKijw62ybFB EIhYX73F/90UE9atCyaoaOcfzL0PDNEmjcAi7KbxU0Cr071P8/pKswE0AcGyI+Ls9wbLAl7w7NvL D3fg9gLIBbZTGRD55gIWOHZoULZFD5LEVgYJnhnRE+zILf10wa8prKuRzRCbuzNSmm9Vs38lmztd 5QUT3fLnAYjgJLoxw3UtuxskAnVFbUS67Cc+tHyVnlsbyWUWw3QQHvlsT49E5Lb6B8D5QpetJixn +wTs1HQmOZUP2W1js8uRUqPwuHmEgreF8RvYCb8dp1SAH0ryWl35MhFBzcCfLjc2ApSqwlxPapLM nqLfV0bC5b5D6R5g+19xX3DeFs/xik3+H9CpIDu9BsMmCLqLRRGHXAWRZcHeyX/hpfFTe9O3QGUV KzBi9nfhCTrJ1AW7hyGcxTzBosCr17rHcbpVZTB5+jdP9JYCI5D81PDtbYKgFSPDzqp2IV5vB6ds U3wGO1rkJhYn5wHZRXyfbyRpGXOfRzWSGR39GuWexRDEQIBWj/Mtix4GlblCQUwjXfBjVlbBhrrE yWteWravr6YEhPTJ1kDux1NTsEaEZbZPj4+NigrqXFwah9Ah/xjEVUMZm10R00zTpbJ6OG5QThRu sUIt+krru/SDIokLGGJhHbpuaeA3gzdMV2wSa24YSU57kfYoZkcjJtrFkyk3Z32++8M1rXL2vOy8 k+ImH+cAfXDteqklwkqlQ4XfDmxEmKfv5sysafvCodzu97resoNNTxOG6rcN+8s2pUUU5plTEGVR T07o3NCJCddcyKpJZRFrruM1YyG1yTtWoaxnngV8iM7hu+B9LNEj0aDm03gT5sraKZjEfWDoJv6d 7hT3Eq+NisqnSn/C95FlfIMda7wY2kLKrcM5re8n3QaSCfTQ71L64N3i0UkTuMC7I2y+mU/wgr0A 93V89ydO71QHjjZIKvXOPxcP9F1DyUq/FBLb+gOlr17C+RGQlUGg3kEzIr9XJTpkNVRPwNmkfJjJ t6V3S+hsu4aMbITmq/cCa7q0L+hkyUfmW+HtwOG1pDR+uaIICtcs/Yh9JkeNJsCE0IkTCZPdrYK0 +lcmlLD9iajgelJeR8oKU3xA2dHcphdXcapPhY1dRi6GvcgADcPkkAw7uzGb5iG3HgcPlnx9kyJl 6bQTYIQIPE4BnwljpcRwpAQ9bbnMgSMvYvtoxHV9so5vgdmelIR5YREhWWM= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a72d+j/QkZDkv8eDO5pA9BEngzdbQGQHhuxY2nDNAEhnIoRf0SgDQdLQUGAjqTT/LgQ/F0Cs+16w c1P7TVYYIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l/1IXczTwKQBVElHEsh+Zvo7KblkXaJxo8UlygCcRw3ZY3tWBi5BiOQVA9yqXhof3R4G5ULkurKn 46GuEoIAY3OUXtbKoFE/fg9ET9kDVkPf1jISgRyi7rAeyld9i/Cbc18E0R0zSIcXYm0KkBSXRMxF 9wuwdCtkYN244/gGxpo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l2DcCPLVR8IEoJU3RMy+SjLkstHNjHjhsTPyvJyG55CosrmHYPJF1hv5Q2QL/s+a8qVVv/FshWre ZhgfOLKwFsPfAotMElv4nD87vdWuiTfoT02uJ3qo+dMgqdK1NTGcrQz44sHgvLPLWzKe7hlF6k6o Rvf27QkEmCc2RIz/44tOQ/UppDCnrsrSVyntb+a+7BW1A9KnQCNSmFmxGUvHpVhP12cJTZtxVJbJ Gqk5MrAj6sMn7uGnVNgQNANDDB+DZgaG/rX6HKpInZYt8BzBk6ItkozY9t3z7oLm8gSHD9yIsJBO 9AMq0Li5R/GGkBoyk8LpfwkWqntHfUWm0bnNZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DjQz1cDtlzfTydRyrY+CZqTPGwZEHHaCGldw1iTUBh5QfXaAiR1uKl2S9PniOeC/cvZgRRDpmcaN zNVXhjOeHU3dnRVJvgmKq3SSiJA7PuJ5iq48dVkI+PqLpzJqKub/4QXlIW2D3K0jO9cEZznt4pz4 zGK6KgjZ7aPbC7aR/LU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cCj1sFiXTorUE6cijFjReKgoLQpZZhe3m8TlSV9f5LlFUKu+l0WXSJg/leWTQZO2OtLniO0g6v1X Q3rtBRwm8GhMcNcwV8oh3DFFtIhPAWIx8pc1IWS1flBcINGtXYVzaV9N47/Rfvj7bD/mJLL+3Y8M w37oj3YyxkZJ4Qw01CkXNmjP9Jdaf9l8rl9mPrRqfpwkMT2Wu3jRZhr19CZISAVqAU1dUMwyXjha uuLdqvOh9S6lQlkmMyjmEIKbqZUMj9jqGdqIEgihmrcRH4TEim8XRv6avaaNKbCDz4oCy/pt1FkK 6Op5z2R8h8lf36/aCuM+cJu5Sxegm18sIBuy1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block VvIw3u4z644M9oCnXrN11AFyy7KcxZsZdyLbnBx3LqVMsNcmmsh1iowZ5ub3Cw5awQgWRQ7wnJ1Z BFJWLXjtihrCL6nd2ENzmEtmUjzQroqjkhWIhGczCePlbDZGh+xle1VT1ImwLIHiJX2U7Vg1xQOz rxEV+8WYJtP7X80ztNSsy8Z+wRQnNeNHz2UBN08bcEd8nr0bVgWmJNpOGVz6/MihqxlHNXdZuS35 iiiCwUClBpNi/pVXiEyw00gmNhN/pys+TsAZJ0hVVwH1tdgqXQPQ2q0mPdIjOhQ3CGb4FtveZq0C d7qo04frJZMQ8OoaCLzu6EyWNQUjqAJUw8nPEMG39SHNyK7PRLdEEXg+AoLmHY9WaVGf/FgZppDK cpwuLLRukVN7yyJkZ1Fgh+ixcPoaiKjebdS9mlZvXKyquMxa9EbvJllsgghk0KzVjfLD37ERzOJf PMMFKyP1rRONhUl3Mf4K1AkEnlHOCnvyFAtC4QyQ05E9vVbM8lssPnZAr/Q565IavqgcrY2PMiWO 0ZKwMa0SYxeYyy7uKRpQDb1KOVFlMws2F15F6CTAloUWRCkKXDOoJO0SBh/+3j2wbUXuo5CVptD3 3CE07EcuAUYcMPIiPFY9roF4w7fDPbxtTbJBqvxREEudd64w4sUm3sES1SkRmrIi7CPLz+uFjDD4 NeFssnvqQFHxHcmYaNjzy8iWzpZD2iFoNZ49VKTFeSyDAJSRfUGfsFDNYwYezryThNx0z76hZ9iE brpEMtwuYy4fVBgcJ6+aH0tdeOFAH5VirQ7QcLoz6QyCR54lLpuJeOBVuh47gZkuuxA4+73AlNId fnOh0idbguclxHVzLsAMzCFhfnT11FlcIIyDrE9mWXj8O9YPM0RwpM5MIBlUv+GVV4ysOysyy1qF ItOTnYFJLc/EzAyY+EkGY9XNRvFAGf7oZexCjVwPbYhbs4fLgSP/nxY7W9oIaX+qrjKD8JCbL8cD D64ky7gssk1VxWSQjCrRxhcejYw6annUtLojDB0wcXseo7/XxOTBCOkFDxGl2U/EUYq2Yz3d1J5f n417zhS7PfyHauWQoInrcMP4KRQpw0/L7Z2VA6dW/hP+Udnfr/z9gADglJtO9UfNF5cswhUJWT6M Ye5ZhDFUxjGY50hciJqGLQnRGsOrAEYiqlfg2A5/G7hPhVht5oRFh57vNv0hkskWrbVqX9u5M2+l EvhwbLktgYFLRaqoevI96gklToiO7MK7ML/O0xKR0x0WcNWXbxxiYiVWVJKDpDqBNbswQaqceRnw +puj7OKCkNGrRFXNoIIsk/DZEXCmuqR/WWcFTU5pqsQdYFdgcCEXoWSVMdXFQlX/wTq6MR/08eqr gByMfU6PjsTm68/P7E35DKerC/blX12tRARkYueL2WOHRG13rLwXJczEJXq6IAfgmyDCCK2X+LNX nrvVHfn9YXP8k/VbQUddXxUQLVDteu4/zhd+CMk6MDP25N4kKP+Nog9UMYUw325D0jQcGDKlwJzv g022IhaCQP0s4ApZb/heP+xgPFS8kxCpIVxbuKd8o3EaotsZAl/u9ZLQ2L34wCpj7OPuN6RiqXMT 8N4+ZvMdoSvjNsB2doffrv3wdFqrPaIXVPJQmDxTeLq4yHYVSbw/L3HE+8KmBdg/1/vazPyEnJQ7 /f+jKFWf+KjSg58K/3hzm3dzCoy07ImxveO8jEUGdgi4Q6nM/i0tqwnjeGU+JofpGMn7OPlLIXty NJON3yzqTXwgiwvC+n71brGm+qBTAfRhaSq/+kOJDTPx9QHPL5YCztXaWrkyvzdzAsf7K0sTIT9N Tvs3IEXhIX6T/848IqCj+MDQpL/UVNVt3lwOq9JxvaEyx7pOU9QOdIlXaSa/g3SdBB/OE9D0eV/L Z2Szowo0HksKj+mCRpOykmfT9FkiPWaGqUx6akGQC2PagVlFDTiQhInp8OSWr7bNKPdKIfkXF+Nd w3FyYWhvo9uNJle/FAr9aP4maR7ZFYyCw17BpRjR1O23C+IAcmbrpBgxn6+73a9nnnvN/TVYCVtM 4DBBnzpVLdp+GkEY9l6HSxBBxFoSVeGm5vwKG0o1RthKPoRYsWRqH0dany7GCT9XfLp+N9ZSwVLL DbQuoR471RYcxMl7bglAhI2NUEOdl9kRfPYNffO/b+ga3bSGZ5eYzOJiIUKmYIma+s6bLKXvuqEk ol/6uCGXDx//Up3DGB+cSbLWti2WR3ux2z6pt1JaAzvU/PJyN3+JsIBFqZWA0eUIckJeYbvruUiF to4ScJOuwhHpNc84GtiUB/S1YShXMYaakQDJ1AduMQaWsg3ayh5LkRoeoSg0cJ4QLCef0l+ghfCo /22Gr2/oJwb/wu9YTAMa1SppOK2z2L6xJAd8aiJqsT/XEtXYHZ245FPifP5zM83uYCeAsNyZpeIQ wLE727z1YCIl6RtnIttA5hXTqNTcVWUVf4nc9k3mfBqu2SaqfdNUaCMZzB8ewkSNhLvIxy52UPtb oT9/8J9yNwkl+Q8wOBivRj7WJQIe+qVq3XVeoda8ja4BYpzLmGjz4Uj+Gx7Y84+Yr5nHLaLC0I4M fzhKgMOJJptowhnXroSskYF+LuYHefJdJt2O3XjObVo/FUOwMPKrwHuaOr8ge8f57lcgxue+oc11 Z44qy+5s6NNJsKzo9xp2Z8CisYzvWPmj9eVqmADF6mR7YAkgS3poHJjXf51NRwtKk8fGbHrpZDA2 nJZP7eldtKw3Sq4d1hKlm9HmEdf0nUgq/sYgUYSsqFoy8EsiLZi/gylnsFRGgKAPyYD+jUASNUAb go95eu7YOq+PYVV4fpenUsh9VG4wnPrR6QbEco5O03Bmkk7nh7hyJbydRtcEUCRUcrpafh7+JWOW bx/jAVIqgx8lePe7aPhWTivdXv3aR2OiUQIzi2mYlwHtufp1Q5yqtozMcmMuA5UtpdXy11NqGtLh fw6ojztUOszKeb3km6lv7DO/UlQ658dL+25fsB8f0SVH93XqawREwAwQ+Y9Q8547SAVRMRmRIq9G lSejmC85f/CQhUpyMiPvHt0alea1RzEic1Z4UeS3HCDTp0yIX3yxnGwz8Fsv8cHeV11bavAo55lx MeXH/tKGHEDL9KLEvPV1+zLLXY0Xd9NqHdnW95e4+gnmYWPWqHbIDtAgq6a1luU8bKCFGj9UYZ1z BMyXBGz5jHW19rRIPSr92tjNbc+RHGJAu/ZO2TdDX7Blb8OvAt4nbXGD9qojkZkUjV5vF6+RSBQG qWk7RgIGsgfnT4HYWYtHkxhpM/ZHgiPrfzg85ZQwTUqEEo24pxcHEhUBEXiQphfgilE56FKaDk0y X41mZraWVnfpSYYJLwfScx+mDSC4zKxA9Q3sp/pXVplnN81R3+5rp7H4GgofIu+0DbIdeAFhC7In xXOHlok2y9IVD6QnxZhVnX9EfV/AhB+4QQvwwSdlZR5BtitKeDilmRmX56ESHDOcJUCkVe5srkqw J+gPKG0Q+24zbyPjzKrJWOWYPV3Woyue4bWlHZmbrrwueleTzIjUmILjd84SQ/JqT0YElyMRwcvO kPrnGVXd9L9c3f8amVPK6a3BmHm7SCZuTcgfO9N3tiEjirNGIubrcyIGZ7WeVUZRGrUJY+jMJwz0 L8XFj2JsWAJyNZoxSQItptiSMK2z8oas49B/iejdGoBoheX+92eF6SNqcbZbfMPvM+jS04oBOK76 xmofg7tbGwySq/hhHBtzYLalCrPNebWgw5MAGSax9fLeqK33qbiAxTVOvmkrO6KT0jpUR39empi1 LWVXTHOvdC+PireT0gdL1MkFnZgWK/W1+t+OhiOOUP9Muqa5PhcZThLOerhn+WHzcufwKLtVPvbM Ba2JJSUYhK2M2XcimPd2PE/rFyC/DZE10CIVFrlmAqCtFLu/slV9Q0AEU8huTpA2nl0OukyQoVaw NvknUHtieKYpQzpPmsb9y3t8aH2667aBcAdSjOEhlOV9PduYzFpHNvJDFthC+Blxmjr0x8apFZbo bYtEylkIYptj++xtiJH+wRvyE/w9nsHNBvFkk7+Q4oQ9Oj8GkMlD8miKCb2nF7HzBhcYEMGv+j4W 2M7dAxndHjqGtQii3U0B7Jmvq4VshtMy+iO2c31MXwEk09CmLNGNR4texRmMCYsx6l+zjAm1QKUk 6hdwzt35PrMXQBPUOCdt7J7du5uNewxBsWlxy13tC90atHtMdMdqt3JIerNbMnTPyZyhlQbHfZ/z vpWUoTJmn8zGlpjP/HqK/KDoG1Swke1f5b9+eZ+Q/5zCiwwgCQi/Ab1qTBjQdDdSDasiWdC5zI4A uj8MYDTRVUmISipvxHO190BvcvYY+HfirIIUfSZ0hS4hBlTawWO5GPnoIwztTUjXidRZLhXmBgJp vyDzZwlXeDbde3ygZALtnXX6N7NBf6BWWdQ/jhDQqEerWbzkUgxQdLCzccJ7E0Fi9FbSZdR23jXV nZJLpbLEbcvZEO7XoaBVmnz0vY7Vbwv4UpBPnWQToarGEHMemQtePCPnxD0c/iJD+yAZC1OUoxdi QrSrXfVjS68vTGop7nTQ9vb5UeL36GWar8DH/SAPiK8Mw3Um0QKqNDuQ20TlXRA80xTZCEZFI6K1 Ll7mVKCE1ox9POXno9cUQ3UxWsqt9CkL4JkvXnL424iPEQ5v+M6XKL8SZDreafO/UGT9gD29oCWf y8PYTaoo9V9RikY6sBHb5tEpAzmkWWr797K0EgFp2LBQMGoobCj6mpMx5FOuSypa7+T/fmo1avdS FeeOS3MQjOEOgNVl56qVIFBRdiIQRCV5poFgaNlAnjh2aF74RLwmMXzvfAqOvGFta3YeNJIfgBfA ThExU83rqXqicT7/H422DzJzJ1og/9M7xPSMeve73oPiOHZkoEcRhaCk439AuOY7pavF9ZJ8piwI UPkHRxtyzKEONig+qLFa7mLBrHJfINsaSFygQVMMxpL5MAJuyAYUTGGhKVb7GvJ9RHWURtV42LjX qp5QfFy+p4cl/jz69PG7Xs7+ztJSIjDjqTBpjuXIHBZllG2u20GasKMuymLqtTVKpx1vqOVrRzLA rnDSVSXjDm0xwdWI46UOMwFz3aC9OEHxoPl6un44lkWt2XBYw9Ye+tly0YLaKHixc0U4XZHiTraa HnLk3OE5eB00/q+hie14wTEDrT0ksEmDZi+Z0kTQIP5dkbjmBvj5iYdfhN/IEMfFUVg+81rtm6ml a4q+MvUPjvR11JcFb5iX6Ckc07vHAf4LVMHMRxvDFNckeX1WCOgE893ipuZDrq06wluDLnMnDIm1 JwElJUR2w1jp81Jlg5qeYjZHWgPxDNeSmuF60eeFHzOPs8Zve8zWre7UeSilPY6bz4fq0KrUC2Lc /d+wHupiZIRIr7auw53cyAxAjQnmA0PNxSLAz3UuAMFb7E1gLVNnoXvO+5Ln3nSdL8m1EBW6+1gI 86MjQPAGLlHPk4TBJkbbCbCZnDEAk7LiJrdbqSE4dQBEjozl+9Rjiud5D8LS3Hn3ISOLbjxTX7RF zhOghuLjBDtwR7AlqlsMfj6q3I0eE3lYcXEA12lJdF+BKqL3CJx+h0iIdTutIp9XXWO0PDiWhT0u CwaAPzC60vnn+/r3KoqFwGAGxbB2sgwhskeKDyh3sdXxTOdoP6B9Ljc4pEFBEjFO3afgquugWxQw 7uAYG67zo+DqYVFOX78aTgr+3t2LO0ptV7v1WvscURi+4+R0eR+C48pZ/GJD+hj+thzj31Tv6ynJ Oev2RyyBG0GweZCZ3LWANiZ+ZR2AGQf8ImAxaFu7mJh3RFsdw97WzXFUWJ6jSs/7dF/tVjtm3EdV iy6LRc5hGeFlExe2llFL0d0ugh2EAS5LzJJXDBebO5wlETdXDywAIVJ2ZUJIlUtqanypIiqNWmbA VTq//dBKdUQFY8P2xS9zAW1DvDlwlvF2ceaMVfolbec7hLpOoato8bTn+o6miDkI44WiLlY+DtX6 Rg4AbVvayCLqF3K5woGGb+TAAxgPDsnTpYtHxy0kERwYGeCpthnZW0oqYaLP5PnqGrEXIGJp3ysr vkSUx79bxPZ3E21wiw1G3mmX+f/3KhZXL0ZXyBq+bdJmxBNE+LNLes9XlQe5Z9Vtj0sk2UmPENe5 pOzQHwNMV1ONhQ+r8M3licVa7ycdGEdFIz0JHkDQnLOg7kodNckmeM34gDfqZkUPqEFDRhVPEP+9 YN7eCq5GmviIivi7r2pQoiqAFc1VMCJsYNzxnSAV5TvjW2WhkXRRJCt5If2vfMi1tfG240ke5hiQ Gb2gtubhfgnwLHmJsLXvKYwjCqSChG12m6JV9RnlRhA7DQ8yb438qsMqLK105PHomVUGrb95XfVK jQ4mdcRNvvrvcuhDxTodsHEDaLBF6PiJ25Dv5cDWFEIuwesOP+hGAKUIrlG0TCofj+S+D93M2i+Q ihgzVzN1DLEbrKxNeEBkQqI11GB5GXhOY+UAM7sv+NdJLL4UBErBYBveFmwucME90FuuAWrdS23i /PCHpqq0KIlY3/kUv9lPmMGPhh9tR7AvVKEpFmxud+ohm4dyG0a7T85muelDly9HoQwid/EMCYc4 sdvEbarUC1IUzG+6NRR3ZnV1fy5gJbp8xw46v27lQZCjAdGm/GfGobpaN9acCut7kz0UkXaZhZzT 1PqomSDbjZNNA2Z/89B+Wdy7gLumWxc4cllUno/SfNJZOoduvEXz+7A5mXgmgSyb32EaA9ggUfdA PvseAU96yG4XFpf6Y97j+BXnwszbS/TkplK8+M1PGGVsnumH/0xDi8gUY2T85oGfMSqkjaewzHn/ YZXYoOdwX6qFZMaA2huT35EGNQwUwkOgpfe05su43jrLznlhUq9oIWUwipfJADUJ555gvG8smHci gopG9nfB2atVa3rpMWdX46wVoiEZh0bb2r1C0bK46CXCe7xfaIbN26smsErrUSD3w0aTJOuk9dRw W+c4MN2HxSuWu/d4Mrqv6V7UqZdv3dYCeqPTPn0rn1OG/fMhGXpWkkhHu8DnJ6N++dbRb60thDUB kX7UEeADj+W2PI4MC/hX7qg139Fi5h0F1r09z3SLSjEHtp60ykJ8D9sWXsY1NTHhvx8eZvDRWVk0 kFHXP4O0OOZvl4ee5f/ymeNEXubbKPq5amDIhzXXuVRH7xBoubZ8gJjVb5wn39sbOOwsD69r7f0R eEUPPyRVPEH9VtGSC4MznJZQgpTHoPA9PAJJtQMxzaSvGGy81q4EAO8vWagVQBk+YcdSO+KwdfGb CHJ+hCJExMlwLs+e66B/Q0Vmv3WG2tWkfzqfU/C0SxgOaQ0OsXoJ1vnteilPLDx85Nzr62x5X0DI +b+NCcKVbhbDI0sg2g4tf2emiTcbxqxDr1Qf/i+giYb3al2Jj5kil1ppjzY9tNXtEFWliDGJ1bNM T6HirUcxwbtCFhqTSQhSXVnbddi2Fu/DsBnlf+uDQrvm3Mj7EQRubWj2RudEGp497pnoughGvH1m 7oUb6LfX0SS0x12euXhAbzkkcfS+caHcSSj38Mb+HUNqlS/+VyunTNHqTVt3zQ7zDZBH7jhuEa8V ZiFGq96daS5LNPntj1YsLszVPUdHkzbq3YdTYySGYJzT+giY195ubT2XireW7t5D4okfSIM6UcFK 0/X4azuvzemVGw+AkHWaULNWKOqYPwGXtNr/wV5DBEhFoWEvHXX1ACuRigPS/CM1Zu1whnj7FESe tWpMN72oAHpXJktbjj8zNuRNjz2kLdFMpQHk0t8Ttu6PjhE/0gaHotIv/SkXE3kRGAnNqhrmsdNf 92I7jy+se7NH6XjejCR49eiwQ0OtYoU5tiqDwrrXKfTBovS0zwVV+IZbryFXmL931VnMccwNGUZX DngyxxXYSDV3x8mxTdSGhzBlEsa4jiyxCaQQE7KMRsWPL4Yu/qVob6LatbHxhZowmJV538SmmqRy 8g/oDuEIA4OrxbpjArjLxR6XdJGG9ji8K3po8F2AfwjiMycCZemIhlUdfl9uOuXx9kxb9YxZi0b8 GJZE0S6M0mricYu9LoQ/G1X0DtWdJjjmO1i0Kd3WjbTLyRYMoFq7roAAbSnPTxxp7vWx2w6eOS+i XKvOrPEJEiFSYHqwidlxvnP4F4ZZ3NDuTsC46FlHV7Wnm1o7LeI5s4XfTuzpZCO3MVMc5cnOZKZm bBb6DnbE+eUWbXqD0d1+twTJPgXURkf4TU0tvwrFmmTwkN8PF3cyzN9O0jSBGfijoRvjZfdFJ+Ni CBynYLYZpiEWu4oSuYjHhiL3oRYMEdQSRFT9pOPeLp2yDbiO6nAptRph3NpxUMNLDWetUDW5wgks w0ECOY2/6nkRmazytMG1Ab8cerz4fzvMYfq26azHwXIntIXIL/d8d+Md/EfUo2vv+0uU79sOmQCC j5WeTMYmiCirZMnZEuV9VpVwjrusuuh7SnGotzX5waGiDC2k9zV6283HuN4MDHGzjc4zI7ZZRnVy etRhwUJF0SO4LPAnUjuJVDA97Cm6qP4rAwOAht5aNwGYW8+srEyDsuzrlR+XdJVx6ffSo5UOJVr4 a0uv5u8VQdzE5pZpxWv2KM85YL4rGgROXNfLcPMCo7v2yfe4e76Ct3xfxMJjGtN4OPduhGlXgyqh XZ+HBW0xjbAoIUf1srtFlZLEu7rgJhV3+uBDjHVlzm/JPBUWPcX5LcACbZPGisRfS5GHjBA0cHTa BU7a9WaxjQ+3aeIp934wsjY6JRWP0mimVTQhZGGK/DIv9YzSh8iGfUwdoNFv3QGMuKbOEGIpbJT7 hSj7HPKLByx8ru09dMDgbSXbeGudHVoZqaTtpeIrq39hDZwlNIlj7QNVm687RqfDfb1yF+1VHw8c B0jnmn49lGUrx0lXcZQf88aVhpinLQ419gLzD+ETsOJ8O0mDuflQ+cyhaOcn69JNAA039ic76H1r RxZTsrv+0wKLag6vynjuDrxes5WX416O76rC1mNNfjFtXCmd2FcC15fFIW2//WwgL56dI2AhpMkd +iwidtdFtNrIeaCZuLKaqsr3v6rkHjJCyrqMsl+7piWvwYil9d3kvKzZgY9MK4tyHHlhZTG4AFyR lVMVSK6aefVWR/WO2OVUTD3D078SU5XiWe4M0NG/QN2hTIDPjicYvrqoFfVf/zTW4hc57Jk83TLx oSSITqLBSnLIV3viCot/rehmwLXd0sSXHWSnvxMdAZ5tVA6GmkFzmzLUCJHl/Ip8l4KhtW9mjFJi EsojqB9PgHUdHpZCdhHWLncA1+f62+5SGD+o+La/c1LEGxnF30IervNyV0FrUFvmacTvy8hDVCic V9jhVMQvPWjC+BGcoHhIDQHSI9ITqzsc97YTH6WB4Jm/b6GQ3xGI7V8Ro1k6efc1hpZEDJyjG6fH RqSbb7T5WGmmVbN3jtbZTGaGinDfXy2RH8UmGgVOavk6GmqUfb4gUH7/PFYPoE7F8N9eE5hBZ6mJ KZEn+O2/fZTZxA/RBNd5VrC7Gy9aZF/QqMtQjmw2Auiy+dtaxSnHQwyxVsslvlIQixMR+zTKbTmv +/i6SsGM2AficHCT4EkYQSoJXyklSMHZ6qdcHY+B8gMeUqPCRRt5kJb3eTq8X9Okn4rK/GL3+L52 B8Jp7T6AciqkIZbfvSGX2YADvXOmlT1QngiOoXxB9U18gS96hDI13HPTkz0NjVegFXE21ekt5/CN JiWkyFYoIelUifMJRJMLAY4oZpFVCR1GhI58djq2GjgNKUCBGtUijujDhgs2SgUJlZKfVlEZmqu7 ubcmGn/W5HKXvIlpJaEDSQqmYrNLMUidwqEEGVufGwlaFErSTFyDvVNpD6lUvmPkD9nnpyYukUEN BwimUcjhNpKA4IhBKGawMvNFpeAzpBq2DCpr7t5NN1SCSqv0QzyhvLnZOAAltJTHruoFqYC5+Nky scgVMOBUzcoRqbOXycFg8vyUF0tevLiZkIFq1vQ/hdSoYYwBOMJ4H2Md2+hVUYhPRM8K2rtBaOcA fJ+kEo3jwRy5+QN0HuwGHaWiEqkdPzIk3tLlYZM6lVejZP0egS0VOUwaS6p17WgT/pYx8Tty12Ge 2JKaRTKFXkXdmWP6jsrC97BlS87HAjugQJEiGcJnF8JcuxunzscP4XRiv1gPvL10pmX1rjorPPnk As/zoRNW6bv5Y9GdUZFwExkeHzKEY1axFGE8Zbxme4oky5n4ciJ1CDOTEieUUW+tu/W2QCh7ysLi cl1rFOQxnU2a3h2xV9Kvoy+ENwCR/+jsyKzzdC9psKduoMWhnPxVT2T7QKz+Ie2yJft3PYfhvFHU jjisZwgJ7GCUbfZvX4WPDpv+wQKJGhHY4y6O/CIZFW+ayZ2ROmTMwJM43tAkT9wr5bxE1usKf4Tz gaBgs4QDKq7NfBQQ9F2hzPNOZe+zo4Kc16FYjVcW8F+sVCmw5z5YLiN7sMKSI/sJFT3X4MuQt6By NmBdoNxMjhnJAS4+ffCdp+BlMPC1gQyV4hDFxIy5P80pIguijvHQS2ZRiWt0K+DLuZ3Eo8CjCFgN AcslcZySg2ZwhP9hD5a6RjBDCNJAzOXMiRoKDlbj+UfqTeFO6jgDGzH71sQT0hBHiPbUe3v7yvey r43PG38FzbRw4W4A+lKxp5gJKudojjqsJ4bq+4PTw/EPr1gkPyjKfbL6BnvXvYi0GXnt33Chl35I z8SBhB6btAiIBd6tHaOdR8HBHwdQQE2b2Kw3kxwKXVTOHzcMjr1aKL/fde7C3bqbpiG59yQIJDny rXJkbZumDQAwHHEfyuAsl9/H98Qky0xjuN4WOEA59fECCdQ1AtVMX8OmEtKsbSvVG1/6WGPsB0hI LQOG6t1+hV+hSIjE0LyvqelXCDAuBZm4P2Gq832AKTpxsnuPAX7eAezsolFJIf1ZkxaO+RwEiici kYnJfoh4o5uLjtdJ4dOdbwcSNdOh5ledvo1rydnRQifgS8dg18bGah9uWehNkjpkCENTdUhWMj9a XSP2nZJ5iLFqyLNNjnq6wPyQsy6eIXFt04XmPWcm9+l7VhhEUTvmX5yHwokMFzyjf4KErstg//3t ejxNCwotBVE9RNDBXtBNji/1XfqrQDhXgcFJZA6ySgA6SGqp3MVTUprVQt4t19yutWr8ZvK9wuPm 7WHASVKWmLconviyQy0BKr8vYn6sOGpj/ulYJ4TT3Cfb/E58WJlDPFU4BjJioL7JZ6QKqfzIJGva bgNKIeiLW6F3uuI6xGKpMcCoIjkTBnRwEpU6GfI7U2aFed2Z8tjZ3IDnDPfjeBwCtMKijw62ybFB EIhYX73F/90UE9atCyaoaOcfzL0PDNEmjcAi7KbxU0Cr071P8/pKswE0AcGyI+Ls9wbLAl7w7NvL D3fg9gLIBbZTGRD55gIWOHZoULZFD5LEVgYJnhnRE+zILf10wa8prKuRzRCbuzNSmm9Vs38lmztd 5QUT3fLnAYjgJLoxw3UtuxskAnVFbUS67Cc+tHyVnlsbyWUWw3QQHvlsT49E5Lb6B8D5QpetJixn +wTs1HQmOZUP2W1js8uRUqPwuHmEgreF8RvYCb8dp1SAH0ryWl35MhFBzcCfLjc2ApSqwlxPapLM nqLfV0bC5b5D6R5g+19xX3DeFs/xik3+H9CpIDu9BsMmCLqLRRGHXAWRZcHeyX/hpfFTe9O3QGUV KzBi9nfhCTrJ1AW7hyGcxTzBosCr17rHcbpVZTB5+jdP9JYCI5D81PDtbYKgFSPDzqp2IV5vB6ds U3wGO1rkJhYn5wHZRXyfbyRpGXOfRzWSGR39GuWexRDEQIBWj/Mtix4GlblCQUwjXfBjVlbBhrrE yWteWravr6YEhPTJ1kDux1NTsEaEZbZPj4+NigrqXFwah9Ah/xjEVUMZm10R00zTpbJ6OG5QThRu sUIt+krru/SDIokLGGJhHbpuaeA3gzdMV2wSa24YSU57kfYoZkcjJtrFkyk3Z32++8M1rXL2vOy8 k+ImH+cAfXDteqklwkqlQ4XfDmxEmKfv5sysafvCodzu97resoNNTxOG6rcN+8s2pUUU5plTEGVR T07o3NCJCddcyKpJZRFrruM1YyG1yTtWoaxnngV8iM7hu+B9LNEj0aDm03gT5sraKZjEfWDoJv6d 7hT3Eq+NisqnSn/C95FlfIMda7wY2kLKrcM5re8n3QaSCfTQ71L64N3i0UkTuMC7I2y+mU/wgr0A 93V89ydO71QHjjZIKvXOPxcP9F1DyUq/FBLb+gOlr17C+RGQlUGg3kEzIr9XJTpkNVRPwNmkfJjJ t6V3S+hsu4aMbITmq/cCa7q0L+hkyUfmW+HtwOG1pDR+uaIICtcs/Yh9JkeNJsCE0IkTCZPdrYK0 +lcmlLD9iajgelJeR8oKU3xA2dHcphdXcapPhY1dRi6GvcgADcPkkAw7uzGb5iG3HgcPlnx9kyJl 6bQTYIQIPE4BnwljpcRwpAQ9bbnMgSMvYvtoxHV9so5vgdmelIR5YREhWWM= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a72d+j/QkZDkv8eDO5pA9BEngzdbQGQHhuxY2nDNAEhnIoRf0SgDQdLQUGAjqTT/LgQ/F0Cs+16w c1P7TVYYIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l/1IXczTwKQBVElHEsh+Zvo7KblkXaJxo8UlygCcRw3ZY3tWBi5BiOQVA9yqXhof3R4G5ULkurKn 46GuEoIAY3OUXtbKoFE/fg9ET9kDVkPf1jISgRyi7rAeyld9i/Cbc18E0R0zSIcXYm0KkBSXRMxF 9wuwdCtkYN244/gGxpo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l2DcCPLVR8IEoJU3RMy+SjLkstHNjHjhsTPyvJyG55CosrmHYPJF1hv5Q2QL/s+a8qVVv/FshWre ZhgfOLKwFsPfAotMElv4nD87vdWuiTfoT02uJ3qo+dMgqdK1NTGcrQz44sHgvLPLWzKe7hlF6k6o Rvf27QkEmCc2RIz/44tOQ/UppDCnrsrSVyntb+a+7BW1A9KnQCNSmFmxGUvHpVhP12cJTZtxVJbJ Gqk5MrAj6sMn7uGnVNgQNANDDB+DZgaG/rX6HKpInZYt8BzBk6ItkozY9t3z7oLm8gSHD9yIsJBO 9AMq0Li5R/GGkBoyk8LpfwkWqntHfUWm0bnNZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DjQz1cDtlzfTydRyrY+CZqTPGwZEHHaCGldw1iTUBh5QfXaAiR1uKl2S9PniOeC/cvZgRRDpmcaN zNVXhjOeHU3dnRVJvgmKq3SSiJA7PuJ5iq48dVkI+PqLpzJqKub/4QXlIW2D3K0jO9cEZznt4pz4 zGK6KgjZ7aPbC7aR/LU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cCj1sFiXTorUE6cijFjReKgoLQpZZhe3m8TlSV9f5LlFUKu+l0WXSJg/leWTQZO2OtLniO0g6v1X Q3rtBRwm8GhMcNcwV8oh3DFFtIhPAWIx8pc1IWS1flBcINGtXYVzaV9N47/Rfvj7bD/mJLL+3Y8M w37oj3YyxkZJ4Qw01CkXNmjP9Jdaf9l8rl9mPrRqfpwkMT2Wu3jRZhr19CZISAVqAU1dUMwyXjha uuLdqvOh9S6lQlkmMyjmEIKbqZUMj9jqGdqIEgihmrcRH4TEim8XRv6avaaNKbCDz4oCy/pt1FkK 6Op5z2R8h8lf36/aCuM+cJu5Sxegm18sIBuy1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block VvIw3u4z644M9oCnXrN11AFyy7KcxZsZdyLbnBx3LqVMsNcmmsh1iowZ5ub3Cw5awQgWRQ7wnJ1Z BFJWLXjtihrCL6nd2ENzmEtmUjzQroqjkhWIhGczCePlbDZGh+xle1VT1ImwLIHiJX2U7Vg1xQOz rxEV+8WYJtP7X80ztNSsy8Z+wRQnNeNHz2UBN08bcEd8nr0bVgWmJNpOGVz6/MihqxlHNXdZuS35 iiiCwUClBpNi/pVXiEyw00gmNhN/pys+TsAZJ0hVVwH1tdgqXQPQ2q0mPdIjOhQ3CGb4FtveZq0C d7qo04frJZMQ8OoaCLzu6EyWNQUjqAJUw8nPEMG39SHNyK7PRLdEEXg+AoLmHY9WaVGf/FgZppDK cpwuLLRukVN7yyJkZ1Fgh+ixcPoaiKjebdS9mlZvXKyquMxa9EbvJllsgghk0KzVjfLD37ERzOJf PMMFKyP1rRONhUl3Mf4K1AkEnlHOCnvyFAtC4QyQ05E9vVbM8lssPnZAr/Q565IavqgcrY2PMiWO 0ZKwMa0SYxeYyy7uKRpQDb1KOVFlMws2F15F6CTAloUWRCkKXDOoJO0SBh/+3j2wbUXuo5CVptD3 3CE07EcuAUYcMPIiPFY9roF4w7fDPbxtTbJBqvxREEudd64w4sUm3sES1SkRmrIi7CPLz+uFjDD4 NeFssnvqQFHxHcmYaNjzy8iWzpZD2iFoNZ49VKTFeSyDAJSRfUGfsFDNYwYezryThNx0z76hZ9iE brpEMtwuYy4fVBgcJ6+aH0tdeOFAH5VirQ7QcLoz6QyCR54lLpuJeOBVuh47gZkuuxA4+73AlNId fnOh0idbguclxHVzLsAMzCFhfnT11FlcIIyDrE9mWXj8O9YPM0RwpM5MIBlUv+GVV4ysOysyy1qF ItOTnYFJLc/EzAyY+EkGY9XNRvFAGf7oZexCjVwPbYhbs4fLgSP/nxY7W9oIaX+qrjKD8JCbL8cD D64ky7gssk1VxWSQjCrRxhcejYw6annUtLojDB0wcXseo7/XxOTBCOkFDxGl2U/EUYq2Yz3d1J5f n417zhS7PfyHauWQoInrcMP4KRQpw0/L7Z2VA6dW/hP+Udnfr/z9gADglJtO9UfNF5cswhUJWT6M Ye5ZhDFUxjGY50hciJqGLQnRGsOrAEYiqlfg2A5/G7hPhVht5oRFh57vNv0hkskWrbVqX9u5M2+l EvhwbLktgYFLRaqoevI96gklToiO7MK7ML/O0xKR0x0WcNWXbxxiYiVWVJKDpDqBNbswQaqceRnw +puj7OKCkNGrRFXNoIIsk/DZEXCmuqR/WWcFTU5pqsQdYFdgcCEXoWSVMdXFQlX/wTq6MR/08eqr gByMfU6PjsTm68/P7E35DKerC/blX12tRARkYueL2WOHRG13rLwXJczEJXq6IAfgmyDCCK2X+LNX nrvVHfn9YXP8k/VbQUddXxUQLVDteu4/zhd+CMk6MDP25N4kKP+Nog9UMYUw325D0jQcGDKlwJzv g022IhaCQP0s4ApZb/heP+xgPFS8kxCpIVxbuKd8o3EaotsZAl/u9ZLQ2L34wCpj7OPuN6RiqXMT 8N4+ZvMdoSvjNsB2doffrv3wdFqrPaIXVPJQmDxTeLq4yHYVSbw/L3HE+8KmBdg/1/vazPyEnJQ7 /f+jKFWf+KjSg58K/3hzm3dzCoy07ImxveO8jEUGdgi4Q6nM/i0tqwnjeGU+JofpGMn7OPlLIXty NJON3yzqTXwgiwvC+n71brGm+qBTAfRhaSq/+kOJDTPx9QHPL5YCztXaWrkyvzdzAsf7K0sTIT9N Tvs3IEXhIX6T/848IqCj+MDQpL/UVNVt3lwOq9JxvaEyx7pOU9QOdIlXaSa/g3SdBB/OE9D0eV/L Z2Szowo0HksKj+mCRpOykmfT9FkiPWaGqUx6akGQC2PagVlFDTiQhInp8OSWr7bNKPdKIfkXF+Nd w3FyYWhvo9uNJle/FAr9aP4maR7ZFYyCw17BpRjR1O23C+IAcmbrpBgxn6+73a9nnnvN/TVYCVtM 4DBBnzpVLdp+GkEY9l6HSxBBxFoSVeGm5vwKG0o1RthKPoRYsWRqH0dany7GCT9XfLp+N9ZSwVLL DbQuoR471RYcxMl7bglAhI2NUEOdl9kRfPYNffO/b+ga3bSGZ5eYzOJiIUKmYIma+s6bLKXvuqEk ol/6uCGXDx//Up3DGB+cSbLWti2WR3ux2z6pt1JaAzvU/PJyN3+JsIBFqZWA0eUIckJeYbvruUiF to4ScJOuwhHpNc84GtiUB/S1YShXMYaakQDJ1AduMQaWsg3ayh5LkRoeoSg0cJ4QLCef0l+ghfCo /22Gr2/oJwb/wu9YTAMa1SppOK2z2L6xJAd8aiJqsT/XEtXYHZ245FPifP5zM83uYCeAsNyZpeIQ wLE727z1YCIl6RtnIttA5hXTqNTcVWUVf4nc9k3mfBqu2SaqfdNUaCMZzB8ewkSNhLvIxy52UPtb oT9/8J9yNwkl+Q8wOBivRj7WJQIe+qVq3XVeoda8ja4BYpzLmGjz4Uj+Gx7Y84+Yr5nHLaLC0I4M fzhKgMOJJptowhnXroSskYF+LuYHefJdJt2O3XjObVo/FUOwMPKrwHuaOr8ge8f57lcgxue+oc11 Z44qy+5s6NNJsKzo9xp2Z8CisYzvWPmj9eVqmADF6mR7YAkgS3poHJjXf51NRwtKk8fGbHrpZDA2 nJZP7eldtKw3Sq4d1hKlm9HmEdf0nUgq/sYgUYSsqFoy8EsiLZi/gylnsFRGgKAPyYD+jUASNUAb go95eu7YOq+PYVV4fpenUsh9VG4wnPrR6QbEco5O03Bmkk7nh7hyJbydRtcEUCRUcrpafh7+JWOW bx/jAVIqgx8lePe7aPhWTivdXv3aR2OiUQIzi2mYlwHtufp1Q5yqtozMcmMuA5UtpdXy11NqGtLh fw6ojztUOszKeb3km6lv7DO/UlQ658dL+25fsB8f0SVH93XqawREwAwQ+Y9Q8547SAVRMRmRIq9G lSejmC85f/CQhUpyMiPvHt0alea1RzEic1Z4UeS3HCDTp0yIX3yxnGwz8Fsv8cHeV11bavAo55lx MeXH/tKGHEDL9KLEvPV1+zLLXY0Xd9NqHdnW95e4+gnmYWPWqHbIDtAgq6a1luU8bKCFGj9UYZ1z BMyXBGz5jHW19rRIPSr92tjNbc+RHGJAu/ZO2TdDX7Blb8OvAt4nbXGD9qojkZkUjV5vF6+RSBQG qWk7RgIGsgfnT4HYWYtHkxhpM/ZHgiPrfzg85ZQwTUqEEo24pxcHEhUBEXiQphfgilE56FKaDk0y X41mZraWVnfpSYYJLwfScx+mDSC4zKxA9Q3sp/pXVplnN81R3+5rp7H4GgofIu+0DbIdeAFhC7In xXOHlok2y9IVD6QnxZhVnX9EfV/AhB+4QQvwwSdlZR5BtitKeDilmRmX56ESHDOcJUCkVe5srkqw J+gPKG0Q+24zbyPjzKrJWOWYPV3Woyue4bWlHZmbrrwueleTzIjUmILjd84SQ/JqT0YElyMRwcvO kPrnGVXd9L9c3f8amVPK6a3BmHm7SCZuTcgfO9N3tiEjirNGIubrcyIGZ7WeVUZRGrUJY+jMJwz0 L8XFj2JsWAJyNZoxSQItptiSMK2z8oas49B/iejdGoBoheX+92eF6SNqcbZbfMPvM+jS04oBOK76 xmofg7tbGwySq/hhHBtzYLalCrPNebWgw5MAGSax9fLeqK33qbiAxTVOvmkrO6KT0jpUR39empi1 LWVXTHOvdC+PireT0gdL1MkFnZgWK/W1+t+OhiOOUP9Muqa5PhcZThLOerhn+WHzcufwKLtVPvbM Ba2JJSUYhK2M2XcimPd2PE/rFyC/DZE10CIVFrlmAqCtFLu/slV9Q0AEU8huTpA2nl0OukyQoVaw NvknUHtieKYpQzpPmsb9y3t8aH2667aBcAdSjOEhlOV9PduYzFpHNvJDFthC+Blxmjr0x8apFZbo bYtEylkIYptj++xtiJH+wRvyE/w9nsHNBvFkk7+Q4oQ9Oj8GkMlD8miKCb2nF7HzBhcYEMGv+j4W 2M7dAxndHjqGtQii3U0B7Jmvq4VshtMy+iO2c31MXwEk09CmLNGNR4texRmMCYsx6l+zjAm1QKUk 6hdwzt35PrMXQBPUOCdt7J7du5uNewxBsWlxy13tC90atHtMdMdqt3JIerNbMnTPyZyhlQbHfZ/z vpWUoTJmn8zGlpjP/HqK/KDoG1Swke1f5b9+eZ+Q/5zCiwwgCQi/Ab1qTBjQdDdSDasiWdC5zI4A uj8MYDTRVUmISipvxHO190BvcvYY+HfirIIUfSZ0hS4hBlTawWO5GPnoIwztTUjXidRZLhXmBgJp vyDzZwlXeDbde3ygZALtnXX6N7NBf6BWWdQ/jhDQqEerWbzkUgxQdLCzccJ7E0Fi9FbSZdR23jXV nZJLpbLEbcvZEO7XoaBVmnz0vY7Vbwv4UpBPnWQToarGEHMemQtePCPnxD0c/iJD+yAZC1OUoxdi QrSrXfVjS68vTGop7nTQ9vb5UeL36GWar8DH/SAPiK8Mw3Um0QKqNDuQ20TlXRA80xTZCEZFI6K1 Ll7mVKCE1ox9POXno9cUQ3UxWsqt9CkL4JkvXnL424iPEQ5v+M6XKL8SZDreafO/UGT9gD29oCWf y8PYTaoo9V9RikY6sBHb5tEpAzmkWWr797K0EgFp2LBQMGoobCj6mpMx5FOuSypa7+T/fmo1avdS FeeOS3MQjOEOgNVl56qVIFBRdiIQRCV5poFgaNlAnjh2aF74RLwmMXzvfAqOvGFta3YeNJIfgBfA ThExU83rqXqicT7/H422DzJzJ1og/9M7xPSMeve73oPiOHZkoEcRhaCk439AuOY7pavF9ZJ8piwI UPkHRxtyzKEONig+qLFa7mLBrHJfINsaSFygQVMMxpL5MAJuyAYUTGGhKVb7GvJ9RHWURtV42LjX qp5QfFy+p4cl/jz69PG7Xs7+ztJSIjDjqTBpjuXIHBZllG2u20GasKMuymLqtTVKpx1vqOVrRzLA rnDSVSXjDm0xwdWI46UOMwFz3aC9OEHxoPl6un44lkWt2XBYw9Ye+tly0YLaKHixc0U4XZHiTraa HnLk3OE5eB00/q+hie14wTEDrT0ksEmDZi+Z0kTQIP5dkbjmBvj5iYdfhN/IEMfFUVg+81rtm6ml a4q+MvUPjvR11JcFb5iX6Ckc07vHAf4LVMHMRxvDFNckeX1WCOgE893ipuZDrq06wluDLnMnDIm1 JwElJUR2w1jp81Jlg5qeYjZHWgPxDNeSmuF60eeFHzOPs8Zve8zWre7UeSilPY6bz4fq0KrUC2Lc /d+wHupiZIRIr7auw53cyAxAjQnmA0PNxSLAz3UuAMFb7E1gLVNnoXvO+5Ln3nSdL8m1EBW6+1gI 86MjQPAGLlHPk4TBJkbbCbCZnDEAk7LiJrdbqSE4dQBEjozl+9Rjiud5D8LS3Hn3ISOLbjxTX7RF zhOghuLjBDtwR7AlqlsMfj6q3I0eE3lYcXEA12lJdF+BKqL3CJx+h0iIdTutIp9XXWO0PDiWhT0u CwaAPzC60vnn+/r3KoqFwGAGxbB2sgwhskeKDyh3sdXxTOdoP6B9Ljc4pEFBEjFO3afgquugWxQw 7uAYG67zo+DqYVFOX78aTgr+3t2LO0ptV7v1WvscURi+4+R0eR+C48pZ/GJD+hj+thzj31Tv6ynJ Oev2RyyBG0GweZCZ3LWANiZ+ZR2AGQf8ImAxaFu7mJh3RFsdw97WzXFUWJ6jSs/7dF/tVjtm3EdV iy6LRc5hGeFlExe2llFL0d0ugh2EAS5LzJJXDBebO5wlETdXDywAIVJ2ZUJIlUtqanypIiqNWmbA VTq//dBKdUQFY8P2xS9zAW1DvDlwlvF2ceaMVfolbec7hLpOoato8bTn+o6miDkI44WiLlY+DtX6 Rg4AbVvayCLqF3K5woGGb+TAAxgPDsnTpYtHxy0kERwYGeCpthnZW0oqYaLP5PnqGrEXIGJp3ysr vkSUx79bxPZ3E21wiw1G3mmX+f/3KhZXL0ZXyBq+bdJmxBNE+LNLes9XlQe5Z9Vtj0sk2UmPENe5 pOzQHwNMV1ONhQ+r8M3licVa7ycdGEdFIz0JHkDQnLOg7kodNckmeM34gDfqZkUPqEFDRhVPEP+9 YN7eCq5GmviIivi7r2pQoiqAFc1VMCJsYNzxnSAV5TvjW2WhkXRRJCt5If2vfMi1tfG240ke5hiQ Gb2gtubhfgnwLHmJsLXvKYwjCqSChG12m6JV9RnlRhA7DQ8yb438qsMqLK105PHomVUGrb95XfVK jQ4mdcRNvvrvcuhDxTodsHEDaLBF6PiJ25Dv5cDWFEIuwesOP+hGAKUIrlG0TCofj+S+D93M2i+Q ihgzVzN1DLEbrKxNeEBkQqI11GB5GXhOY+UAM7sv+NdJLL4UBErBYBveFmwucME90FuuAWrdS23i /PCHpqq0KIlY3/kUv9lPmMGPhh9tR7AvVKEpFmxud+ohm4dyG0a7T85muelDly9HoQwid/EMCYc4 sdvEbarUC1IUzG+6NRR3ZnV1fy5gJbp8xw46v27lQZCjAdGm/GfGobpaN9acCut7kz0UkXaZhZzT 1PqomSDbjZNNA2Z/89B+Wdy7gLumWxc4cllUno/SfNJZOoduvEXz+7A5mXgmgSyb32EaA9ggUfdA PvseAU96yG4XFpf6Y97j+BXnwszbS/TkplK8+M1PGGVsnumH/0xDi8gUY2T85oGfMSqkjaewzHn/ YZXYoOdwX6qFZMaA2huT35EGNQwUwkOgpfe05su43jrLznlhUq9oIWUwipfJADUJ555gvG8smHci gopG9nfB2atVa3rpMWdX46wVoiEZh0bb2r1C0bK46CXCe7xfaIbN26smsErrUSD3w0aTJOuk9dRw W+c4MN2HxSuWu/d4Mrqv6V7UqZdv3dYCeqPTPn0rn1OG/fMhGXpWkkhHu8DnJ6N++dbRb60thDUB kX7UEeADj+W2PI4MC/hX7qg139Fi5h0F1r09z3SLSjEHtp60ykJ8D9sWXsY1NTHhvx8eZvDRWVk0 kFHXP4O0OOZvl4ee5f/ymeNEXubbKPq5amDIhzXXuVRH7xBoubZ8gJjVb5wn39sbOOwsD69r7f0R eEUPPyRVPEH9VtGSC4MznJZQgpTHoPA9PAJJtQMxzaSvGGy81q4EAO8vWagVQBk+YcdSO+KwdfGb CHJ+hCJExMlwLs+e66B/Q0Vmv3WG2tWkfzqfU/C0SxgOaQ0OsXoJ1vnteilPLDx85Nzr62x5X0DI +b+NCcKVbhbDI0sg2g4tf2emiTcbxqxDr1Qf/i+giYb3al2Jj5kil1ppjzY9tNXtEFWliDGJ1bNM T6HirUcxwbtCFhqTSQhSXVnbddi2Fu/DsBnlf+uDQrvm3Mj7EQRubWj2RudEGp497pnoughGvH1m 7oUb6LfX0SS0x12euXhAbzkkcfS+caHcSSj38Mb+HUNqlS/+VyunTNHqTVt3zQ7zDZBH7jhuEa8V ZiFGq96daS5LNPntj1YsLszVPUdHkzbq3YdTYySGYJzT+giY195ubT2XireW7t5D4okfSIM6UcFK 0/X4azuvzemVGw+AkHWaULNWKOqYPwGXtNr/wV5DBEhFoWEvHXX1ACuRigPS/CM1Zu1whnj7FESe tWpMN72oAHpXJktbjj8zNuRNjz2kLdFMpQHk0t8Ttu6PjhE/0gaHotIv/SkXE3kRGAnNqhrmsdNf 92I7jy+se7NH6XjejCR49eiwQ0OtYoU5tiqDwrrXKfTBovS0zwVV+IZbryFXmL931VnMccwNGUZX DngyxxXYSDV3x8mxTdSGhzBlEsa4jiyxCaQQE7KMRsWPL4Yu/qVob6LatbHxhZowmJV538SmmqRy 8g/oDuEIA4OrxbpjArjLxR6XdJGG9ji8K3po8F2AfwjiMycCZemIhlUdfl9uOuXx9kxb9YxZi0b8 GJZE0S6M0mricYu9LoQ/G1X0DtWdJjjmO1i0Kd3WjbTLyRYMoFq7roAAbSnPTxxp7vWx2w6eOS+i XKvOrPEJEiFSYHqwidlxvnP4F4ZZ3NDuTsC46FlHV7Wnm1o7LeI5s4XfTuzpZCO3MVMc5cnOZKZm bBb6DnbE+eUWbXqD0d1+twTJPgXURkf4TU0tvwrFmmTwkN8PF3cyzN9O0jSBGfijoRvjZfdFJ+Ni CBynYLYZpiEWu4oSuYjHhiL3oRYMEdQSRFT9pOPeLp2yDbiO6nAptRph3NpxUMNLDWetUDW5wgks w0ECOY2/6nkRmazytMG1Ab8cerz4fzvMYfq26azHwXIntIXIL/d8d+Md/EfUo2vv+0uU79sOmQCC j5WeTMYmiCirZMnZEuV9VpVwjrusuuh7SnGotzX5waGiDC2k9zV6283HuN4MDHGzjc4zI7ZZRnVy etRhwUJF0SO4LPAnUjuJVDA97Cm6qP4rAwOAht5aNwGYW8+srEyDsuzrlR+XdJVx6ffSo5UOJVr4 a0uv5u8VQdzE5pZpxWv2KM85YL4rGgROXNfLcPMCo7v2yfe4e76Ct3xfxMJjGtN4OPduhGlXgyqh XZ+HBW0xjbAoIUf1srtFlZLEu7rgJhV3+uBDjHVlzm/JPBUWPcX5LcACbZPGisRfS5GHjBA0cHTa BU7a9WaxjQ+3aeIp934wsjY6JRWP0mimVTQhZGGK/DIv9YzSh8iGfUwdoNFv3QGMuKbOEGIpbJT7 hSj7HPKLByx8ru09dMDgbSXbeGudHVoZqaTtpeIrq39hDZwlNIlj7QNVm687RqfDfb1yF+1VHw8c B0jnmn49lGUrx0lXcZQf88aVhpinLQ419gLzD+ETsOJ8O0mDuflQ+cyhaOcn69JNAA039ic76H1r RxZTsrv+0wKLag6vynjuDrxes5WX416O76rC1mNNfjFtXCmd2FcC15fFIW2//WwgL56dI2AhpMkd +iwidtdFtNrIeaCZuLKaqsr3v6rkHjJCyrqMsl+7piWvwYil9d3kvKzZgY9MK4tyHHlhZTG4AFyR lVMVSK6aefVWR/WO2OVUTD3D078SU5XiWe4M0NG/QN2hTIDPjicYvrqoFfVf/zTW4hc57Jk83TLx oSSITqLBSnLIV3viCot/rehmwLXd0sSXHWSnvxMdAZ5tVA6GmkFzmzLUCJHl/Ip8l4KhtW9mjFJi EsojqB9PgHUdHpZCdhHWLncA1+f62+5SGD+o+La/c1LEGxnF30IervNyV0FrUFvmacTvy8hDVCic V9jhVMQvPWjC+BGcoHhIDQHSI9ITqzsc97YTH6WB4Jm/b6GQ3xGI7V8Ro1k6efc1hpZEDJyjG6fH RqSbb7T5WGmmVbN3jtbZTGaGinDfXy2RH8UmGgVOavk6GmqUfb4gUH7/PFYPoE7F8N9eE5hBZ6mJ KZEn+O2/fZTZxA/RBNd5VrC7Gy9aZF/QqMtQjmw2Auiy+dtaxSnHQwyxVsslvlIQixMR+zTKbTmv +/i6SsGM2AficHCT4EkYQSoJXyklSMHZ6qdcHY+B8gMeUqPCRRt5kJb3eTq8X9Okn4rK/GL3+L52 B8Jp7T6AciqkIZbfvSGX2YADvXOmlT1QngiOoXxB9U18gS96hDI13HPTkz0NjVegFXE21ekt5/CN JiWkyFYoIelUifMJRJMLAY4oZpFVCR1GhI58djq2GjgNKUCBGtUijujDhgs2SgUJlZKfVlEZmqu7 ubcmGn/W5HKXvIlpJaEDSQqmYrNLMUidwqEEGVufGwlaFErSTFyDvVNpD6lUvmPkD9nnpyYukUEN BwimUcjhNpKA4IhBKGawMvNFpeAzpBq2DCpr7t5NN1SCSqv0QzyhvLnZOAAltJTHruoFqYC5+Nky scgVMOBUzcoRqbOXycFg8vyUF0tevLiZkIFq1vQ/hdSoYYwBOMJ4H2Md2+hVUYhPRM8K2rtBaOcA fJ+kEo3jwRy5+QN0HuwGHaWiEqkdPzIk3tLlYZM6lVejZP0egS0VOUwaS6p17WgT/pYx8Tty12Ge 2JKaRTKFXkXdmWP6jsrC97BlS87HAjugQJEiGcJnF8JcuxunzscP4XRiv1gPvL10pmX1rjorPPnk As/zoRNW6bv5Y9GdUZFwExkeHzKEY1axFGE8Zbxme4oky5n4ciJ1CDOTEieUUW+tu/W2QCh7ysLi cl1rFOQxnU2a3h2xV9Kvoy+ENwCR/+jsyKzzdC9psKduoMWhnPxVT2T7QKz+Ie2yJft3PYfhvFHU jjisZwgJ7GCUbfZvX4WPDpv+wQKJGhHY4y6O/CIZFW+ayZ2ROmTMwJM43tAkT9wr5bxE1usKf4Tz gaBgs4QDKq7NfBQQ9F2hzPNOZe+zo4Kc16FYjVcW8F+sVCmw5z5YLiN7sMKSI/sJFT3X4MuQt6By NmBdoNxMjhnJAS4+ffCdp+BlMPC1gQyV4hDFxIy5P80pIguijvHQS2ZRiWt0K+DLuZ3Eo8CjCFgN AcslcZySg2ZwhP9hD5a6RjBDCNJAzOXMiRoKDlbj+UfqTeFO6jgDGzH71sQT0hBHiPbUe3v7yvey r43PG38FzbRw4W4A+lKxp5gJKudojjqsJ4bq+4PTw/EPr1gkPyjKfbL6BnvXvYi0GXnt33Chl35I z8SBhB6btAiIBd6tHaOdR8HBHwdQQE2b2Kw3kxwKXVTOHzcMjr1aKL/fde7C3bqbpiG59yQIJDny rXJkbZumDQAwHHEfyuAsl9/H98Qky0xjuN4WOEA59fECCdQ1AtVMX8OmEtKsbSvVG1/6WGPsB0hI LQOG6t1+hV+hSIjE0LyvqelXCDAuBZm4P2Gq832AKTpxsnuPAX7eAezsolFJIf1ZkxaO+RwEiici kYnJfoh4o5uLjtdJ4dOdbwcSNdOh5ledvo1rydnRQifgS8dg18bGah9uWehNkjpkCENTdUhWMj9a XSP2nZJ5iLFqyLNNjnq6wPyQsy6eIXFt04XmPWcm9+l7VhhEUTvmX5yHwokMFzyjf4KErstg//3t ejxNCwotBVE9RNDBXtBNji/1XfqrQDhXgcFJZA6ySgA6SGqp3MVTUprVQt4t19yutWr8ZvK9wuPm 7WHASVKWmLconviyQy0BKr8vYn6sOGpj/ulYJ4TT3Cfb/E58WJlDPFU4BjJioL7JZ6QKqfzIJGva bgNKIeiLW6F3uuI6xGKpMcCoIjkTBnRwEpU6GfI7U2aFed2Z8tjZ3IDnDPfjeBwCtMKijw62ybFB EIhYX73F/90UE9atCyaoaOcfzL0PDNEmjcAi7KbxU0Cr071P8/pKswE0AcGyI+Ls9wbLAl7w7NvL D3fg9gLIBbZTGRD55gIWOHZoULZFD5LEVgYJnhnRE+zILf10wa8prKuRzRCbuzNSmm9Vs38lmztd 5QUT3fLnAYjgJLoxw3UtuxskAnVFbUS67Cc+tHyVnlsbyWUWw3QQHvlsT49E5Lb6B8D5QpetJixn +wTs1HQmOZUP2W1js8uRUqPwuHmEgreF8RvYCb8dp1SAH0ryWl35MhFBzcCfLjc2ApSqwlxPapLM nqLfV0bC5b5D6R5g+19xX3DeFs/xik3+H9CpIDu9BsMmCLqLRRGHXAWRZcHeyX/hpfFTe9O3QGUV KzBi9nfhCTrJ1AW7hyGcxTzBosCr17rHcbpVZTB5+jdP9JYCI5D81PDtbYKgFSPDzqp2IV5vB6ds U3wGO1rkJhYn5wHZRXyfbyRpGXOfRzWSGR39GuWexRDEQIBWj/Mtix4GlblCQUwjXfBjVlbBhrrE yWteWravr6YEhPTJ1kDux1NTsEaEZbZPj4+NigrqXFwah9Ah/xjEVUMZm10R00zTpbJ6OG5QThRu sUIt+krru/SDIokLGGJhHbpuaeA3gzdMV2wSa24YSU57kfYoZkcjJtrFkyk3Z32++8M1rXL2vOy8 k+ImH+cAfXDteqklwkqlQ4XfDmxEmKfv5sysafvCodzu97resoNNTxOG6rcN+8s2pUUU5plTEGVR T07o3NCJCddcyKpJZRFrruM1YyG1yTtWoaxnngV8iM7hu+B9LNEj0aDm03gT5sraKZjEfWDoJv6d 7hT3Eq+NisqnSn/C95FlfIMda7wY2kLKrcM5re8n3QaSCfTQ71L64N3i0UkTuMC7I2y+mU/wgr0A 93V89ydO71QHjjZIKvXOPxcP9F1DyUq/FBLb+gOlr17C+RGQlUGg3kEzIr9XJTpkNVRPwNmkfJjJ t6V3S+hsu4aMbITmq/cCa7q0L+hkyUfmW+HtwOG1pDR+uaIICtcs/Yh9JkeNJsCE0IkTCZPdrYK0 +lcmlLD9iajgelJeR8oKU3xA2dHcphdXcapPhY1dRi6GvcgADcPkkAw7uzGb5iG3HgcPlnx9kyJl 6bQTYIQIPE4BnwljpcRwpAQ9bbnMgSMvYvtoxHV9so5vgdmelIR5YREhWWM= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a72d+j/QkZDkv8eDO5pA9BEngzdbQGQHhuxY2nDNAEhnIoRf0SgDQdLQUGAjqTT/LgQ/F0Cs+16w c1P7TVYYIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l/1IXczTwKQBVElHEsh+Zvo7KblkXaJxo8UlygCcRw3ZY3tWBi5BiOQVA9yqXhof3R4G5ULkurKn 46GuEoIAY3OUXtbKoFE/fg9ET9kDVkPf1jISgRyi7rAeyld9i/Cbc18E0R0zSIcXYm0KkBSXRMxF 9wuwdCtkYN244/gGxpo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l2DcCPLVR8IEoJU3RMy+SjLkstHNjHjhsTPyvJyG55CosrmHYPJF1hv5Q2QL/s+a8qVVv/FshWre ZhgfOLKwFsPfAotMElv4nD87vdWuiTfoT02uJ3qo+dMgqdK1NTGcrQz44sHgvLPLWzKe7hlF6k6o Rvf27QkEmCc2RIz/44tOQ/UppDCnrsrSVyntb+a+7BW1A9KnQCNSmFmxGUvHpVhP12cJTZtxVJbJ Gqk5MrAj6sMn7uGnVNgQNANDDB+DZgaG/rX6HKpInZYt8BzBk6ItkozY9t3z7oLm8gSHD9yIsJBO 9AMq0Li5R/GGkBoyk8LpfwkWqntHfUWm0bnNZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DjQz1cDtlzfTydRyrY+CZqTPGwZEHHaCGldw1iTUBh5QfXaAiR1uKl2S9PniOeC/cvZgRRDpmcaN zNVXhjOeHU3dnRVJvgmKq3SSiJA7PuJ5iq48dVkI+PqLpzJqKub/4QXlIW2D3K0jO9cEZznt4pz4 zGK6KgjZ7aPbC7aR/LU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cCj1sFiXTorUE6cijFjReKgoLQpZZhe3m8TlSV9f5LlFUKu+l0WXSJg/leWTQZO2OtLniO0g6v1X Q3rtBRwm8GhMcNcwV8oh3DFFtIhPAWIx8pc1IWS1flBcINGtXYVzaV9N47/Rfvj7bD/mJLL+3Y8M w37oj3YyxkZJ4Qw01CkXNmjP9Jdaf9l8rl9mPrRqfpwkMT2Wu3jRZhr19CZISAVqAU1dUMwyXjha uuLdqvOh9S6lQlkmMyjmEIKbqZUMj9jqGdqIEgihmrcRH4TEim8XRv6avaaNKbCDz4oCy/pt1FkK 6Op5z2R8h8lf36/aCuM+cJu5Sxegm18sIBuy1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block VvIw3u4z644M9oCnXrN11AFyy7KcxZsZdyLbnBx3LqVMsNcmmsh1iowZ5ub3Cw5awQgWRQ7wnJ1Z BFJWLXjtihrCL6nd2ENzmEtmUjzQroqjkhWIhGczCePlbDZGh+xle1VT1ImwLIHiJX2U7Vg1xQOz rxEV+8WYJtP7X80ztNSsy8Z+wRQnNeNHz2UBN08bcEd8nr0bVgWmJNpOGVz6/MihqxlHNXdZuS35 iiiCwUClBpNi/pVXiEyw00gmNhN/pys+TsAZJ0hVVwH1tdgqXQPQ2q0mPdIjOhQ3CGb4FtveZq0C d7qo04frJZMQ8OoaCLzu6EyWNQUjqAJUw8nPEMG39SHNyK7PRLdEEXg+AoLmHY9WaVGf/FgZppDK cpwuLLRukVN7yyJkZ1Fgh+ixcPoaiKjebdS9mlZvXKyquMxa9EbvJllsgghk0KzVjfLD37ERzOJf PMMFKyP1rRONhUl3Mf4K1AkEnlHOCnvyFAtC4QyQ05E9vVbM8lssPnZAr/Q565IavqgcrY2PMiWO 0ZKwMa0SYxeYyy7uKRpQDb1KOVFlMws2F15F6CTAloUWRCkKXDOoJO0SBh/+3j2wbUXuo5CVptD3 3CE07EcuAUYcMPIiPFY9roF4w7fDPbxtTbJBqvxREEudd64w4sUm3sES1SkRmrIi7CPLz+uFjDD4 NeFssnvqQFHxHcmYaNjzy8iWzpZD2iFoNZ49VKTFeSyDAJSRfUGfsFDNYwYezryThNx0z76hZ9iE brpEMtwuYy4fVBgcJ6+aH0tdeOFAH5VirQ7QcLoz6QyCR54lLpuJeOBVuh47gZkuuxA4+73AlNId fnOh0idbguclxHVzLsAMzCFhfnT11FlcIIyDrE9mWXj8O9YPM0RwpM5MIBlUv+GVV4ysOysyy1qF ItOTnYFJLc/EzAyY+EkGY9XNRvFAGf7oZexCjVwPbYhbs4fLgSP/nxY7W9oIaX+qrjKD8JCbL8cD D64ky7gssk1VxWSQjCrRxhcejYw6annUtLojDB0wcXseo7/XxOTBCOkFDxGl2U/EUYq2Yz3d1J5f n417zhS7PfyHauWQoInrcMP4KRQpw0/L7Z2VA6dW/hP+Udnfr/z9gADglJtO9UfNF5cswhUJWT6M Ye5ZhDFUxjGY50hciJqGLQnRGsOrAEYiqlfg2A5/G7hPhVht5oRFh57vNv0hkskWrbVqX9u5M2+l EvhwbLktgYFLRaqoevI96gklToiO7MK7ML/O0xKR0x0WcNWXbxxiYiVWVJKDpDqBNbswQaqceRnw +puj7OKCkNGrRFXNoIIsk/DZEXCmuqR/WWcFTU5pqsQdYFdgcCEXoWSVMdXFQlX/wTq6MR/08eqr gByMfU6PjsTm68/P7E35DKerC/blX12tRARkYueL2WOHRG13rLwXJczEJXq6IAfgmyDCCK2X+LNX nrvVHfn9YXP8k/VbQUddXxUQLVDteu4/zhd+CMk6MDP25N4kKP+Nog9UMYUw325D0jQcGDKlwJzv g022IhaCQP0s4ApZb/heP+xgPFS8kxCpIVxbuKd8o3EaotsZAl/u9ZLQ2L34wCpj7OPuN6RiqXMT 8N4+ZvMdoSvjNsB2doffrv3wdFqrPaIXVPJQmDxTeLq4yHYVSbw/L3HE+8KmBdg/1/vazPyEnJQ7 /f+jKFWf+KjSg58K/3hzm3dzCoy07ImxveO8jEUGdgi4Q6nM/i0tqwnjeGU+JofpGMn7OPlLIXty NJON3yzqTXwgiwvC+n71brGm+qBTAfRhaSq/+kOJDTPx9QHPL5YCztXaWrkyvzdzAsf7K0sTIT9N Tvs3IEXhIX6T/848IqCj+MDQpL/UVNVt3lwOq9JxvaEyx7pOU9QOdIlXaSa/g3SdBB/OE9D0eV/L Z2Szowo0HksKj+mCRpOykmfT9FkiPWaGqUx6akGQC2PagVlFDTiQhInp8OSWr7bNKPdKIfkXF+Nd w3FyYWhvo9uNJle/FAr9aP4maR7ZFYyCw17BpRjR1O23C+IAcmbrpBgxn6+73a9nnnvN/TVYCVtM 4DBBnzpVLdp+GkEY9l6HSxBBxFoSVeGm5vwKG0o1RthKPoRYsWRqH0dany7GCT9XfLp+N9ZSwVLL DbQuoR471RYcxMl7bglAhI2NUEOdl9kRfPYNffO/b+ga3bSGZ5eYzOJiIUKmYIma+s6bLKXvuqEk ol/6uCGXDx//Up3DGB+cSbLWti2WR3ux2z6pt1JaAzvU/PJyN3+JsIBFqZWA0eUIckJeYbvruUiF to4ScJOuwhHpNc84GtiUB/S1YShXMYaakQDJ1AduMQaWsg3ayh5LkRoeoSg0cJ4QLCef0l+ghfCo /22Gr2/oJwb/wu9YTAMa1SppOK2z2L6xJAd8aiJqsT/XEtXYHZ245FPifP5zM83uYCeAsNyZpeIQ wLE727z1YCIl6RtnIttA5hXTqNTcVWUVf4nc9k3mfBqu2SaqfdNUaCMZzB8ewkSNhLvIxy52UPtb oT9/8J9yNwkl+Q8wOBivRj7WJQIe+qVq3XVeoda8ja4BYpzLmGjz4Uj+Gx7Y84+Yr5nHLaLC0I4M fzhKgMOJJptowhnXroSskYF+LuYHefJdJt2O3XjObVo/FUOwMPKrwHuaOr8ge8f57lcgxue+oc11 Z44qy+5s6NNJsKzo9xp2Z8CisYzvWPmj9eVqmADF6mR7YAkgS3poHJjXf51NRwtKk8fGbHrpZDA2 nJZP7eldtKw3Sq4d1hKlm9HmEdf0nUgq/sYgUYSsqFoy8EsiLZi/gylnsFRGgKAPyYD+jUASNUAb go95eu7YOq+PYVV4fpenUsh9VG4wnPrR6QbEco5O03Bmkk7nh7hyJbydRtcEUCRUcrpafh7+JWOW bx/jAVIqgx8lePe7aPhWTivdXv3aR2OiUQIzi2mYlwHtufp1Q5yqtozMcmMuA5UtpdXy11NqGtLh fw6ojztUOszKeb3km6lv7DO/UlQ658dL+25fsB8f0SVH93XqawREwAwQ+Y9Q8547SAVRMRmRIq9G lSejmC85f/CQhUpyMiPvHt0alea1RzEic1Z4UeS3HCDTp0yIX3yxnGwz8Fsv8cHeV11bavAo55lx MeXH/tKGHEDL9KLEvPV1+zLLXY0Xd9NqHdnW95e4+gnmYWPWqHbIDtAgq6a1luU8bKCFGj9UYZ1z BMyXBGz5jHW19rRIPSr92tjNbc+RHGJAu/ZO2TdDX7Blb8OvAt4nbXGD9qojkZkUjV5vF6+RSBQG qWk7RgIGsgfnT4HYWYtHkxhpM/ZHgiPrfzg85ZQwTUqEEo24pxcHEhUBEXiQphfgilE56FKaDk0y X41mZraWVnfpSYYJLwfScx+mDSC4zKxA9Q3sp/pXVplnN81R3+5rp7H4GgofIu+0DbIdeAFhC7In xXOHlok2y9IVD6QnxZhVnX9EfV/AhB+4QQvwwSdlZR5BtitKeDilmRmX56ESHDOcJUCkVe5srkqw J+gPKG0Q+24zbyPjzKrJWOWYPV3Woyue4bWlHZmbrrwueleTzIjUmILjd84SQ/JqT0YElyMRwcvO kPrnGVXd9L9c3f8amVPK6a3BmHm7SCZuTcgfO9N3tiEjirNGIubrcyIGZ7WeVUZRGrUJY+jMJwz0 L8XFj2JsWAJyNZoxSQItptiSMK2z8oas49B/iejdGoBoheX+92eF6SNqcbZbfMPvM+jS04oBOK76 xmofg7tbGwySq/hhHBtzYLalCrPNebWgw5MAGSax9fLeqK33qbiAxTVOvmkrO6KT0jpUR39empi1 LWVXTHOvdC+PireT0gdL1MkFnZgWK/W1+t+OhiOOUP9Muqa5PhcZThLOerhn+WHzcufwKLtVPvbM Ba2JJSUYhK2M2XcimPd2PE/rFyC/DZE10CIVFrlmAqCtFLu/slV9Q0AEU8huTpA2nl0OukyQoVaw NvknUHtieKYpQzpPmsb9y3t8aH2667aBcAdSjOEhlOV9PduYzFpHNvJDFthC+Blxmjr0x8apFZbo bYtEylkIYptj++xtiJH+wRvyE/w9nsHNBvFkk7+Q4oQ9Oj8GkMlD8miKCb2nF7HzBhcYEMGv+j4W 2M7dAxndHjqGtQii3U0B7Jmvq4VshtMy+iO2c31MXwEk09CmLNGNR4texRmMCYsx6l+zjAm1QKUk 6hdwzt35PrMXQBPUOCdt7J7du5uNewxBsWlxy13tC90atHtMdMdqt3JIerNbMnTPyZyhlQbHfZ/z vpWUoTJmn8zGlpjP/HqK/KDoG1Swke1f5b9+eZ+Q/5zCiwwgCQi/Ab1qTBjQdDdSDasiWdC5zI4A uj8MYDTRVUmISipvxHO190BvcvYY+HfirIIUfSZ0hS4hBlTawWO5GPnoIwztTUjXidRZLhXmBgJp vyDzZwlXeDbde3ygZALtnXX6N7NBf6BWWdQ/jhDQqEerWbzkUgxQdLCzccJ7E0Fi9FbSZdR23jXV nZJLpbLEbcvZEO7XoaBVmnz0vY7Vbwv4UpBPnWQToarGEHMemQtePCPnxD0c/iJD+yAZC1OUoxdi QrSrXfVjS68vTGop7nTQ9vb5UeL36GWar8DH/SAPiK8Mw3Um0QKqNDuQ20TlXRA80xTZCEZFI6K1 Ll7mVKCE1ox9POXno9cUQ3UxWsqt9CkL4JkvXnL424iPEQ5v+M6XKL8SZDreafO/UGT9gD29oCWf y8PYTaoo9V9RikY6sBHb5tEpAzmkWWr797K0EgFp2LBQMGoobCj6mpMx5FOuSypa7+T/fmo1avdS FeeOS3MQjOEOgNVl56qVIFBRdiIQRCV5poFgaNlAnjh2aF74RLwmMXzvfAqOvGFta3YeNJIfgBfA ThExU83rqXqicT7/H422DzJzJ1og/9M7xPSMeve73oPiOHZkoEcRhaCk439AuOY7pavF9ZJ8piwI UPkHRxtyzKEONig+qLFa7mLBrHJfINsaSFygQVMMxpL5MAJuyAYUTGGhKVb7GvJ9RHWURtV42LjX qp5QfFy+p4cl/jz69PG7Xs7+ztJSIjDjqTBpjuXIHBZllG2u20GasKMuymLqtTVKpx1vqOVrRzLA rnDSVSXjDm0xwdWI46UOMwFz3aC9OEHxoPl6un44lkWt2XBYw9Ye+tly0YLaKHixc0U4XZHiTraa HnLk3OE5eB00/q+hie14wTEDrT0ksEmDZi+Z0kTQIP5dkbjmBvj5iYdfhN/IEMfFUVg+81rtm6ml a4q+MvUPjvR11JcFb5iX6Ckc07vHAf4LVMHMRxvDFNckeX1WCOgE893ipuZDrq06wluDLnMnDIm1 JwElJUR2w1jp81Jlg5qeYjZHWgPxDNeSmuF60eeFHzOPs8Zve8zWre7UeSilPY6bz4fq0KrUC2Lc /d+wHupiZIRIr7auw53cyAxAjQnmA0PNxSLAz3UuAMFb7E1gLVNnoXvO+5Ln3nSdL8m1EBW6+1gI 86MjQPAGLlHPk4TBJkbbCbCZnDEAk7LiJrdbqSE4dQBEjozl+9Rjiud5D8LS3Hn3ISOLbjxTX7RF zhOghuLjBDtwR7AlqlsMfj6q3I0eE3lYcXEA12lJdF+BKqL3CJx+h0iIdTutIp9XXWO0PDiWhT0u CwaAPzC60vnn+/r3KoqFwGAGxbB2sgwhskeKDyh3sdXxTOdoP6B9Ljc4pEFBEjFO3afgquugWxQw 7uAYG67zo+DqYVFOX78aTgr+3t2LO0ptV7v1WvscURi+4+R0eR+C48pZ/GJD+hj+thzj31Tv6ynJ Oev2RyyBG0GweZCZ3LWANiZ+ZR2AGQf8ImAxaFu7mJh3RFsdw97WzXFUWJ6jSs/7dF/tVjtm3EdV iy6LRc5hGeFlExe2llFL0d0ugh2EAS5LzJJXDBebO5wlETdXDywAIVJ2ZUJIlUtqanypIiqNWmbA VTq//dBKdUQFY8P2xS9zAW1DvDlwlvF2ceaMVfolbec7hLpOoato8bTn+o6miDkI44WiLlY+DtX6 Rg4AbVvayCLqF3K5woGGb+TAAxgPDsnTpYtHxy0kERwYGeCpthnZW0oqYaLP5PnqGrEXIGJp3ysr vkSUx79bxPZ3E21wiw1G3mmX+f/3KhZXL0ZXyBq+bdJmxBNE+LNLes9XlQe5Z9Vtj0sk2UmPENe5 pOzQHwNMV1ONhQ+r8M3licVa7ycdGEdFIz0JHkDQnLOg7kodNckmeM34gDfqZkUPqEFDRhVPEP+9 YN7eCq5GmviIivi7r2pQoiqAFc1VMCJsYNzxnSAV5TvjW2WhkXRRJCt5If2vfMi1tfG240ke5hiQ Gb2gtubhfgnwLHmJsLXvKYwjCqSChG12m6JV9RnlRhA7DQ8yb438qsMqLK105PHomVUGrb95XfVK jQ4mdcRNvvrvcuhDxTodsHEDaLBF6PiJ25Dv5cDWFEIuwesOP+hGAKUIrlG0TCofj+S+D93M2i+Q ihgzVzN1DLEbrKxNeEBkQqI11GB5GXhOY+UAM7sv+NdJLL4UBErBYBveFmwucME90FuuAWrdS23i /PCHpqq0KIlY3/kUv9lPmMGPhh9tR7AvVKEpFmxud+ohm4dyG0a7T85muelDly9HoQwid/EMCYc4 sdvEbarUC1IUzG+6NRR3ZnV1fy5gJbp8xw46v27lQZCjAdGm/GfGobpaN9acCut7kz0UkXaZhZzT 1PqomSDbjZNNA2Z/89B+Wdy7gLumWxc4cllUno/SfNJZOoduvEXz+7A5mXgmgSyb32EaA9ggUfdA PvseAU96yG4XFpf6Y97j+BXnwszbS/TkplK8+M1PGGVsnumH/0xDi8gUY2T85oGfMSqkjaewzHn/ YZXYoOdwX6qFZMaA2huT35EGNQwUwkOgpfe05su43jrLznlhUq9oIWUwipfJADUJ555gvG8smHci gopG9nfB2atVa3rpMWdX46wVoiEZh0bb2r1C0bK46CXCe7xfaIbN26smsErrUSD3w0aTJOuk9dRw W+c4MN2HxSuWu/d4Mrqv6V7UqZdv3dYCeqPTPn0rn1OG/fMhGXpWkkhHu8DnJ6N++dbRb60thDUB kX7UEeADj+W2PI4MC/hX7qg139Fi5h0F1r09z3SLSjEHtp60ykJ8D9sWXsY1NTHhvx8eZvDRWVk0 kFHXP4O0OOZvl4ee5f/ymeNEXubbKPq5amDIhzXXuVRH7xBoubZ8gJjVb5wn39sbOOwsD69r7f0R eEUPPyRVPEH9VtGSC4MznJZQgpTHoPA9PAJJtQMxzaSvGGy81q4EAO8vWagVQBk+YcdSO+KwdfGb CHJ+hCJExMlwLs+e66B/Q0Vmv3WG2tWkfzqfU/C0SxgOaQ0OsXoJ1vnteilPLDx85Nzr62x5X0DI +b+NCcKVbhbDI0sg2g4tf2emiTcbxqxDr1Qf/i+giYb3al2Jj5kil1ppjzY9tNXtEFWliDGJ1bNM T6HirUcxwbtCFhqTSQhSXVnbddi2Fu/DsBnlf+uDQrvm3Mj7EQRubWj2RudEGp497pnoughGvH1m 7oUb6LfX0SS0x12euXhAbzkkcfS+caHcSSj38Mb+HUNqlS/+VyunTNHqTVt3zQ7zDZBH7jhuEa8V ZiFGq96daS5LNPntj1YsLszVPUdHkzbq3YdTYySGYJzT+giY195ubT2XireW7t5D4okfSIM6UcFK 0/X4azuvzemVGw+AkHWaULNWKOqYPwGXtNr/wV5DBEhFoWEvHXX1ACuRigPS/CM1Zu1whnj7FESe tWpMN72oAHpXJktbjj8zNuRNjz2kLdFMpQHk0t8Ttu6PjhE/0gaHotIv/SkXE3kRGAnNqhrmsdNf 92I7jy+se7NH6XjejCR49eiwQ0OtYoU5tiqDwrrXKfTBovS0zwVV+IZbryFXmL931VnMccwNGUZX DngyxxXYSDV3x8mxTdSGhzBlEsa4jiyxCaQQE7KMRsWPL4Yu/qVob6LatbHxhZowmJV538SmmqRy 8g/oDuEIA4OrxbpjArjLxR6XdJGG9ji8K3po8F2AfwjiMycCZemIhlUdfl9uOuXx9kxb9YxZi0b8 GJZE0S6M0mricYu9LoQ/G1X0DtWdJjjmO1i0Kd3WjbTLyRYMoFq7roAAbSnPTxxp7vWx2w6eOS+i XKvOrPEJEiFSYHqwidlxvnP4F4ZZ3NDuTsC46FlHV7Wnm1o7LeI5s4XfTuzpZCO3MVMc5cnOZKZm bBb6DnbE+eUWbXqD0d1+twTJPgXURkf4TU0tvwrFmmTwkN8PF3cyzN9O0jSBGfijoRvjZfdFJ+Ni CBynYLYZpiEWu4oSuYjHhiL3oRYMEdQSRFT9pOPeLp2yDbiO6nAptRph3NpxUMNLDWetUDW5wgks w0ECOY2/6nkRmazytMG1Ab8cerz4fzvMYfq26azHwXIntIXIL/d8d+Md/EfUo2vv+0uU79sOmQCC j5WeTMYmiCirZMnZEuV9VpVwjrusuuh7SnGotzX5waGiDC2k9zV6283HuN4MDHGzjc4zI7ZZRnVy etRhwUJF0SO4LPAnUjuJVDA97Cm6qP4rAwOAht5aNwGYW8+srEyDsuzrlR+XdJVx6ffSo5UOJVr4 a0uv5u8VQdzE5pZpxWv2KM85YL4rGgROXNfLcPMCo7v2yfe4e76Ct3xfxMJjGtN4OPduhGlXgyqh XZ+HBW0xjbAoIUf1srtFlZLEu7rgJhV3+uBDjHVlzm/JPBUWPcX5LcACbZPGisRfS5GHjBA0cHTa BU7a9WaxjQ+3aeIp934wsjY6JRWP0mimVTQhZGGK/DIv9YzSh8iGfUwdoNFv3QGMuKbOEGIpbJT7 hSj7HPKLByx8ru09dMDgbSXbeGudHVoZqaTtpeIrq39hDZwlNIlj7QNVm687RqfDfb1yF+1VHw8c B0jnmn49lGUrx0lXcZQf88aVhpinLQ419gLzD+ETsOJ8O0mDuflQ+cyhaOcn69JNAA039ic76H1r RxZTsrv+0wKLag6vynjuDrxes5WX416O76rC1mNNfjFtXCmd2FcC15fFIW2//WwgL56dI2AhpMkd +iwidtdFtNrIeaCZuLKaqsr3v6rkHjJCyrqMsl+7piWvwYil9d3kvKzZgY9MK4tyHHlhZTG4AFyR lVMVSK6aefVWR/WO2OVUTD3D078SU5XiWe4M0NG/QN2hTIDPjicYvrqoFfVf/zTW4hc57Jk83TLx oSSITqLBSnLIV3viCot/rehmwLXd0sSXHWSnvxMdAZ5tVA6GmkFzmzLUCJHl/Ip8l4KhtW9mjFJi EsojqB9PgHUdHpZCdhHWLncA1+f62+5SGD+o+La/c1LEGxnF30IervNyV0FrUFvmacTvy8hDVCic V9jhVMQvPWjC+BGcoHhIDQHSI9ITqzsc97YTH6WB4Jm/b6GQ3xGI7V8Ro1k6efc1hpZEDJyjG6fH RqSbb7T5WGmmVbN3jtbZTGaGinDfXy2RH8UmGgVOavk6GmqUfb4gUH7/PFYPoE7F8N9eE5hBZ6mJ KZEn+O2/fZTZxA/RBNd5VrC7Gy9aZF/QqMtQjmw2Auiy+dtaxSnHQwyxVsslvlIQixMR+zTKbTmv +/i6SsGM2AficHCT4EkYQSoJXyklSMHZ6qdcHY+B8gMeUqPCRRt5kJb3eTq8X9Okn4rK/GL3+L52 B8Jp7T6AciqkIZbfvSGX2YADvXOmlT1QngiOoXxB9U18gS96hDI13HPTkz0NjVegFXE21ekt5/CN JiWkyFYoIelUifMJRJMLAY4oZpFVCR1GhI58djq2GjgNKUCBGtUijujDhgs2SgUJlZKfVlEZmqu7 ubcmGn/W5HKXvIlpJaEDSQqmYrNLMUidwqEEGVufGwlaFErSTFyDvVNpD6lUvmPkD9nnpyYukUEN BwimUcjhNpKA4IhBKGawMvNFpeAzpBq2DCpr7t5NN1SCSqv0QzyhvLnZOAAltJTHruoFqYC5+Nky scgVMOBUzcoRqbOXycFg8vyUF0tevLiZkIFq1vQ/hdSoYYwBOMJ4H2Md2+hVUYhPRM8K2rtBaOcA fJ+kEo3jwRy5+QN0HuwGHaWiEqkdPzIk3tLlYZM6lVejZP0egS0VOUwaS6p17WgT/pYx8Tty12Ge 2JKaRTKFXkXdmWP6jsrC97BlS87HAjugQJEiGcJnF8JcuxunzscP4XRiv1gPvL10pmX1rjorPPnk As/zoRNW6bv5Y9GdUZFwExkeHzKEY1axFGE8Zbxme4oky5n4ciJ1CDOTEieUUW+tu/W2QCh7ysLi cl1rFOQxnU2a3h2xV9Kvoy+ENwCR/+jsyKzzdC9psKduoMWhnPxVT2T7QKz+Ie2yJft3PYfhvFHU jjisZwgJ7GCUbfZvX4WPDpv+wQKJGhHY4y6O/CIZFW+ayZ2ROmTMwJM43tAkT9wr5bxE1usKf4Tz gaBgs4QDKq7NfBQQ9F2hzPNOZe+zo4Kc16FYjVcW8F+sVCmw5z5YLiN7sMKSI/sJFT3X4MuQt6By NmBdoNxMjhnJAS4+ffCdp+BlMPC1gQyV4hDFxIy5P80pIguijvHQS2ZRiWt0K+DLuZ3Eo8CjCFgN AcslcZySg2ZwhP9hD5a6RjBDCNJAzOXMiRoKDlbj+UfqTeFO6jgDGzH71sQT0hBHiPbUe3v7yvey r43PG38FzbRw4W4A+lKxp5gJKudojjqsJ4bq+4PTw/EPr1gkPyjKfbL6BnvXvYi0GXnt33Chl35I z8SBhB6btAiIBd6tHaOdR8HBHwdQQE2b2Kw3kxwKXVTOHzcMjr1aKL/fde7C3bqbpiG59yQIJDny rXJkbZumDQAwHHEfyuAsl9/H98Qky0xjuN4WOEA59fECCdQ1AtVMX8OmEtKsbSvVG1/6WGPsB0hI LQOG6t1+hV+hSIjE0LyvqelXCDAuBZm4P2Gq832AKTpxsnuPAX7eAezsolFJIf1ZkxaO+RwEiici kYnJfoh4o5uLjtdJ4dOdbwcSNdOh5ledvo1rydnRQifgS8dg18bGah9uWehNkjpkCENTdUhWMj9a XSP2nZJ5iLFqyLNNjnq6wPyQsy6eIXFt04XmPWcm9+l7VhhEUTvmX5yHwokMFzyjf4KErstg//3t ejxNCwotBVE9RNDBXtBNji/1XfqrQDhXgcFJZA6ySgA6SGqp3MVTUprVQt4t19yutWr8ZvK9wuPm 7WHASVKWmLconviyQy0BKr8vYn6sOGpj/ulYJ4TT3Cfb/E58WJlDPFU4BjJioL7JZ6QKqfzIJGva bgNKIeiLW6F3uuI6xGKpMcCoIjkTBnRwEpU6GfI7U2aFed2Z8tjZ3IDnDPfjeBwCtMKijw62ybFB EIhYX73F/90UE9atCyaoaOcfzL0PDNEmjcAi7KbxU0Cr071P8/pKswE0AcGyI+Ls9wbLAl7w7NvL D3fg9gLIBbZTGRD55gIWOHZoULZFD5LEVgYJnhnRE+zILf10wa8prKuRzRCbuzNSmm9Vs38lmztd 5QUT3fLnAYjgJLoxw3UtuxskAnVFbUS67Cc+tHyVnlsbyWUWw3QQHvlsT49E5Lb6B8D5QpetJixn +wTs1HQmOZUP2W1js8uRUqPwuHmEgreF8RvYCb8dp1SAH0ryWl35MhFBzcCfLjc2ApSqwlxPapLM nqLfV0bC5b5D6R5g+19xX3DeFs/xik3+H9CpIDu9BsMmCLqLRRGHXAWRZcHeyX/hpfFTe9O3QGUV KzBi9nfhCTrJ1AW7hyGcxTzBosCr17rHcbpVZTB5+jdP9JYCI5D81PDtbYKgFSPDzqp2IV5vB6ds U3wGO1rkJhYn5wHZRXyfbyRpGXOfRzWSGR39GuWexRDEQIBWj/Mtix4GlblCQUwjXfBjVlbBhrrE yWteWravr6YEhPTJ1kDux1NTsEaEZbZPj4+NigrqXFwah9Ah/xjEVUMZm10R00zTpbJ6OG5QThRu sUIt+krru/SDIokLGGJhHbpuaeA3gzdMV2wSa24YSU57kfYoZkcjJtrFkyk3Z32++8M1rXL2vOy8 k+ImH+cAfXDteqklwkqlQ4XfDmxEmKfv5sysafvCodzu97resoNNTxOG6rcN+8s2pUUU5plTEGVR T07o3NCJCddcyKpJZRFrruM1YyG1yTtWoaxnngV8iM7hu+B9LNEj0aDm03gT5sraKZjEfWDoJv6d 7hT3Eq+NisqnSn/C95FlfIMda7wY2kLKrcM5re8n3QaSCfTQ71L64N3i0UkTuMC7I2y+mU/wgr0A 93V89ydO71QHjjZIKvXOPxcP9F1DyUq/FBLb+gOlr17C+RGQlUGg3kEzIr9XJTpkNVRPwNmkfJjJ t6V3S+hsu4aMbITmq/cCa7q0L+hkyUfmW+HtwOG1pDR+uaIICtcs/Yh9JkeNJsCE0IkTCZPdrYK0 +lcmlLD9iajgelJeR8oKU3xA2dHcphdXcapPhY1dRi6GvcgADcPkkAw7uzGb5iG3HgcPlnx9kyJl 6bQTYIQIPE4BnwljpcRwpAQ9bbnMgSMvYvtoxHV9so5vgdmelIR5YREhWWM= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a72d+j/QkZDkv8eDO5pA9BEngzdbQGQHhuxY2nDNAEhnIoRf0SgDQdLQUGAjqTT/LgQ/F0Cs+16w c1P7TVYYIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l/1IXczTwKQBVElHEsh+Zvo7KblkXaJxo8UlygCcRw3ZY3tWBi5BiOQVA9yqXhof3R4G5ULkurKn 46GuEoIAY3OUXtbKoFE/fg9ET9kDVkPf1jISgRyi7rAeyld9i/Cbc18E0R0zSIcXYm0KkBSXRMxF 9wuwdCtkYN244/gGxpo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l2DcCPLVR8IEoJU3RMy+SjLkstHNjHjhsTPyvJyG55CosrmHYPJF1hv5Q2QL/s+a8qVVv/FshWre ZhgfOLKwFsPfAotMElv4nD87vdWuiTfoT02uJ3qo+dMgqdK1NTGcrQz44sHgvLPLWzKe7hlF6k6o Rvf27QkEmCc2RIz/44tOQ/UppDCnrsrSVyntb+a+7BW1A9KnQCNSmFmxGUvHpVhP12cJTZtxVJbJ Gqk5MrAj6sMn7uGnVNgQNANDDB+DZgaG/rX6HKpInZYt8BzBk6ItkozY9t3z7oLm8gSHD9yIsJBO 9AMq0Li5R/GGkBoyk8LpfwkWqntHfUWm0bnNZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DjQz1cDtlzfTydRyrY+CZqTPGwZEHHaCGldw1iTUBh5QfXaAiR1uKl2S9PniOeC/cvZgRRDpmcaN zNVXhjOeHU3dnRVJvgmKq3SSiJA7PuJ5iq48dVkI+PqLpzJqKub/4QXlIW2D3K0jO9cEZznt4pz4 zGK6KgjZ7aPbC7aR/LU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cCj1sFiXTorUE6cijFjReKgoLQpZZhe3m8TlSV9f5LlFUKu+l0WXSJg/leWTQZO2OtLniO0g6v1X Q3rtBRwm8GhMcNcwV8oh3DFFtIhPAWIx8pc1IWS1flBcINGtXYVzaV9N47/Rfvj7bD/mJLL+3Y8M w37oj3YyxkZJ4Qw01CkXNmjP9Jdaf9l8rl9mPrRqfpwkMT2Wu3jRZhr19CZISAVqAU1dUMwyXjha uuLdqvOh9S6lQlkmMyjmEIKbqZUMj9jqGdqIEgihmrcRH4TEim8XRv6avaaNKbCDz4oCy/pt1FkK 6Op5z2R8h8lf36/aCuM+cJu5Sxegm18sIBuy1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block VvIw3u4z644M9oCnXrN11AFyy7KcxZsZdyLbnBx3LqVMsNcmmsh1iowZ5ub3Cw5awQgWRQ7wnJ1Z BFJWLXjtihrCL6nd2ENzmEtmUjzQroqjkhWIhGczCePlbDZGh+xle1VT1ImwLIHiJX2U7Vg1xQOz rxEV+8WYJtP7X80ztNSsy8Z+wRQnNeNHz2UBN08bcEd8nr0bVgWmJNpOGVz6/MihqxlHNXdZuS35 iiiCwUClBpNi/pVXiEyw00gmNhN/pys+TsAZJ0hVVwH1tdgqXQPQ2q0mPdIjOhQ3CGb4FtveZq0C d7qo04frJZMQ8OoaCLzu6EyWNQUjqAJUw8nPEMG39SHNyK7PRLdEEXg+AoLmHY9WaVGf/FgZppDK cpwuLLRukVN7yyJkZ1Fgh+ixcPoaiKjebdS9mlZvXKyquMxa9EbvJllsgghk0KzVjfLD37ERzOJf PMMFKyP1rRONhUl3Mf4K1AkEnlHOCnvyFAtC4QyQ05E9vVbM8lssPnZAr/Q565IavqgcrY2PMiWO 0ZKwMa0SYxeYyy7uKRpQDb1KOVFlMws2F15F6CTAloUWRCkKXDOoJO0SBh/+3j2wbUXuo5CVptD3 3CE07EcuAUYcMPIiPFY9roF4w7fDPbxtTbJBqvxREEudd64w4sUm3sES1SkRmrIi7CPLz+uFjDD4 NeFssnvqQFHxHcmYaNjzy8iWzpZD2iFoNZ49VKTFeSyDAJSRfUGfsFDNYwYezryThNx0z76hZ9iE brpEMtwuYy4fVBgcJ6+aH0tdeOFAH5VirQ7QcLoz6QyCR54lLpuJeOBVuh47gZkuuxA4+73AlNId fnOh0idbguclxHVzLsAMzCFhfnT11FlcIIyDrE9mWXj8O9YPM0RwpM5MIBlUv+GVV4ysOysyy1qF ItOTnYFJLc/EzAyY+EkGY9XNRvFAGf7oZexCjVwPbYhbs4fLgSP/nxY7W9oIaX+qrjKD8JCbL8cD D64ky7gssk1VxWSQjCrRxhcejYw6annUtLojDB0wcXseo7/XxOTBCOkFDxGl2U/EUYq2Yz3d1J5f n417zhS7PfyHauWQoInrcMP4KRQpw0/L7Z2VA6dW/hP+Udnfr/z9gADglJtO9UfNF5cswhUJWT6M Ye5ZhDFUxjGY50hciJqGLQnRGsOrAEYiqlfg2A5/G7hPhVht5oRFh57vNv0hkskWrbVqX9u5M2+l EvhwbLktgYFLRaqoevI96gklToiO7MK7ML/O0xKR0x0WcNWXbxxiYiVWVJKDpDqBNbswQaqceRnw +puj7OKCkNGrRFXNoIIsk/DZEXCmuqR/WWcFTU5pqsQdYFdgcCEXoWSVMdXFQlX/wTq6MR/08eqr gByMfU6PjsTm68/P7E35DKerC/blX12tRARkYueL2WOHRG13rLwXJczEJXq6IAfgmyDCCK2X+LNX nrvVHfn9YXP8k/VbQUddXxUQLVDteu4/zhd+CMk6MDP25N4kKP+Nog9UMYUw325D0jQcGDKlwJzv g022IhaCQP0s4ApZb/heP+xgPFS8kxCpIVxbuKd8o3EaotsZAl/u9ZLQ2L34wCpj7OPuN6RiqXMT 8N4+ZvMdoSvjNsB2doffrv3wdFqrPaIXVPJQmDxTeLq4yHYVSbw/L3HE+8KmBdg/1/vazPyEnJQ7 /f+jKFWf+KjSg58K/3hzm3dzCoy07ImxveO8jEUGdgi4Q6nM/i0tqwnjeGU+JofpGMn7OPlLIXty NJON3yzqTXwgiwvC+n71brGm+qBTAfRhaSq/+kOJDTPx9QHPL5YCztXaWrkyvzdzAsf7K0sTIT9N Tvs3IEXhIX6T/848IqCj+MDQpL/UVNVt3lwOq9JxvaEyx7pOU9QOdIlXaSa/g3SdBB/OE9D0eV/L Z2Szowo0HksKj+mCRpOykmfT9FkiPWaGqUx6akGQC2PagVlFDTiQhInp8OSWr7bNKPdKIfkXF+Nd w3FyYWhvo9uNJle/FAr9aP4maR7ZFYyCw17BpRjR1O23C+IAcmbrpBgxn6+73a9nnnvN/TVYCVtM 4DBBnzpVLdp+GkEY9l6HSxBBxFoSVeGm5vwKG0o1RthKPoRYsWRqH0dany7GCT9XfLp+N9ZSwVLL DbQuoR471RYcxMl7bglAhI2NUEOdl9kRfPYNffO/b+ga3bSGZ5eYzOJiIUKmYIma+s6bLKXvuqEk ol/6uCGXDx//Up3DGB+cSbLWti2WR3ux2z6pt1JaAzvU/PJyN3+JsIBFqZWA0eUIckJeYbvruUiF to4ScJOuwhHpNc84GtiUB/S1YShXMYaakQDJ1AduMQaWsg3ayh5LkRoeoSg0cJ4QLCef0l+ghfCo /22Gr2/oJwb/wu9YTAMa1SppOK2z2L6xJAd8aiJqsT/XEtXYHZ245FPifP5zM83uYCeAsNyZpeIQ wLE727z1YCIl6RtnIttA5hXTqNTcVWUVf4nc9k3mfBqu2SaqfdNUaCMZzB8ewkSNhLvIxy52UPtb oT9/8J9yNwkl+Q8wOBivRj7WJQIe+qVq3XVeoda8ja4BYpzLmGjz4Uj+Gx7Y84+Yr5nHLaLC0I4M fzhKgMOJJptowhnXroSskYF+LuYHefJdJt2O3XjObVo/FUOwMPKrwHuaOr8ge8f57lcgxue+oc11 Z44qy+5s6NNJsKzo9xp2Z8CisYzvWPmj9eVqmADF6mR7YAkgS3poHJjXf51NRwtKk8fGbHrpZDA2 nJZP7eldtKw3Sq4d1hKlm9HmEdf0nUgq/sYgUYSsqFoy8EsiLZi/gylnsFRGgKAPyYD+jUASNUAb go95eu7YOq+PYVV4fpenUsh9VG4wnPrR6QbEco5O03Bmkk7nh7hyJbydRtcEUCRUcrpafh7+JWOW bx/jAVIqgx8lePe7aPhWTivdXv3aR2OiUQIzi2mYlwHtufp1Q5yqtozMcmMuA5UtpdXy11NqGtLh fw6ojztUOszKeb3km6lv7DO/UlQ658dL+25fsB8f0SVH93XqawREwAwQ+Y9Q8547SAVRMRmRIq9G lSejmC85f/CQhUpyMiPvHt0alea1RzEic1Z4UeS3HCDTp0yIX3yxnGwz8Fsv8cHeV11bavAo55lx MeXH/tKGHEDL9KLEvPV1+zLLXY0Xd9NqHdnW95e4+gnmYWPWqHbIDtAgq6a1luU8bKCFGj9UYZ1z BMyXBGz5jHW19rRIPSr92tjNbc+RHGJAu/ZO2TdDX7Blb8OvAt4nbXGD9qojkZkUjV5vF6+RSBQG qWk7RgIGsgfnT4HYWYtHkxhpM/ZHgiPrfzg85ZQwTUqEEo24pxcHEhUBEXiQphfgilE56FKaDk0y X41mZraWVnfpSYYJLwfScx+mDSC4zKxA9Q3sp/pXVplnN81R3+5rp7H4GgofIu+0DbIdeAFhC7In xXOHlok2y9IVD6QnxZhVnX9EfV/AhB+4QQvwwSdlZR5BtitKeDilmRmX56ESHDOcJUCkVe5srkqw J+gPKG0Q+24zbyPjzKrJWOWYPV3Woyue4bWlHZmbrrwueleTzIjUmILjd84SQ/JqT0YElyMRwcvO kPrnGVXd9L9c3f8amVPK6a3BmHm7SCZuTcgfO9N3tiEjirNGIubrcyIGZ7WeVUZRGrUJY+jMJwz0 L8XFj2JsWAJyNZoxSQItptiSMK2z8oas49B/iejdGoBoheX+92eF6SNqcbZbfMPvM+jS04oBOK76 xmofg7tbGwySq/hhHBtzYLalCrPNebWgw5MAGSax9fLeqK33qbiAxTVOvmkrO6KT0jpUR39empi1 LWVXTHOvdC+PireT0gdL1MkFnZgWK/W1+t+OhiOOUP9Muqa5PhcZThLOerhn+WHzcufwKLtVPvbM Ba2JJSUYhK2M2XcimPd2PE/rFyC/DZE10CIVFrlmAqCtFLu/slV9Q0AEU8huTpA2nl0OukyQoVaw NvknUHtieKYpQzpPmsb9y3t8aH2667aBcAdSjOEhlOV9PduYzFpHNvJDFthC+Blxmjr0x8apFZbo bYtEylkIYptj++xtiJH+wRvyE/w9nsHNBvFkk7+Q4oQ9Oj8GkMlD8miKCb2nF7HzBhcYEMGv+j4W 2M7dAxndHjqGtQii3U0B7Jmvq4VshtMy+iO2c31MXwEk09CmLNGNR4texRmMCYsx6l+zjAm1QKUk 6hdwzt35PrMXQBPUOCdt7J7du5uNewxBsWlxy13tC90atHtMdMdqt3JIerNbMnTPyZyhlQbHfZ/z vpWUoTJmn8zGlpjP/HqK/KDoG1Swke1f5b9+eZ+Q/5zCiwwgCQi/Ab1qTBjQdDdSDasiWdC5zI4A uj8MYDTRVUmISipvxHO190BvcvYY+HfirIIUfSZ0hS4hBlTawWO5GPnoIwztTUjXidRZLhXmBgJp vyDzZwlXeDbde3ygZALtnXX6N7NBf6BWWdQ/jhDQqEerWbzkUgxQdLCzccJ7E0Fi9FbSZdR23jXV nZJLpbLEbcvZEO7XoaBVmnz0vY7Vbwv4UpBPnWQToarGEHMemQtePCPnxD0c/iJD+yAZC1OUoxdi QrSrXfVjS68vTGop7nTQ9vb5UeL36GWar8DH/SAPiK8Mw3Um0QKqNDuQ20TlXRA80xTZCEZFI6K1 Ll7mVKCE1ox9POXno9cUQ3UxWsqt9CkL4JkvXnL424iPEQ5v+M6XKL8SZDreafO/UGT9gD29oCWf y8PYTaoo9V9RikY6sBHb5tEpAzmkWWr797K0EgFp2LBQMGoobCj6mpMx5FOuSypa7+T/fmo1avdS FeeOS3MQjOEOgNVl56qVIFBRdiIQRCV5poFgaNlAnjh2aF74RLwmMXzvfAqOvGFta3YeNJIfgBfA ThExU83rqXqicT7/H422DzJzJ1og/9M7xPSMeve73oPiOHZkoEcRhaCk439AuOY7pavF9ZJ8piwI UPkHRxtyzKEONig+qLFa7mLBrHJfINsaSFygQVMMxpL5MAJuyAYUTGGhKVb7GvJ9RHWURtV42LjX qp5QfFy+p4cl/jz69PG7Xs7+ztJSIjDjqTBpjuXIHBZllG2u20GasKMuymLqtTVKpx1vqOVrRzLA rnDSVSXjDm0xwdWI46UOMwFz3aC9OEHxoPl6un44lkWt2XBYw9Ye+tly0YLaKHixc0U4XZHiTraa HnLk3OE5eB00/q+hie14wTEDrT0ksEmDZi+Z0kTQIP5dkbjmBvj5iYdfhN/IEMfFUVg+81rtm6ml a4q+MvUPjvR11JcFb5iX6Ckc07vHAf4LVMHMRxvDFNckeX1WCOgE893ipuZDrq06wluDLnMnDIm1 JwElJUR2w1jp81Jlg5qeYjZHWgPxDNeSmuF60eeFHzOPs8Zve8zWre7UeSilPY6bz4fq0KrUC2Lc /d+wHupiZIRIr7auw53cyAxAjQnmA0PNxSLAz3UuAMFb7E1gLVNnoXvO+5Ln3nSdL8m1EBW6+1gI 86MjQPAGLlHPk4TBJkbbCbCZnDEAk7LiJrdbqSE4dQBEjozl+9Rjiud5D8LS3Hn3ISOLbjxTX7RF zhOghuLjBDtwR7AlqlsMfj6q3I0eE3lYcXEA12lJdF+BKqL3CJx+h0iIdTutIp9XXWO0PDiWhT0u CwaAPzC60vnn+/r3KoqFwGAGxbB2sgwhskeKDyh3sdXxTOdoP6B9Ljc4pEFBEjFO3afgquugWxQw 7uAYG67zo+DqYVFOX78aTgr+3t2LO0ptV7v1WvscURi+4+R0eR+C48pZ/GJD+hj+thzj31Tv6ynJ Oev2RyyBG0GweZCZ3LWANiZ+ZR2AGQf8ImAxaFu7mJh3RFsdw97WzXFUWJ6jSs/7dF/tVjtm3EdV iy6LRc5hGeFlExe2llFL0d0ugh2EAS5LzJJXDBebO5wlETdXDywAIVJ2ZUJIlUtqanypIiqNWmbA VTq//dBKdUQFY8P2xS9zAW1DvDlwlvF2ceaMVfolbec7hLpOoato8bTn+o6miDkI44WiLlY+DtX6 Rg4AbVvayCLqF3K5woGGb+TAAxgPDsnTpYtHxy0kERwYGeCpthnZW0oqYaLP5PnqGrEXIGJp3ysr vkSUx79bxPZ3E21wiw1G3mmX+f/3KhZXL0ZXyBq+bdJmxBNE+LNLes9XlQe5Z9Vtj0sk2UmPENe5 pOzQHwNMV1ONhQ+r8M3licVa7ycdGEdFIz0JHkDQnLOg7kodNckmeM34gDfqZkUPqEFDRhVPEP+9 YN7eCq5GmviIivi7r2pQoiqAFc1VMCJsYNzxnSAV5TvjW2WhkXRRJCt5If2vfMi1tfG240ke5hiQ Gb2gtubhfgnwLHmJsLXvKYwjCqSChG12m6JV9RnlRhA7DQ8yb438qsMqLK105PHomVUGrb95XfVK jQ4mdcRNvvrvcuhDxTodsHEDaLBF6PiJ25Dv5cDWFEIuwesOP+hGAKUIrlG0TCofj+S+D93M2i+Q ihgzVzN1DLEbrKxNeEBkQqI11GB5GXhOY+UAM7sv+NdJLL4UBErBYBveFmwucME90FuuAWrdS23i /PCHpqq0KIlY3/kUv9lPmMGPhh9tR7AvVKEpFmxud+ohm4dyG0a7T85muelDly9HoQwid/EMCYc4 sdvEbarUC1IUzG+6NRR3ZnV1fy5gJbp8xw46v27lQZCjAdGm/GfGobpaN9acCut7kz0UkXaZhZzT 1PqomSDbjZNNA2Z/89B+Wdy7gLumWxc4cllUno/SfNJZOoduvEXz+7A5mXgmgSyb32EaA9ggUfdA PvseAU96yG4XFpf6Y97j+BXnwszbS/TkplK8+M1PGGVsnumH/0xDi8gUY2T85oGfMSqkjaewzHn/ YZXYoOdwX6qFZMaA2huT35EGNQwUwkOgpfe05su43jrLznlhUq9oIWUwipfJADUJ555gvG8smHci gopG9nfB2atVa3rpMWdX46wVoiEZh0bb2r1C0bK46CXCe7xfaIbN26smsErrUSD3w0aTJOuk9dRw W+c4MN2HxSuWu/d4Mrqv6V7UqZdv3dYCeqPTPn0rn1OG/fMhGXpWkkhHu8DnJ6N++dbRb60thDUB kX7UEeADj+W2PI4MC/hX7qg139Fi5h0F1r09z3SLSjEHtp60ykJ8D9sWXsY1NTHhvx8eZvDRWVk0 kFHXP4O0OOZvl4ee5f/ymeNEXubbKPq5amDIhzXXuVRH7xBoubZ8gJjVb5wn39sbOOwsD69r7f0R eEUPPyRVPEH9VtGSC4MznJZQgpTHoPA9PAJJtQMxzaSvGGy81q4EAO8vWagVQBk+YcdSO+KwdfGb CHJ+hCJExMlwLs+e66B/Q0Vmv3WG2tWkfzqfU/C0SxgOaQ0OsXoJ1vnteilPLDx85Nzr62x5X0DI +b+NCcKVbhbDI0sg2g4tf2emiTcbxqxDr1Qf/i+giYb3al2Jj5kil1ppjzY9tNXtEFWliDGJ1bNM T6HirUcxwbtCFhqTSQhSXVnbddi2Fu/DsBnlf+uDQrvm3Mj7EQRubWj2RudEGp497pnoughGvH1m 7oUb6LfX0SS0x12euXhAbzkkcfS+caHcSSj38Mb+HUNqlS/+VyunTNHqTVt3zQ7zDZBH7jhuEa8V ZiFGq96daS5LNPntj1YsLszVPUdHkzbq3YdTYySGYJzT+giY195ubT2XireW7t5D4okfSIM6UcFK 0/X4azuvzemVGw+AkHWaULNWKOqYPwGXtNr/wV5DBEhFoWEvHXX1ACuRigPS/CM1Zu1whnj7FESe tWpMN72oAHpXJktbjj8zNuRNjz2kLdFMpQHk0t8Ttu6PjhE/0gaHotIv/SkXE3kRGAnNqhrmsdNf 92I7jy+se7NH6XjejCR49eiwQ0OtYoU5tiqDwrrXKfTBovS0zwVV+IZbryFXmL931VnMccwNGUZX DngyxxXYSDV3x8mxTdSGhzBlEsa4jiyxCaQQE7KMRsWPL4Yu/qVob6LatbHxhZowmJV538SmmqRy 8g/oDuEIA4OrxbpjArjLxR6XdJGG9ji8K3po8F2AfwjiMycCZemIhlUdfl9uOuXx9kxb9YxZi0b8 GJZE0S6M0mricYu9LoQ/G1X0DtWdJjjmO1i0Kd3WjbTLyRYMoFq7roAAbSnPTxxp7vWx2w6eOS+i XKvOrPEJEiFSYHqwidlxvnP4F4ZZ3NDuTsC46FlHV7Wnm1o7LeI5s4XfTuzpZCO3MVMc5cnOZKZm bBb6DnbE+eUWbXqD0d1+twTJPgXURkf4TU0tvwrFmmTwkN8PF3cyzN9O0jSBGfijoRvjZfdFJ+Ni CBynYLYZpiEWu4oSuYjHhiL3oRYMEdQSRFT9pOPeLp2yDbiO6nAptRph3NpxUMNLDWetUDW5wgks w0ECOY2/6nkRmazytMG1Ab8cerz4fzvMYfq26azHwXIntIXIL/d8d+Md/EfUo2vv+0uU79sOmQCC j5WeTMYmiCirZMnZEuV9VpVwjrusuuh7SnGotzX5waGiDC2k9zV6283HuN4MDHGzjc4zI7ZZRnVy etRhwUJF0SO4LPAnUjuJVDA97Cm6qP4rAwOAht5aNwGYW8+srEyDsuzrlR+XdJVx6ffSo5UOJVr4 a0uv5u8VQdzE5pZpxWv2KM85YL4rGgROXNfLcPMCo7v2yfe4e76Ct3xfxMJjGtN4OPduhGlXgyqh XZ+HBW0xjbAoIUf1srtFlZLEu7rgJhV3+uBDjHVlzm/JPBUWPcX5LcACbZPGisRfS5GHjBA0cHTa BU7a9WaxjQ+3aeIp934wsjY6JRWP0mimVTQhZGGK/DIv9YzSh8iGfUwdoNFv3QGMuKbOEGIpbJT7 hSj7HPKLByx8ru09dMDgbSXbeGudHVoZqaTtpeIrq39hDZwlNIlj7QNVm687RqfDfb1yF+1VHw8c B0jnmn49lGUrx0lXcZQf88aVhpinLQ419gLzD+ETsOJ8O0mDuflQ+cyhaOcn69JNAA039ic76H1r RxZTsrv+0wKLag6vynjuDrxes5WX416O76rC1mNNfjFtXCmd2FcC15fFIW2//WwgL56dI2AhpMkd +iwidtdFtNrIeaCZuLKaqsr3v6rkHjJCyrqMsl+7piWvwYil9d3kvKzZgY9MK4tyHHlhZTG4AFyR lVMVSK6aefVWR/WO2OVUTD3D078SU5XiWe4M0NG/QN2hTIDPjicYvrqoFfVf/zTW4hc57Jk83TLx oSSITqLBSnLIV3viCot/rehmwLXd0sSXHWSnvxMdAZ5tVA6GmkFzmzLUCJHl/Ip8l4KhtW9mjFJi EsojqB9PgHUdHpZCdhHWLncA1+f62+5SGD+o+La/c1LEGxnF30IervNyV0FrUFvmacTvy8hDVCic V9jhVMQvPWjC+BGcoHhIDQHSI9ITqzsc97YTH6WB4Jm/b6GQ3xGI7V8Ro1k6efc1hpZEDJyjG6fH RqSbb7T5WGmmVbN3jtbZTGaGinDfXy2RH8UmGgVOavk6GmqUfb4gUH7/PFYPoE7F8N9eE5hBZ6mJ KZEn+O2/fZTZxA/RBNd5VrC7Gy9aZF/QqMtQjmw2Auiy+dtaxSnHQwyxVsslvlIQixMR+zTKbTmv +/i6SsGM2AficHCT4EkYQSoJXyklSMHZ6qdcHY+B8gMeUqPCRRt5kJb3eTq8X9Okn4rK/GL3+L52 B8Jp7T6AciqkIZbfvSGX2YADvXOmlT1QngiOoXxB9U18gS96hDI13HPTkz0NjVegFXE21ekt5/CN JiWkyFYoIelUifMJRJMLAY4oZpFVCR1GhI58djq2GjgNKUCBGtUijujDhgs2SgUJlZKfVlEZmqu7 ubcmGn/W5HKXvIlpJaEDSQqmYrNLMUidwqEEGVufGwlaFErSTFyDvVNpD6lUvmPkD9nnpyYukUEN BwimUcjhNpKA4IhBKGawMvNFpeAzpBq2DCpr7t5NN1SCSqv0QzyhvLnZOAAltJTHruoFqYC5+Nky scgVMOBUzcoRqbOXycFg8vyUF0tevLiZkIFq1vQ/hdSoYYwBOMJ4H2Md2+hVUYhPRM8K2rtBaOcA fJ+kEo3jwRy5+QN0HuwGHaWiEqkdPzIk3tLlYZM6lVejZP0egS0VOUwaS6p17WgT/pYx8Tty12Ge 2JKaRTKFXkXdmWP6jsrC97BlS87HAjugQJEiGcJnF8JcuxunzscP4XRiv1gPvL10pmX1rjorPPnk As/zoRNW6bv5Y9GdUZFwExkeHzKEY1axFGE8Zbxme4oky5n4ciJ1CDOTEieUUW+tu/W2QCh7ysLi cl1rFOQxnU2a3h2xV9Kvoy+ENwCR/+jsyKzzdC9psKduoMWhnPxVT2T7QKz+Ie2yJft3PYfhvFHU jjisZwgJ7GCUbfZvX4WPDpv+wQKJGhHY4y6O/CIZFW+ayZ2ROmTMwJM43tAkT9wr5bxE1usKf4Tz gaBgs4QDKq7NfBQQ9F2hzPNOZe+zo4Kc16FYjVcW8F+sVCmw5z5YLiN7sMKSI/sJFT3X4MuQt6By NmBdoNxMjhnJAS4+ffCdp+BlMPC1gQyV4hDFxIy5P80pIguijvHQS2ZRiWt0K+DLuZ3Eo8CjCFgN AcslcZySg2ZwhP9hD5a6RjBDCNJAzOXMiRoKDlbj+UfqTeFO6jgDGzH71sQT0hBHiPbUe3v7yvey r43PG38FzbRw4W4A+lKxp5gJKudojjqsJ4bq+4PTw/EPr1gkPyjKfbL6BnvXvYi0GXnt33Chl35I z8SBhB6btAiIBd6tHaOdR8HBHwdQQE2b2Kw3kxwKXVTOHzcMjr1aKL/fde7C3bqbpiG59yQIJDny rXJkbZumDQAwHHEfyuAsl9/H98Qky0xjuN4WOEA59fECCdQ1AtVMX8OmEtKsbSvVG1/6WGPsB0hI LQOG6t1+hV+hSIjE0LyvqelXCDAuBZm4P2Gq832AKTpxsnuPAX7eAezsolFJIf1ZkxaO+RwEiici kYnJfoh4o5uLjtdJ4dOdbwcSNdOh5ledvo1rydnRQifgS8dg18bGah9uWehNkjpkCENTdUhWMj9a XSP2nZJ5iLFqyLNNjnq6wPyQsy6eIXFt04XmPWcm9+l7VhhEUTvmX5yHwokMFzyjf4KErstg//3t ejxNCwotBVE9RNDBXtBNji/1XfqrQDhXgcFJZA6ySgA6SGqp3MVTUprVQt4t19yutWr8ZvK9wuPm 7WHASVKWmLconviyQy0BKr8vYn6sOGpj/ulYJ4TT3Cfb/E58WJlDPFU4BjJioL7JZ6QKqfzIJGva bgNKIeiLW6F3uuI6xGKpMcCoIjkTBnRwEpU6GfI7U2aFed2Z8tjZ3IDnDPfjeBwCtMKijw62ybFB EIhYX73F/90UE9atCyaoaOcfzL0PDNEmjcAi7KbxU0Cr071P8/pKswE0AcGyI+Ls9wbLAl7w7NvL D3fg9gLIBbZTGRD55gIWOHZoULZFD5LEVgYJnhnRE+zILf10wa8prKuRzRCbuzNSmm9Vs38lmztd 5QUT3fLnAYjgJLoxw3UtuxskAnVFbUS67Cc+tHyVnlsbyWUWw3QQHvlsT49E5Lb6B8D5QpetJixn +wTs1HQmOZUP2W1js8uRUqPwuHmEgreF8RvYCb8dp1SAH0ryWl35MhFBzcCfLjc2ApSqwlxPapLM nqLfV0bC5b5D6R5g+19xX3DeFs/xik3+H9CpIDu9BsMmCLqLRRGHXAWRZcHeyX/hpfFTe9O3QGUV KzBi9nfhCTrJ1AW7hyGcxTzBosCr17rHcbpVZTB5+jdP9JYCI5D81PDtbYKgFSPDzqp2IV5vB6ds U3wGO1rkJhYn5wHZRXyfbyRpGXOfRzWSGR39GuWexRDEQIBWj/Mtix4GlblCQUwjXfBjVlbBhrrE yWteWravr6YEhPTJ1kDux1NTsEaEZbZPj4+NigrqXFwah9Ah/xjEVUMZm10R00zTpbJ6OG5QThRu sUIt+krru/SDIokLGGJhHbpuaeA3gzdMV2wSa24YSU57kfYoZkcjJtrFkyk3Z32++8M1rXL2vOy8 k+ImH+cAfXDteqklwkqlQ4XfDmxEmKfv5sysafvCodzu97resoNNTxOG6rcN+8s2pUUU5plTEGVR T07o3NCJCddcyKpJZRFrruM1YyG1yTtWoaxnngV8iM7hu+B9LNEj0aDm03gT5sraKZjEfWDoJv6d 7hT3Eq+NisqnSn/C95FlfIMda7wY2kLKrcM5re8n3QaSCfTQ71L64N3i0UkTuMC7I2y+mU/wgr0A 93V89ydO71QHjjZIKvXOPxcP9F1DyUq/FBLb+gOlr17C+RGQlUGg3kEzIr9XJTpkNVRPwNmkfJjJ t6V3S+hsu4aMbITmq/cCa7q0L+hkyUfmW+HtwOG1pDR+uaIICtcs/Yh9JkeNJsCE0IkTCZPdrYK0 +lcmlLD9iajgelJeR8oKU3xA2dHcphdXcapPhY1dRi6GvcgADcPkkAw7uzGb5iG3HgcPlnx9kyJl 6bQTYIQIPE4BnwljpcRwpAQ9bbnMgSMvYvtoxHV9so5vgdmelIR5YREhWWM= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a72d+j/QkZDkv8eDO5pA9BEngzdbQGQHhuxY2nDNAEhnIoRf0SgDQdLQUGAjqTT/LgQ/F0Cs+16w c1P7TVYYIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l/1IXczTwKQBVElHEsh+Zvo7KblkXaJxo8UlygCcRw3ZY3tWBi5BiOQVA9yqXhof3R4G5ULkurKn 46GuEoIAY3OUXtbKoFE/fg9ET9kDVkPf1jISgRyi7rAeyld9i/Cbc18E0R0zSIcXYm0KkBSXRMxF 9wuwdCtkYN244/gGxpo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l2DcCPLVR8IEoJU3RMy+SjLkstHNjHjhsTPyvJyG55CosrmHYPJF1hv5Q2QL/s+a8qVVv/FshWre ZhgfOLKwFsPfAotMElv4nD87vdWuiTfoT02uJ3qo+dMgqdK1NTGcrQz44sHgvLPLWzKe7hlF6k6o Rvf27QkEmCc2RIz/44tOQ/UppDCnrsrSVyntb+a+7BW1A9KnQCNSmFmxGUvHpVhP12cJTZtxVJbJ Gqk5MrAj6sMn7uGnVNgQNANDDB+DZgaG/rX6HKpInZYt8BzBk6ItkozY9t3z7oLm8gSHD9yIsJBO 9AMq0Li5R/GGkBoyk8LpfwkWqntHfUWm0bnNZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DjQz1cDtlzfTydRyrY+CZqTPGwZEHHaCGldw1iTUBh5QfXaAiR1uKl2S9PniOeC/cvZgRRDpmcaN zNVXhjOeHU3dnRVJvgmKq3SSiJA7PuJ5iq48dVkI+PqLpzJqKub/4QXlIW2D3K0jO9cEZznt4pz4 zGK6KgjZ7aPbC7aR/LU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cCj1sFiXTorUE6cijFjReKgoLQpZZhe3m8TlSV9f5LlFUKu+l0WXSJg/leWTQZO2OtLniO0g6v1X Q3rtBRwm8GhMcNcwV8oh3DFFtIhPAWIx8pc1IWS1flBcINGtXYVzaV9N47/Rfvj7bD/mJLL+3Y8M w37oj3YyxkZJ4Qw01CkXNmjP9Jdaf9l8rl9mPrRqfpwkMT2Wu3jRZhr19CZISAVqAU1dUMwyXjha uuLdqvOh9S6lQlkmMyjmEIKbqZUMj9jqGdqIEgihmrcRH4TEim8XRv6avaaNKbCDz4oCy/pt1FkK 6Op5z2R8h8lf36/aCuM+cJu5Sxegm18sIBuy1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block VvIw3u4z644M9oCnXrN11AFyy7KcxZsZdyLbnBx3LqVMsNcmmsh1iowZ5ub3Cw5awQgWRQ7wnJ1Z BFJWLXjtihrCL6nd2ENzmEtmUjzQroqjkhWIhGczCePlbDZGh+xle1VT1ImwLIHiJX2U7Vg1xQOz rxEV+8WYJtP7X80ztNSsy8Z+wRQnNeNHz2UBN08bcEd8nr0bVgWmJNpOGVz6/MihqxlHNXdZuS35 iiiCwUClBpNi/pVXiEyw00gmNhN/pys+TsAZJ0hVVwH1tdgqXQPQ2q0mPdIjOhQ3CGb4FtveZq0C d7qo04frJZMQ8OoaCLzu6EyWNQUjqAJUw8nPEMG39SHNyK7PRLdEEXg+AoLmHY9WaVGf/FgZppDK cpwuLLRukVN7yyJkZ1Fgh+ixcPoaiKjebdS9mlZvXKyquMxa9EbvJllsgghk0KzVjfLD37ERzOJf PMMFKyP1rRONhUl3Mf4K1AkEnlHOCnvyFAtC4QyQ05E9vVbM8lssPnZAr/Q565IavqgcrY2PMiWO 0ZKwMa0SYxeYyy7uKRpQDb1KOVFlMws2F15F6CTAloUWRCkKXDOoJO0SBh/+3j2wbUXuo5CVptD3 3CE07EcuAUYcMPIiPFY9roF4w7fDPbxtTbJBqvxREEudd64w4sUm3sES1SkRmrIi7CPLz+uFjDD4 NeFssnvqQFHxHcmYaNjzy8iWzpZD2iFoNZ49VKTFeSyDAJSRfUGfsFDNYwYezryThNx0z76hZ9iE brpEMtwuYy4fVBgcJ6+aH0tdeOFAH5VirQ7QcLoz6QyCR54lLpuJeOBVuh47gZkuuxA4+73AlNId fnOh0idbguclxHVzLsAMzCFhfnT11FlcIIyDrE9mWXj8O9YPM0RwpM5MIBlUv+GVV4ysOysyy1qF ItOTnYFJLc/EzAyY+EkGY9XNRvFAGf7oZexCjVwPbYhbs4fLgSP/nxY7W9oIaX+qrjKD8JCbL8cD D64ky7gssk1VxWSQjCrRxhcejYw6annUtLojDB0wcXseo7/XxOTBCOkFDxGl2U/EUYq2Yz3d1J5f n417zhS7PfyHauWQoInrcMP4KRQpw0/L7Z2VA6dW/hP+Udnfr/z9gADglJtO9UfNF5cswhUJWT6M Ye5ZhDFUxjGY50hciJqGLQnRGsOrAEYiqlfg2A5/G7hPhVht5oRFh57vNv0hkskWrbVqX9u5M2+l EvhwbLktgYFLRaqoevI96gklToiO7MK7ML/O0xKR0x0WcNWXbxxiYiVWVJKDpDqBNbswQaqceRnw +puj7OKCkNGrRFXNoIIsk/DZEXCmuqR/WWcFTU5pqsQdYFdgcCEXoWSVMdXFQlX/wTq6MR/08eqr gByMfU6PjsTm68/P7E35DKerC/blX12tRARkYueL2WOHRG13rLwXJczEJXq6IAfgmyDCCK2X+LNX nrvVHfn9YXP8k/VbQUddXxUQLVDteu4/zhd+CMk6MDP25N4kKP+Nog9UMYUw325D0jQcGDKlwJzv g022IhaCQP0s4ApZb/heP+xgPFS8kxCpIVxbuKd8o3EaotsZAl/u9ZLQ2L34wCpj7OPuN6RiqXMT 8N4+ZvMdoSvjNsB2doffrv3wdFqrPaIXVPJQmDxTeLq4yHYVSbw/L3HE+8KmBdg/1/vazPyEnJQ7 /f+jKFWf+KjSg58K/3hzm3dzCoy07ImxveO8jEUGdgi4Q6nM/i0tqwnjeGU+JofpGMn7OPlLIXty NJON3yzqTXwgiwvC+n71brGm+qBTAfRhaSq/+kOJDTPx9QHPL5YCztXaWrkyvzdzAsf7K0sTIT9N Tvs3IEXhIX6T/848IqCj+MDQpL/UVNVt3lwOq9JxvaEyx7pOU9QOdIlXaSa/g3SdBB/OE9D0eV/L Z2Szowo0HksKj+mCRpOykmfT9FkiPWaGqUx6akGQC2PagVlFDTiQhInp8OSWr7bNKPdKIfkXF+Nd w3FyYWhvo9uNJle/FAr9aP4maR7ZFYyCw17BpRjR1O23C+IAcmbrpBgxn6+73a9nnnvN/TVYCVtM 4DBBnzpVLdp+GkEY9l6HSxBBxFoSVeGm5vwKG0o1RthKPoRYsWRqH0dany7GCT9XfLp+N9ZSwVLL DbQuoR471RYcxMl7bglAhI2NUEOdl9kRfPYNffO/b+ga3bSGZ5eYzOJiIUKmYIma+s6bLKXvuqEk ol/6uCGXDx//Up3DGB+cSbLWti2WR3ux2z6pt1JaAzvU/PJyN3+JsIBFqZWA0eUIckJeYbvruUiF to4ScJOuwhHpNc84GtiUB/S1YShXMYaakQDJ1AduMQaWsg3ayh5LkRoeoSg0cJ4QLCef0l+ghfCo /22Gr2/oJwb/wu9YTAMa1SppOK2z2L6xJAd8aiJqsT/XEtXYHZ245FPifP5zM83uYCeAsNyZpeIQ wLE727z1YCIl6RtnIttA5hXTqNTcVWUVf4nc9k3mfBqu2SaqfdNUaCMZzB8ewkSNhLvIxy52UPtb oT9/8J9yNwkl+Q8wOBivRj7WJQIe+qVq3XVeoda8ja4BYpzLmGjz4Uj+Gx7Y84+Yr5nHLaLC0I4M fzhKgMOJJptowhnXroSskYF+LuYHefJdJt2O3XjObVo/FUOwMPKrwHuaOr8ge8f57lcgxue+oc11 Z44qy+5s6NNJsKzo9xp2Z8CisYzvWPmj9eVqmADF6mR7YAkgS3poHJjXf51NRwtKk8fGbHrpZDA2 nJZP7eldtKw3Sq4d1hKlm9HmEdf0nUgq/sYgUYSsqFoy8EsiLZi/gylnsFRGgKAPyYD+jUASNUAb go95eu7YOq+PYVV4fpenUsh9VG4wnPrR6QbEco5O03Bmkk7nh7hyJbydRtcEUCRUcrpafh7+JWOW bx/jAVIqgx8lePe7aPhWTivdXv3aR2OiUQIzi2mYlwHtufp1Q5yqtozMcmMuA5UtpdXy11NqGtLh fw6ojztUOszKeb3km6lv7DO/UlQ658dL+25fsB8f0SVH93XqawREwAwQ+Y9Q8547SAVRMRmRIq9G lSejmC85f/CQhUpyMiPvHt0alea1RzEic1Z4UeS3HCDTp0yIX3yxnGwz8Fsv8cHeV11bavAo55lx MeXH/tKGHEDL9KLEvPV1+zLLXY0Xd9NqHdnW95e4+gnmYWPWqHbIDtAgq6a1luU8bKCFGj9UYZ1z BMyXBGz5jHW19rRIPSr92tjNbc+RHGJAu/ZO2TdDX7Blb8OvAt4nbXGD9qojkZkUjV5vF6+RSBQG qWk7RgIGsgfnT4HYWYtHkxhpM/ZHgiPrfzg85ZQwTUqEEo24pxcHEhUBEXiQphfgilE56FKaDk0y X41mZraWVnfpSYYJLwfScx+mDSC4zKxA9Q3sp/pXVplnN81R3+5rp7H4GgofIu+0DbIdeAFhC7In xXOHlok2y9IVD6QnxZhVnX9EfV/AhB+4QQvwwSdlZR5BtitKeDilmRmX56ESHDOcJUCkVe5srkqw J+gPKG0Q+24zbyPjzKrJWOWYPV3Woyue4bWlHZmbrrwueleTzIjUmILjd84SQ/JqT0YElyMRwcvO kPrnGVXd9L9c3f8amVPK6a3BmHm7SCZuTcgfO9N3tiEjirNGIubrcyIGZ7WeVUZRGrUJY+jMJwz0 L8XFj2JsWAJyNZoxSQItptiSMK2z8oas49B/iejdGoBoheX+92eF6SNqcbZbfMPvM+jS04oBOK76 xmofg7tbGwySq/hhHBtzYLalCrPNebWgw5MAGSax9fLeqK33qbiAxTVOvmkrO6KT0jpUR39empi1 LWVXTHOvdC+PireT0gdL1MkFnZgWK/W1+t+OhiOOUP9Muqa5PhcZThLOerhn+WHzcufwKLtVPvbM Ba2JJSUYhK2M2XcimPd2PE/rFyC/DZE10CIVFrlmAqCtFLu/slV9Q0AEU8huTpA2nl0OukyQoVaw NvknUHtieKYpQzpPmsb9y3t8aH2667aBcAdSjOEhlOV9PduYzFpHNvJDFthC+Blxmjr0x8apFZbo bYtEylkIYptj++xtiJH+wRvyE/w9nsHNBvFkk7+Q4oQ9Oj8GkMlD8miKCb2nF7HzBhcYEMGv+j4W 2M7dAxndHjqGtQii3U0B7Jmvq4VshtMy+iO2c31MXwEk09CmLNGNR4texRmMCYsx6l+zjAm1QKUk 6hdwzt35PrMXQBPUOCdt7J7du5uNewxBsWlxy13tC90atHtMdMdqt3JIerNbMnTPyZyhlQbHfZ/z vpWUoTJmn8zGlpjP/HqK/KDoG1Swke1f5b9+eZ+Q/5zCiwwgCQi/Ab1qTBjQdDdSDasiWdC5zI4A uj8MYDTRVUmISipvxHO190BvcvYY+HfirIIUfSZ0hS4hBlTawWO5GPnoIwztTUjXidRZLhXmBgJp vyDzZwlXeDbde3ygZALtnXX6N7NBf6BWWdQ/jhDQqEerWbzkUgxQdLCzccJ7E0Fi9FbSZdR23jXV nZJLpbLEbcvZEO7XoaBVmnz0vY7Vbwv4UpBPnWQToarGEHMemQtePCPnxD0c/iJD+yAZC1OUoxdi QrSrXfVjS68vTGop7nTQ9vb5UeL36GWar8DH/SAPiK8Mw3Um0QKqNDuQ20TlXRA80xTZCEZFI6K1 Ll7mVKCE1ox9POXno9cUQ3UxWsqt9CkL4JkvXnL424iPEQ5v+M6XKL8SZDreafO/UGT9gD29oCWf y8PYTaoo9V9RikY6sBHb5tEpAzmkWWr797K0EgFp2LBQMGoobCj6mpMx5FOuSypa7+T/fmo1avdS FeeOS3MQjOEOgNVl56qVIFBRdiIQRCV5poFgaNlAnjh2aF74RLwmMXzvfAqOvGFta3YeNJIfgBfA ThExU83rqXqicT7/H422DzJzJ1og/9M7xPSMeve73oPiOHZkoEcRhaCk439AuOY7pavF9ZJ8piwI UPkHRxtyzKEONig+qLFa7mLBrHJfINsaSFygQVMMxpL5MAJuyAYUTGGhKVb7GvJ9RHWURtV42LjX qp5QfFy+p4cl/jz69PG7Xs7+ztJSIjDjqTBpjuXIHBZllG2u20GasKMuymLqtTVKpx1vqOVrRzLA rnDSVSXjDm0xwdWI46UOMwFz3aC9OEHxoPl6un44lkWt2XBYw9Ye+tly0YLaKHixc0U4XZHiTraa HnLk3OE5eB00/q+hie14wTEDrT0ksEmDZi+Z0kTQIP5dkbjmBvj5iYdfhN/IEMfFUVg+81rtm6ml a4q+MvUPjvR11JcFb5iX6Ckc07vHAf4LVMHMRxvDFNckeX1WCOgE893ipuZDrq06wluDLnMnDIm1 JwElJUR2w1jp81Jlg5qeYjZHWgPxDNeSmuF60eeFHzOPs8Zve8zWre7UeSilPY6bz4fq0KrUC2Lc /d+wHupiZIRIr7auw53cyAxAjQnmA0PNxSLAz3UuAMFb7E1gLVNnoXvO+5Ln3nSdL8m1EBW6+1gI 86MjQPAGLlHPk4TBJkbbCbCZnDEAk7LiJrdbqSE4dQBEjozl+9Rjiud5D8LS3Hn3ISOLbjxTX7RF zhOghuLjBDtwR7AlqlsMfj6q3I0eE3lYcXEA12lJdF+BKqL3CJx+h0iIdTutIp9XXWO0PDiWhT0u CwaAPzC60vnn+/r3KoqFwGAGxbB2sgwhskeKDyh3sdXxTOdoP6B9Ljc4pEFBEjFO3afgquugWxQw 7uAYG67zo+DqYVFOX78aTgr+3t2LO0ptV7v1WvscURi+4+R0eR+C48pZ/GJD+hj+thzj31Tv6ynJ Oev2RyyBG0GweZCZ3LWANiZ+ZR2AGQf8ImAxaFu7mJh3RFsdw97WzXFUWJ6jSs/7dF/tVjtm3EdV iy6LRc5hGeFlExe2llFL0d0ugh2EAS5LzJJXDBebO5wlETdXDywAIVJ2ZUJIlUtqanypIiqNWmbA VTq//dBKdUQFY8P2xS9zAW1DvDlwlvF2ceaMVfolbec7hLpOoato8bTn+o6miDkI44WiLlY+DtX6 Rg4AbVvayCLqF3K5woGGb+TAAxgPDsnTpYtHxy0kERwYGeCpthnZW0oqYaLP5PnqGrEXIGJp3ysr vkSUx79bxPZ3E21wiw1G3mmX+f/3KhZXL0ZXyBq+bdJmxBNE+LNLes9XlQe5Z9Vtj0sk2UmPENe5 pOzQHwNMV1ONhQ+r8M3licVa7ycdGEdFIz0JHkDQnLOg7kodNckmeM34gDfqZkUPqEFDRhVPEP+9 YN7eCq5GmviIivi7r2pQoiqAFc1VMCJsYNzxnSAV5TvjW2WhkXRRJCt5If2vfMi1tfG240ke5hiQ Gb2gtubhfgnwLHmJsLXvKYwjCqSChG12m6JV9RnlRhA7DQ8yb438qsMqLK105PHomVUGrb95XfVK jQ4mdcRNvvrvcuhDxTodsHEDaLBF6PiJ25Dv5cDWFEIuwesOP+hGAKUIrlG0TCofj+S+D93M2i+Q ihgzVzN1DLEbrKxNeEBkQqI11GB5GXhOY+UAM7sv+NdJLL4UBErBYBveFmwucME90FuuAWrdS23i /PCHpqq0KIlY3/kUv9lPmMGPhh9tR7AvVKEpFmxud+ohm4dyG0a7T85muelDly9HoQwid/EMCYc4 sdvEbarUC1IUzG+6NRR3ZnV1fy5gJbp8xw46v27lQZCjAdGm/GfGobpaN9acCut7kz0UkXaZhZzT 1PqomSDbjZNNA2Z/89B+Wdy7gLumWxc4cllUno/SfNJZOoduvEXz+7A5mXgmgSyb32EaA9ggUfdA PvseAU96yG4XFpf6Y97j+BXnwszbS/TkplK8+M1PGGVsnumH/0xDi8gUY2T85oGfMSqkjaewzHn/ YZXYoOdwX6qFZMaA2huT35EGNQwUwkOgpfe05su43jrLznlhUq9oIWUwipfJADUJ555gvG8smHci gopG9nfB2atVa3rpMWdX46wVoiEZh0bb2r1C0bK46CXCe7xfaIbN26smsErrUSD3w0aTJOuk9dRw W+c4MN2HxSuWu/d4Mrqv6V7UqZdv3dYCeqPTPn0rn1OG/fMhGXpWkkhHu8DnJ6N++dbRb60thDUB kX7UEeADj+W2PI4MC/hX7qg139Fi5h0F1r09z3SLSjEHtp60ykJ8D9sWXsY1NTHhvx8eZvDRWVk0 kFHXP4O0OOZvl4ee5f/ymeNEXubbKPq5amDIhzXXuVRH7xBoubZ8gJjVb5wn39sbOOwsD69r7f0R eEUPPyRVPEH9VtGSC4MznJZQgpTHoPA9PAJJtQMxzaSvGGy81q4EAO8vWagVQBk+YcdSO+KwdfGb CHJ+hCJExMlwLs+e66B/Q0Vmv3WG2tWkfzqfU/C0SxgOaQ0OsXoJ1vnteilPLDx85Nzr62x5X0DI +b+NCcKVbhbDI0sg2g4tf2emiTcbxqxDr1Qf/i+giYb3al2Jj5kil1ppjzY9tNXtEFWliDGJ1bNM T6HirUcxwbtCFhqTSQhSXVnbddi2Fu/DsBnlf+uDQrvm3Mj7EQRubWj2RudEGp497pnoughGvH1m 7oUb6LfX0SS0x12euXhAbzkkcfS+caHcSSj38Mb+HUNqlS/+VyunTNHqTVt3zQ7zDZBH7jhuEa8V ZiFGq96daS5LNPntj1YsLszVPUdHkzbq3YdTYySGYJzT+giY195ubT2XireW7t5D4okfSIM6UcFK 0/X4azuvzemVGw+AkHWaULNWKOqYPwGXtNr/wV5DBEhFoWEvHXX1ACuRigPS/CM1Zu1whnj7FESe tWpMN72oAHpXJktbjj8zNuRNjz2kLdFMpQHk0t8Ttu6PjhE/0gaHotIv/SkXE3kRGAnNqhrmsdNf 92I7jy+se7NH6XjejCR49eiwQ0OtYoU5tiqDwrrXKfTBovS0zwVV+IZbryFXmL931VnMccwNGUZX DngyxxXYSDV3x8mxTdSGhzBlEsa4jiyxCaQQE7KMRsWPL4Yu/qVob6LatbHxhZowmJV538SmmqRy 8g/oDuEIA4OrxbpjArjLxR6XdJGG9ji8K3po8F2AfwjiMycCZemIhlUdfl9uOuXx9kxb9YxZi0b8 GJZE0S6M0mricYu9LoQ/G1X0DtWdJjjmO1i0Kd3WjbTLyRYMoFq7roAAbSnPTxxp7vWx2w6eOS+i XKvOrPEJEiFSYHqwidlxvnP4F4ZZ3NDuTsC46FlHV7Wnm1o7LeI5s4XfTuzpZCO3MVMc5cnOZKZm bBb6DnbE+eUWbXqD0d1+twTJPgXURkf4TU0tvwrFmmTwkN8PF3cyzN9O0jSBGfijoRvjZfdFJ+Ni CBynYLYZpiEWu4oSuYjHhiL3oRYMEdQSRFT9pOPeLp2yDbiO6nAptRph3NpxUMNLDWetUDW5wgks w0ECOY2/6nkRmazytMG1Ab8cerz4fzvMYfq26azHwXIntIXIL/d8d+Md/EfUo2vv+0uU79sOmQCC j5WeTMYmiCirZMnZEuV9VpVwjrusuuh7SnGotzX5waGiDC2k9zV6283HuN4MDHGzjc4zI7ZZRnVy etRhwUJF0SO4LPAnUjuJVDA97Cm6qP4rAwOAht5aNwGYW8+srEyDsuzrlR+XdJVx6ffSo5UOJVr4 a0uv5u8VQdzE5pZpxWv2KM85YL4rGgROXNfLcPMCo7v2yfe4e76Ct3xfxMJjGtN4OPduhGlXgyqh XZ+HBW0xjbAoIUf1srtFlZLEu7rgJhV3+uBDjHVlzm/JPBUWPcX5LcACbZPGisRfS5GHjBA0cHTa BU7a9WaxjQ+3aeIp934wsjY6JRWP0mimVTQhZGGK/DIv9YzSh8iGfUwdoNFv3QGMuKbOEGIpbJT7 hSj7HPKLByx8ru09dMDgbSXbeGudHVoZqaTtpeIrq39hDZwlNIlj7QNVm687RqfDfb1yF+1VHw8c B0jnmn49lGUrx0lXcZQf88aVhpinLQ419gLzD+ETsOJ8O0mDuflQ+cyhaOcn69JNAA039ic76H1r RxZTsrv+0wKLag6vynjuDrxes5WX416O76rC1mNNfjFtXCmd2FcC15fFIW2//WwgL56dI2AhpMkd +iwidtdFtNrIeaCZuLKaqsr3v6rkHjJCyrqMsl+7piWvwYil9d3kvKzZgY9MK4tyHHlhZTG4AFyR lVMVSK6aefVWR/WO2OVUTD3D078SU5XiWe4M0NG/QN2hTIDPjicYvrqoFfVf/zTW4hc57Jk83TLx oSSITqLBSnLIV3viCot/rehmwLXd0sSXHWSnvxMdAZ5tVA6GmkFzmzLUCJHl/Ip8l4KhtW9mjFJi EsojqB9PgHUdHpZCdhHWLncA1+f62+5SGD+o+La/c1LEGxnF30IervNyV0FrUFvmacTvy8hDVCic V9jhVMQvPWjC+BGcoHhIDQHSI9ITqzsc97YTH6WB4Jm/b6GQ3xGI7V8Ro1k6efc1hpZEDJyjG6fH RqSbb7T5WGmmVbN3jtbZTGaGinDfXy2RH8UmGgVOavk6GmqUfb4gUH7/PFYPoE7F8N9eE5hBZ6mJ KZEn+O2/fZTZxA/RBNd5VrC7Gy9aZF/QqMtQjmw2Auiy+dtaxSnHQwyxVsslvlIQixMR+zTKbTmv +/i6SsGM2AficHCT4EkYQSoJXyklSMHZ6qdcHY+B8gMeUqPCRRt5kJb3eTq8X9Okn4rK/GL3+L52 B8Jp7T6AciqkIZbfvSGX2YADvXOmlT1QngiOoXxB9U18gS96hDI13HPTkz0NjVegFXE21ekt5/CN JiWkyFYoIelUifMJRJMLAY4oZpFVCR1GhI58djq2GjgNKUCBGtUijujDhgs2SgUJlZKfVlEZmqu7 ubcmGn/W5HKXvIlpJaEDSQqmYrNLMUidwqEEGVufGwlaFErSTFyDvVNpD6lUvmPkD9nnpyYukUEN BwimUcjhNpKA4IhBKGawMvNFpeAzpBq2DCpr7t5NN1SCSqv0QzyhvLnZOAAltJTHruoFqYC5+Nky scgVMOBUzcoRqbOXycFg8vyUF0tevLiZkIFq1vQ/hdSoYYwBOMJ4H2Md2+hVUYhPRM8K2rtBaOcA fJ+kEo3jwRy5+QN0HuwGHaWiEqkdPzIk3tLlYZM6lVejZP0egS0VOUwaS6p17WgT/pYx8Tty12Ge 2JKaRTKFXkXdmWP6jsrC97BlS87HAjugQJEiGcJnF8JcuxunzscP4XRiv1gPvL10pmX1rjorPPnk As/zoRNW6bv5Y9GdUZFwExkeHzKEY1axFGE8Zbxme4oky5n4ciJ1CDOTEieUUW+tu/W2QCh7ysLi cl1rFOQxnU2a3h2xV9Kvoy+ENwCR/+jsyKzzdC9psKduoMWhnPxVT2T7QKz+Ie2yJft3PYfhvFHU jjisZwgJ7GCUbfZvX4WPDpv+wQKJGhHY4y6O/CIZFW+ayZ2ROmTMwJM43tAkT9wr5bxE1usKf4Tz gaBgs4QDKq7NfBQQ9F2hzPNOZe+zo4Kc16FYjVcW8F+sVCmw5z5YLiN7sMKSI/sJFT3X4MuQt6By NmBdoNxMjhnJAS4+ffCdp+BlMPC1gQyV4hDFxIy5P80pIguijvHQS2ZRiWt0K+DLuZ3Eo8CjCFgN AcslcZySg2ZwhP9hD5a6RjBDCNJAzOXMiRoKDlbj+UfqTeFO6jgDGzH71sQT0hBHiPbUe3v7yvey r43PG38FzbRw4W4A+lKxp5gJKudojjqsJ4bq+4PTw/EPr1gkPyjKfbL6BnvXvYi0GXnt33Chl35I z8SBhB6btAiIBd6tHaOdR8HBHwdQQE2b2Kw3kxwKXVTOHzcMjr1aKL/fde7C3bqbpiG59yQIJDny rXJkbZumDQAwHHEfyuAsl9/H98Qky0xjuN4WOEA59fECCdQ1AtVMX8OmEtKsbSvVG1/6WGPsB0hI LQOG6t1+hV+hSIjE0LyvqelXCDAuBZm4P2Gq832AKTpxsnuPAX7eAezsolFJIf1ZkxaO+RwEiici kYnJfoh4o5uLjtdJ4dOdbwcSNdOh5ledvo1rydnRQifgS8dg18bGah9uWehNkjpkCENTdUhWMj9a XSP2nZJ5iLFqyLNNjnq6wPyQsy6eIXFt04XmPWcm9+l7VhhEUTvmX5yHwokMFzyjf4KErstg//3t ejxNCwotBVE9RNDBXtBNji/1XfqrQDhXgcFJZA6ySgA6SGqp3MVTUprVQt4t19yutWr8ZvK9wuPm 7WHASVKWmLconviyQy0BKr8vYn6sOGpj/ulYJ4TT3Cfb/E58WJlDPFU4BjJioL7JZ6QKqfzIJGva bgNKIeiLW6F3uuI6xGKpMcCoIjkTBnRwEpU6GfI7U2aFed2Z8tjZ3IDnDPfjeBwCtMKijw62ybFB EIhYX73F/90UE9atCyaoaOcfzL0PDNEmjcAi7KbxU0Cr071P8/pKswE0AcGyI+Ls9wbLAl7w7NvL D3fg9gLIBbZTGRD55gIWOHZoULZFD5LEVgYJnhnRE+zILf10wa8prKuRzRCbuzNSmm9Vs38lmztd 5QUT3fLnAYjgJLoxw3UtuxskAnVFbUS67Cc+tHyVnlsbyWUWw3QQHvlsT49E5Lb6B8D5QpetJixn +wTs1HQmOZUP2W1js8uRUqPwuHmEgreF8RvYCb8dp1SAH0ryWl35MhFBzcCfLjc2ApSqwlxPapLM nqLfV0bC5b5D6R5g+19xX3DeFs/xik3+H9CpIDu9BsMmCLqLRRGHXAWRZcHeyX/hpfFTe9O3QGUV KzBi9nfhCTrJ1AW7hyGcxTzBosCr17rHcbpVZTB5+jdP9JYCI5D81PDtbYKgFSPDzqp2IV5vB6ds U3wGO1rkJhYn5wHZRXyfbyRpGXOfRzWSGR39GuWexRDEQIBWj/Mtix4GlblCQUwjXfBjVlbBhrrE yWteWravr6YEhPTJ1kDux1NTsEaEZbZPj4+NigrqXFwah9Ah/xjEVUMZm10R00zTpbJ6OG5QThRu sUIt+krru/SDIokLGGJhHbpuaeA3gzdMV2wSa24YSU57kfYoZkcjJtrFkyk3Z32++8M1rXL2vOy8 k+ImH+cAfXDteqklwkqlQ4XfDmxEmKfv5sysafvCodzu97resoNNTxOG6rcN+8s2pUUU5plTEGVR T07o3NCJCddcyKpJZRFrruM1YyG1yTtWoaxnngV8iM7hu+B9LNEj0aDm03gT5sraKZjEfWDoJv6d 7hT3Eq+NisqnSn/C95FlfIMda7wY2kLKrcM5re8n3QaSCfTQ71L64N3i0UkTuMC7I2y+mU/wgr0A 93V89ydO71QHjjZIKvXOPxcP9F1DyUq/FBLb+gOlr17C+RGQlUGg3kEzIr9XJTpkNVRPwNmkfJjJ t6V3S+hsu4aMbITmq/cCa7q0L+hkyUfmW+HtwOG1pDR+uaIICtcs/Yh9JkeNJsCE0IkTCZPdrYK0 +lcmlLD9iajgelJeR8oKU3xA2dHcphdXcapPhY1dRi6GvcgADcPkkAw7uzGb5iG3HgcPlnx9kyJl 6bQTYIQIPE4BnwljpcRwpAQ9bbnMgSMvYvtoxHV9so5vgdmelIR5YREhWWM= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a72d+j/QkZDkv8eDO5pA9BEngzdbQGQHhuxY2nDNAEhnIoRf0SgDQdLQUGAjqTT/LgQ/F0Cs+16w c1P7TVYYIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l/1IXczTwKQBVElHEsh+Zvo7KblkXaJxo8UlygCcRw3ZY3tWBi5BiOQVA9yqXhof3R4G5ULkurKn 46GuEoIAY3OUXtbKoFE/fg9ET9kDVkPf1jISgRyi7rAeyld9i/Cbc18E0R0zSIcXYm0KkBSXRMxF 9wuwdCtkYN244/gGxpo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l2DcCPLVR8IEoJU3RMy+SjLkstHNjHjhsTPyvJyG55CosrmHYPJF1hv5Q2QL/s+a8qVVv/FshWre ZhgfOLKwFsPfAotMElv4nD87vdWuiTfoT02uJ3qo+dMgqdK1NTGcrQz44sHgvLPLWzKe7hlF6k6o Rvf27QkEmCc2RIz/44tOQ/UppDCnrsrSVyntb+a+7BW1A9KnQCNSmFmxGUvHpVhP12cJTZtxVJbJ Gqk5MrAj6sMn7uGnVNgQNANDDB+DZgaG/rX6HKpInZYt8BzBk6ItkozY9t3z7oLm8gSHD9yIsJBO 9AMq0Li5R/GGkBoyk8LpfwkWqntHfUWm0bnNZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DjQz1cDtlzfTydRyrY+CZqTPGwZEHHaCGldw1iTUBh5QfXaAiR1uKl2S9PniOeC/cvZgRRDpmcaN zNVXhjOeHU3dnRVJvgmKq3SSiJA7PuJ5iq48dVkI+PqLpzJqKub/4QXlIW2D3K0jO9cEZznt4pz4 zGK6KgjZ7aPbC7aR/LU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cCj1sFiXTorUE6cijFjReKgoLQpZZhe3m8TlSV9f5LlFUKu+l0WXSJg/leWTQZO2OtLniO0g6v1X Q3rtBRwm8GhMcNcwV8oh3DFFtIhPAWIx8pc1IWS1flBcINGtXYVzaV9N47/Rfvj7bD/mJLL+3Y8M w37oj3YyxkZJ4Qw01CkXNmjP9Jdaf9l8rl9mPrRqfpwkMT2Wu3jRZhr19CZISAVqAU1dUMwyXjha uuLdqvOh9S6lQlkmMyjmEIKbqZUMj9jqGdqIEgihmrcRH4TEim8XRv6avaaNKbCDz4oCy/pt1FkK 6Op5z2R8h8lf36/aCuM+cJu5Sxegm18sIBuy1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block VvIw3u4z644M9oCnXrN11AFyy7KcxZsZdyLbnBx3LqVMsNcmmsh1iowZ5ub3Cw5awQgWRQ7wnJ1Z BFJWLXjtihrCL6nd2ENzmEtmUjzQroqjkhWIhGczCePlbDZGh+xle1VT1ImwLIHiJX2U7Vg1xQOz rxEV+8WYJtP7X80ztNSsy8Z+wRQnNeNHz2UBN08bcEd8nr0bVgWmJNpOGVz6/MihqxlHNXdZuS35 iiiCwUClBpNi/pVXiEyw00gmNhN/pys+TsAZJ0hVVwH1tdgqXQPQ2q0mPdIjOhQ3CGb4FtveZq0C d7qo04frJZMQ8OoaCLzu6EyWNQUjqAJUw8nPEMG39SHNyK7PRLdEEXg+AoLmHY9WaVGf/FgZppDK cpwuLLRukVN7yyJkZ1Fgh+ixcPoaiKjebdS9mlZvXKyquMxa9EbvJllsgghk0KzVjfLD37ERzOJf PMMFKyP1rRONhUl3Mf4K1AkEnlHOCnvyFAtC4QyQ05E9vVbM8lssPnZAr/Q565IavqgcrY2PMiWO 0ZKwMa0SYxeYyy7uKRpQDb1KOVFlMws2F15F6CTAloUWRCkKXDOoJO0SBh/+3j2wbUXuo5CVptD3 3CE07EcuAUYcMPIiPFY9roF4w7fDPbxtTbJBqvxREEudd64w4sUm3sES1SkRmrIi7CPLz+uFjDD4 NeFssnvqQFHxHcmYaNjzy8iWzpZD2iFoNZ49VKTFeSyDAJSRfUGfsFDNYwYezryThNx0z76hZ9iE brpEMtwuYy4fVBgcJ6+aH0tdeOFAH5VirQ7QcLoz6QyCR54lLpuJeOBVuh47gZkuuxA4+73AlNId fnOh0idbguclxHVzLsAMzCFhfnT11FlcIIyDrE9mWXj8O9YPM0RwpM5MIBlUv+GVV4ysOysyy1qF ItOTnYFJLc/EzAyY+EkGY9XNRvFAGf7oZexCjVwPbYhbs4fLgSP/nxY7W9oIaX+qrjKD8JCbL8cD D64ky7gssk1VxWSQjCrRxhcejYw6annUtLojDB0wcXseo7/XxOTBCOkFDxGl2U/EUYq2Yz3d1J5f n417zhS7PfyHauWQoInrcMP4KRQpw0/L7Z2VA6dW/hP+Udnfr/z9gADglJtO9UfNF5cswhUJWT6M Ye5ZhDFUxjGY50hciJqGLQnRGsOrAEYiqlfg2A5/G7hPhVht5oRFh57vNv0hkskWrbVqX9u5M2+l EvhwbLktgYFLRaqoevI96gklToiO7MK7ML/O0xKR0x0WcNWXbxxiYiVWVJKDpDqBNbswQaqceRnw +puj7OKCkNGrRFXNoIIsk/DZEXCmuqR/WWcFTU5pqsQdYFdgcCEXoWSVMdXFQlX/wTq6MR/08eqr gByMfU6PjsTm68/P7E35DKerC/blX12tRARkYueL2WOHRG13rLwXJczEJXq6IAfgmyDCCK2X+LNX nrvVHfn9YXP8k/VbQUddXxUQLVDteu4/zhd+CMk6MDP25N4kKP+Nog9UMYUw325D0jQcGDKlwJzv g022IhaCQP0s4ApZb/heP+xgPFS8kxCpIVxbuKd8o3EaotsZAl/u9ZLQ2L34wCpj7OPuN6RiqXMT 8N4+ZvMdoSvjNsB2doffrv3wdFqrPaIXVPJQmDxTeLq4yHYVSbw/L3HE+8KmBdg/1/vazPyEnJQ7 /f+jKFWf+KjSg58K/3hzm3dzCoy07ImxveO8jEUGdgi4Q6nM/i0tqwnjeGU+JofpGMn7OPlLIXty NJON3yzqTXwgiwvC+n71brGm+qBTAfRhaSq/+kOJDTPx9QHPL5YCztXaWrkyvzdzAsf7K0sTIT9N Tvs3IEXhIX6T/848IqCj+MDQpL/UVNVt3lwOq9JxvaEyx7pOU9QOdIlXaSa/g3SdBB/OE9D0eV/L Z2Szowo0HksKj+mCRpOykmfT9FkiPWaGqUx6akGQC2PagVlFDTiQhInp8OSWr7bNKPdKIfkXF+Nd w3FyYWhvo9uNJle/FAr9aP4maR7ZFYyCw17BpRjR1O23C+IAcmbrpBgxn6+73a9nnnvN/TVYCVtM 4DBBnzpVLdp+GkEY9l6HSxBBxFoSVeGm5vwKG0o1RthKPoRYsWRqH0dany7GCT9XfLp+N9ZSwVLL DbQuoR471RYcxMl7bglAhI2NUEOdl9kRfPYNffO/b+ga3bSGZ5eYzOJiIUKmYIma+s6bLKXvuqEk ol/6uCGXDx//Up3DGB+cSbLWti2WR3ux2z6pt1JaAzvU/PJyN3+JsIBFqZWA0eUIckJeYbvruUiF to4ScJOuwhHpNc84GtiUB/S1YShXMYaakQDJ1AduMQaWsg3ayh5LkRoeoSg0cJ4QLCef0l+ghfCo /22Gr2/oJwb/wu9YTAMa1SppOK2z2L6xJAd8aiJqsT/XEtXYHZ245FPifP5zM83uYCeAsNyZpeIQ wLE727z1YCIl6RtnIttA5hXTqNTcVWUVf4nc9k3mfBqu2SaqfdNUaCMZzB8ewkSNhLvIxy52UPtb oT9/8J9yNwkl+Q8wOBivRj7WJQIe+qVq3XVeoda8ja4BYpzLmGjz4Uj+Gx7Y84+Yr5nHLaLC0I4M fzhKgMOJJptowhnXroSskYF+LuYHefJdJt2O3XjObVo/FUOwMPKrwHuaOr8ge8f57lcgxue+oc11 Z44qy+5s6NNJsKzo9xp2Z8CisYzvWPmj9eVqmADF6mR7YAkgS3poHJjXf51NRwtKk8fGbHrpZDA2 nJZP7eldtKw3Sq4d1hKlm9HmEdf0nUgq/sYgUYSsqFoy8EsiLZi/gylnsFRGgKAPyYD+jUASNUAb go95eu7YOq+PYVV4fpenUsh9VG4wnPrR6QbEco5O03Bmkk7nh7hyJbydRtcEUCRUcrpafh7+JWOW bx/jAVIqgx8lePe7aPhWTivdXv3aR2OiUQIzi2mYlwHtufp1Q5yqtozMcmMuA5UtpdXy11NqGtLh fw6ojztUOszKeb3km6lv7DO/UlQ658dL+25fsB8f0SVH93XqawREwAwQ+Y9Q8547SAVRMRmRIq9G lSejmC85f/CQhUpyMiPvHt0alea1RzEic1Z4UeS3HCDTp0yIX3yxnGwz8Fsv8cHeV11bavAo55lx MeXH/tKGHEDL9KLEvPV1+zLLXY0Xd9NqHdnW95e4+gnmYWPWqHbIDtAgq6a1luU8bKCFGj9UYZ1z BMyXBGz5jHW19rRIPSr92tjNbc+RHGJAu/ZO2TdDX7Blb8OvAt4nbXGD9qojkZkUjV5vF6+RSBQG qWk7RgIGsgfnT4HYWYtHkxhpM/ZHgiPrfzg85ZQwTUqEEo24pxcHEhUBEXiQphfgilE56FKaDk0y X41mZraWVnfpSYYJLwfScx+mDSC4zKxA9Q3sp/pXVplnN81R3+5rp7H4GgofIu+0DbIdeAFhC7In xXOHlok2y9IVD6QnxZhVnX9EfV/AhB+4QQvwwSdlZR5BtitKeDilmRmX56ESHDOcJUCkVe5srkqw J+gPKG0Q+24zbyPjzKrJWOWYPV3Woyue4bWlHZmbrrwueleTzIjUmILjd84SQ/JqT0YElyMRwcvO kPrnGVXd9L9c3f8amVPK6a3BmHm7SCZuTcgfO9N3tiEjirNGIubrcyIGZ7WeVUZRGrUJY+jMJwz0 L8XFj2JsWAJyNZoxSQItptiSMK2z8oas49B/iejdGoBoheX+92eF6SNqcbZbfMPvM+jS04oBOK76 xmofg7tbGwySq/hhHBtzYLalCrPNebWgw5MAGSax9fLeqK33qbiAxTVOvmkrO6KT0jpUR39empi1 LWVXTHOvdC+PireT0gdL1MkFnZgWK/W1+t+OhiOOUP9Muqa5PhcZThLOerhn+WHzcufwKLtVPvbM Ba2JJSUYhK2M2XcimPd2PE/rFyC/DZE10CIVFrlmAqCtFLu/slV9Q0AEU8huTpA2nl0OukyQoVaw NvknUHtieKYpQzpPmsb9y3t8aH2667aBcAdSjOEhlOV9PduYzFpHNvJDFthC+Blxmjr0x8apFZbo bYtEylkIYptj++xtiJH+wRvyE/w9nsHNBvFkk7+Q4oQ9Oj8GkMlD8miKCb2nF7HzBhcYEMGv+j4W 2M7dAxndHjqGtQii3U0B7Jmvq4VshtMy+iO2c31MXwEk09CmLNGNR4texRmMCYsx6l+zjAm1QKUk 6hdwzt35PrMXQBPUOCdt7J7du5uNewxBsWlxy13tC90atHtMdMdqt3JIerNbMnTPyZyhlQbHfZ/z vpWUoTJmn8zGlpjP/HqK/KDoG1Swke1f5b9+eZ+Q/5zCiwwgCQi/Ab1qTBjQdDdSDasiWdC5zI4A uj8MYDTRVUmISipvxHO190BvcvYY+HfirIIUfSZ0hS4hBlTawWO5GPnoIwztTUjXidRZLhXmBgJp vyDzZwlXeDbde3ygZALtnXX6N7NBf6BWWdQ/jhDQqEerWbzkUgxQdLCzccJ7E0Fi9FbSZdR23jXV nZJLpbLEbcvZEO7XoaBVmnz0vY7Vbwv4UpBPnWQToarGEHMemQtePCPnxD0c/iJD+yAZC1OUoxdi QrSrXfVjS68vTGop7nTQ9vb5UeL36GWar8DH/SAPiK8Mw3Um0QKqNDuQ20TlXRA80xTZCEZFI6K1 Ll7mVKCE1ox9POXno9cUQ3UxWsqt9CkL4JkvXnL424iPEQ5v+M6XKL8SZDreafO/UGT9gD29oCWf y8PYTaoo9V9RikY6sBHb5tEpAzmkWWr797K0EgFp2LBQMGoobCj6mpMx5FOuSypa7+T/fmo1avdS FeeOS3MQjOEOgNVl56qVIFBRdiIQRCV5poFgaNlAnjh2aF74RLwmMXzvfAqOvGFta3YeNJIfgBfA ThExU83rqXqicT7/H422DzJzJ1og/9M7xPSMeve73oPiOHZkoEcRhaCk439AuOY7pavF9ZJ8piwI UPkHRxtyzKEONig+qLFa7mLBrHJfINsaSFygQVMMxpL5MAJuyAYUTGGhKVb7GvJ9RHWURtV42LjX qp5QfFy+p4cl/jz69PG7Xs7+ztJSIjDjqTBpjuXIHBZllG2u20GasKMuymLqtTVKpx1vqOVrRzLA rnDSVSXjDm0xwdWI46UOMwFz3aC9OEHxoPl6un44lkWt2XBYw9Ye+tly0YLaKHixc0U4XZHiTraa HnLk3OE5eB00/q+hie14wTEDrT0ksEmDZi+Z0kTQIP5dkbjmBvj5iYdfhN/IEMfFUVg+81rtm6ml a4q+MvUPjvR11JcFb5iX6Ckc07vHAf4LVMHMRxvDFNckeX1WCOgE893ipuZDrq06wluDLnMnDIm1 JwElJUR2w1jp81Jlg5qeYjZHWgPxDNeSmuF60eeFHzOPs8Zve8zWre7UeSilPY6bz4fq0KrUC2Lc /d+wHupiZIRIr7auw53cyAxAjQnmA0PNxSLAz3UuAMFb7E1gLVNnoXvO+5Ln3nSdL8m1EBW6+1gI 86MjQPAGLlHPk4TBJkbbCbCZnDEAk7LiJrdbqSE4dQBEjozl+9Rjiud5D8LS3Hn3ISOLbjxTX7RF zhOghuLjBDtwR7AlqlsMfj6q3I0eE3lYcXEA12lJdF+BKqL3CJx+h0iIdTutIp9XXWO0PDiWhT0u CwaAPzC60vnn+/r3KoqFwGAGxbB2sgwhskeKDyh3sdXxTOdoP6B9Ljc4pEFBEjFO3afgquugWxQw 7uAYG67zo+DqYVFOX78aTgr+3t2LO0ptV7v1WvscURi+4+R0eR+C48pZ/GJD+hj+thzj31Tv6ynJ Oev2RyyBG0GweZCZ3LWANiZ+ZR2AGQf8ImAxaFu7mJh3RFsdw97WzXFUWJ6jSs/7dF/tVjtm3EdV iy6LRc5hGeFlExe2llFL0d0ugh2EAS5LzJJXDBebO5wlETdXDywAIVJ2ZUJIlUtqanypIiqNWmbA VTq//dBKdUQFY8P2xS9zAW1DvDlwlvF2ceaMVfolbec7hLpOoato8bTn+o6miDkI44WiLlY+DtX6 Rg4AbVvayCLqF3K5woGGb+TAAxgPDsnTpYtHxy0kERwYGeCpthnZW0oqYaLP5PnqGrEXIGJp3ysr vkSUx79bxPZ3E21wiw1G3mmX+f/3KhZXL0ZXyBq+bdJmxBNE+LNLes9XlQe5Z9Vtj0sk2UmPENe5 pOzQHwNMV1ONhQ+r8M3licVa7ycdGEdFIz0JHkDQnLOg7kodNckmeM34gDfqZkUPqEFDRhVPEP+9 YN7eCq5GmviIivi7r2pQoiqAFc1VMCJsYNzxnSAV5TvjW2WhkXRRJCt5If2vfMi1tfG240ke5hiQ Gb2gtubhfgnwLHmJsLXvKYwjCqSChG12m6JV9RnlRhA7DQ8yb438qsMqLK105PHomVUGrb95XfVK jQ4mdcRNvvrvcuhDxTodsHEDaLBF6PiJ25Dv5cDWFEIuwesOP+hGAKUIrlG0TCofj+S+D93M2i+Q ihgzVzN1DLEbrKxNeEBkQqI11GB5GXhOY+UAM7sv+NdJLL4UBErBYBveFmwucME90FuuAWrdS23i /PCHpqq0KIlY3/kUv9lPmMGPhh9tR7AvVKEpFmxud+ohm4dyG0a7T85muelDly9HoQwid/EMCYc4 sdvEbarUC1IUzG+6NRR3ZnV1fy5gJbp8xw46v27lQZCjAdGm/GfGobpaN9acCut7kz0UkXaZhZzT 1PqomSDbjZNNA2Z/89B+Wdy7gLumWxc4cllUno/SfNJZOoduvEXz+7A5mXgmgSyb32EaA9ggUfdA PvseAU96yG4XFpf6Y97j+BXnwszbS/TkplK8+M1PGGVsnumH/0xDi8gUY2T85oGfMSqkjaewzHn/ YZXYoOdwX6qFZMaA2huT35EGNQwUwkOgpfe05su43jrLznlhUq9oIWUwipfJADUJ555gvG8smHci gopG9nfB2atVa3rpMWdX46wVoiEZh0bb2r1C0bK46CXCe7xfaIbN26smsErrUSD3w0aTJOuk9dRw W+c4MN2HxSuWu/d4Mrqv6V7UqZdv3dYCeqPTPn0rn1OG/fMhGXpWkkhHu8DnJ6N++dbRb60thDUB kX7UEeADj+W2PI4MC/hX7qg139Fi5h0F1r09z3SLSjEHtp60ykJ8D9sWXsY1NTHhvx8eZvDRWVk0 kFHXP4O0OOZvl4ee5f/ymeNEXubbKPq5amDIhzXXuVRH7xBoubZ8gJjVb5wn39sbOOwsD69r7f0R eEUPPyRVPEH9VtGSC4MznJZQgpTHoPA9PAJJtQMxzaSvGGy81q4EAO8vWagVQBk+YcdSO+KwdfGb CHJ+hCJExMlwLs+e66B/Q0Vmv3WG2tWkfzqfU/C0SxgOaQ0OsXoJ1vnteilPLDx85Nzr62x5X0DI +b+NCcKVbhbDI0sg2g4tf2emiTcbxqxDr1Qf/i+giYb3al2Jj5kil1ppjzY9tNXtEFWliDGJ1bNM T6HirUcxwbtCFhqTSQhSXVnbddi2Fu/DsBnlf+uDQrvm3Mj7EQRubWj2RudEGp497pnoughGvH1m 7oUb6LfX0SS0x12euXhAbzkkcfS+caHcSSj38Mb+HUNqlS/+VyunTNHqTVt3zQ7zDZBH7jhuEa8V ZiFGq96daS5LNPntj1YsLszVPUdHkzbq3YdTYySGYJzT+giY195ubT2XireW7t5D4okfSIM6UcFK 0/X4azuvzemVGw+AkHWaULNWKOqYPwGXtNr/wV5DBEhFoWEvHXX1ACuRigPS/CM1Zu1whnj7FESe tWpMN72oAHpXJktbjj8zNuRNjz2kLdFMpQHk0t8Ttu6PjhE/0gaHotIv/SkXE3kRGAnNqhrmsdNf 92I7jy+se7NH6XjejCR49eiwQ0OtYoU5tiqDwrrXKfTBovS0zwVV+IZbryFXmL931VnMccwNGUZX DngyxxXYSDV3x8mxTdSGhzBlEsa4jiyxCaQQE7KMRsWPL4Yu/qVob6LatbHxhZowmJV538SmmqRy 8g/oDuEIA4OrxbpjArjLxR6XdJGG9ji8K3po8F2AfwjiMycCZemIhlUdfl9uOuXx9kxb9YxZi0b8 GJZE0S6M0mricYu9LoQ/G1X0DtWdJjjmO1i0Kd3WjbTLyRYMoFq7roAAbSnPTxxp7vWx2w6eOS+i XKvOrPEJEiFSYHqwidlxvnP4F4ZZ3NDuTsC46FlHV7Wnm1o7LeI5s4XfTuzpZCO3MVMc5cnOZKZm bBb6DnbE+eUWbXqD0d1+twTJPgXURkf4TU0tvwrFmmTwkN8PF3cyzN9O0jSBGfijoRvjZfdFJ+Ni CBynYLYZpiEWu4oSuYjHhiL3oRYMEdQSRFT9pOPeLp2yDbiO6nAptRph3NpxUMNLDWetUDW5wgks w0ECOY2/6nkRmazytMG1Ab8cerz4fzvMYfq26azHwXIntIXIL/d8d+Md/EfUo2vv+0uU79sOmQCC j5WeTMYmiCirZMnZEuV9VpVwjrusuuh7SnGotzX5waGiDC2k9zV6283HuN4MDHGzjc4zI7ZZRnVy etRhwUJF0SO4LPAnUjuJVDA97Cm6qP4rAwOAht5aNwGYW8+srEyDsuzrlR+XdJVx6ffSo5UOJVr4 a0uv5u8VQdzE5pZpxWv2KM85YL4rGgROXNfLcPMCo7v2yfe4e76Ct3xfxMJjGtN4OPduhGlXgyqh XZ+HBW0xjbAoIUf1srtFlZLEu7rgJhV3+uBDjHVlzm/JPBUWPcX5LcACbZPGisRfS5GHjBA0cHTa BU7a9WaxjQ+3aeIp934wsjY6JRWP0mimVTQhZGGK/DIv9YzSh8iGfUwdoNFv3QGMuKbOEGIpbJT7 hSj7HPKLByx8ru09dMDgbSXbeGudHVoZqaTtpeIrq39hDZwlNIlj7QNVm687RqfDfb1yF+1VHw8c B0jnmn49lGUrx0lXcZQf88aVhpinLQ419gLzD+ETsOJ8O0mDuflQ+cyhaOcn69JNAA039ic76H1r RxZTsrv+0wKLag6vynjuDrxes5WX416O76rC1mNNfjFtXCmd2FcC15fFIW2//WwgL56dI2AhpMkd +iwidtdFtNrIeaCZuLKaqsr3v6rkHjJCyrqMsl+7piWvwYil9d3kvKzZgY9MK4tyHHlhZTG4AFyR lVMVSK6aefVWR/WO2OVUTD3D078SU5XiWe4M0NG/QN2hTIDPjicYvrqoFfVf/zTW4hc57Jk83TLx oSSITqLBSnLIV3viCot/rehmwLXd0sSXHWSnvxMdAZ5tVA6GmkFzmzLUCJHl/Ip8l4KhtW9mjFJi EsojqB9PgHUdHpZCdhHWLncA1+f62+5SGD+o+La/c1LEGxnF30IervNyV0FrUFvmacTvy8hDVCic V9jhVMQvPWjC+BGcoHhIDQHSI9ITqzsc97YTH6WB4Jm/b6GQ3xGI7V8Ro1k6efc1hpZEDJyjG6fH RqSbb7T5WGmmVbN3jtbZTGaGinDfXy2RH8UmGgVOavk6GmqUfb4gUH7/PFYPoE7F8N9eE5hBZ6mJ KZEn+O2/fZTZxA/RBNd5VrC7Gy9aZF/QqMtQjmw2Auiy+dtaxSnHQwyxVsslvlIQixMR+zTKbTmv +/i6SsGM2AficHCT4EkYQSoJXyklSMHZ6qdcHY+B8gMeUqPCRRt5kJb3eTq8X9Okn4rK/GL3+L52 B8Jp7T6AciqkIZbfvSGX2YADvXOmlT1QngiOoXxB9U18gS96hDI13HPTkz0NjVegFXE21ekt5/CN JiWkyFYoIelUifMJRJMLAY4oZpFVCR1GhI58djq2GjgNKUCBGtUijujDhgs2SgUJlZKfVlEZmqu7 ubcmGn/W5HKXvIlpJaEDSQqmYrNLMUidwqEEGVufGwlaFErSTFyDvVNpD6lUvmPkD9nnpyYukUEN BwimUcjhNpKA4IhBKGawMvNFpeAzpBq2DCpr7t5NN1SCSqv0QzyhvLnZOAAltJTHruoFqYC5+Nky scgVMOBUzcoRqbOXycFg8vyUF0tevLiZkIFq1vQ/hdSoYYwBOMJ4H2Md2+hVUYhPRM8K2rtBaOcA fJ+kEo3jwRy5+QN0HuwGHaWiEqkdPzIk3tLlYZM6lVejZP0egS0VOUwaS6p17WgT/pYx8Tty12Ge 2JKaRTKFXkXdmWP6jsrC97BlS87HAjugQJEiGcJnF8JcuxunzscP4XRiv1gPvL10pmX1rjorPPnk As/zoRNW6bv5Y9GdUZFwExkeHzKEY1axFGE8Zbxme4oky5n4ciJ1CDOTEieUUW+tu/W2QCh7ysLi cl1rFOQxnU2a3h2xV9Kvoy+ENwCR/+jsyKzzdC9psKduoMWhnPxVT2T7QKz+Ie2yJft3PYfhvFHU jjisZwgJ7GCUbfZvX4WPDpv+wQKJGhHY4y6O/CIZFW+ayZ2ROmTMwJM43tAkT9wr5bxE1usKf4Tz gaBgs4QDKq7NfBQQ9F2hzPNOZe+zo4Kc16FYjVcW8F+sVCmw5z5YLiN7sMKSI/sJFT3X4MuQt6By NmBdoNxMjhnJAS4+ffCdp+BlMPC1gQyV4hDFxIy5P80pIguijvHQS2ZRiWt0K+DLuZ3Eo8CjCFgN AcslcZySg2ZwhP9hD5a6RjBDCNJAzOXMiRoKDlbj+UfqTeFO6jgDGzH71sQT0hBHiPbUe3v7yvey r43PG38FzbRw4W4A+lKxp5gJKudojjqsJ4bq+4PTw/EPr1gkPyjKfbL6BnvXvYi0GXnt33Chl35I z8SBhB6btAiIBd6tHaOdR8HBHwdQQE2b2Kw3kxwKXVTOHzcMjr1aKL/fde7C3bqbpiG59yQIJDny rXJkbZumDQAwHHEfyuAsl9/H98Qky0xjuN4WOEA59fECCdQ1AtVMX8OmEtKsbSvVG1/6WGPsB0hI LQOG6t1+hV+hSIjE0LyvqelXCDAuBZm4P2Gq832AKTpxsnuPAX7eAezsolFJIf1ZkxaO+RwEiici kYnJfoh4o5uLjtdJ4dOdbwcSNdOh5ledvo1rydnRQifgS8dg18bGah9uWehNkjpkCENTdUhWMj9a XSP2nZJ5iLFqyLNNjnq6wPyQsy6eIXFt04XmPWcm9+l7VhhEUTvmX5yHwokMFzyjf4KErstg//3t ejxNCwotBVE9RNDBXtBNji/1XfqrQDhXgcFJZA6ySgA6SGqp3MVTUprVQt4t19yutWr8ZvK9wuPm 7WHASVKWmLconviyQy0BKr8vYn6sOGpj/ulYJ4TT3Cfb/E58WJlDPFU4BjJioL7JZ6QKqfzIJGva bgNKIeiLW6F3uuI6xGKpMcCoIjkTBnRwEpU6GfI7U2aFed2Z8tjZ3IDnDPfjeBwCtMKijw62ybFB EIhYX73F/90UE9atCyaoaOcfzL0PDNEmjcAi7KbxU0Cr071P8/pKswE0AcGyI+Ls9wbLAl7w7NvL D3fg9gLIBbZTGRD55gIWOHZoULZFD5LEVgYJnhnRE+zILf10wa8prKuRzRCbuzNSmm9Vs38lmztd 5QUT3fLnAYjgJLoxw3UtuxskAnVFbUS67Cc+tHyVnlsbyWUWw3QQHvlsT49E5Lb6B8D5QpetJixn +wTs1HQmOZUP2W1js8uRUqPwuHmEgreF8RvYCb8dp1SAH0ryWl35MhFBzcCfLjc2ApSqwlxPapLM nqLfV0bC5b5D6R5g+19xX3DeFs/xik3+H9CpIDu9BsMmCLqLRRGHXAWRZcHeyX/hpfFTe9O3QGUV KzBi9nfhCTrJ1AW7hyGcxTzBosCr17rHcbpVZTB5+jdP9JYCI5D81PDtbYKgFSPDzqp2IV5vB6ds U3wGO1rkJhYn5wHZRXyfbyRpGXOfRzWSGR39GuWexRDEQIBWj/Mtix4GlblCQUwjXfBjVlbBhrrE yWteWravr6YEhPTJ1kDux1NTsEaEZbZPj4+NigrqXFwah9Ah/xjEVUMZm10R00zTpbJ6OG5QThRu sUIt+krru/SDIokLGGJhHbpuaeA3gzdMV2wSa24YSU57kfYoZkcjJtrFkyk3Z32++8M1rXL2vOy8 k+ImH+cAfXDteqklwkqlQ4XfDmxEmKfv5sysafvCodzu97resoNNTxOG6rcN+8s2pUUU5plTEGVR T07o3NCJCddcyKpJZRFrruM1YyG1yTtWoaxnngV8iM7hu+B9LNEj0aDm03gT5sraKZjEfWDoJv6d 7hT3Eq+NisqnSn/C95FlfIMda7wY2kLKrcM5re8n3QaSCfTQ71L64N3i0UkTuMC7I2y+mU/wgr0A 93V89ydO71QHjjZIKvXOPxcP9F1DyUq/FBLb+gOlr17C+RGQlUGg3kEzIr9XJTpkNVRPwNmkfJjJ t6V3S+hsu4aMbITmq/cCa7q0L+hkyUfmW+HtwOG1pDR+uaIICtcs/Yh9JkeNJsCE0IkTCZPdrYK0 +lcmlLD9iajgelJeR8oKU3xA2dHcphdXcapPhY1dRi6GvcgADcPkkAw7uzGb5iG3HgcPlnx9kyJl 6bQTYIQIPE4BnwljpcRwpAQ9bbnMgSMvYvtoxHV9so5vgdmelIR5YREhWWM= `protect end_protected
-- $Id: rb_sres_or_3.vhd 343 2010-12-05 21:24:38Z mueller $ -- -- Copyright 2008-2010 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: rb_sres_or_3 - syn -- Description: rbus result or, 3 input -- -- Dependencies: rb_sres_or_mon [sim only] -- Test bench: - -- Target Devices: generic -- Tool versions: xst 8.1, 8.2, 9.1, 9.2, 11.4, 12.1; ghdl 0.18-0.29 -- -- Revision History: -- Date Rev Version Comment -- 2010-12-04 343 1.1.1 use now rb_sres_or_mon -- 2010-06-26 309 1.1 add rritb_sres_or_mon -- 2008-08-22 161 1.0.1 renamed rri_rbres_ -> rb_sres_ -- 2008-01-20 113 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; use work.rblib.all; -- ---------------------------------------------------------------------------- entity rb_sres_or_3 is -- rbus result or, 3 input port ( RB_SRES_1 : in rb_sres_type; -- rb_sres input 1 RB_SRES_2 : in rb_sres_type := rb_sres_init; -- rb_sres input 2 RB_SRES_3 : in rb_sres_type := rb_sres_init; -- rb_sres input 3 RB_SRES_OR : out rb_sres_type -- rb_sres or'ed output ); end rb_sres_or_3; architecture syn of rb_sres_or_3 is begin proc_comb : process (RB_SRES_1, RB_SRES_2, RB_SRES_3) begin RB_SRES_OR.ack <= RB_SRES_1.ack or RB_SRES_2.ack or RB_SRES_3.ack; RB_SRES_OR.busy <= RB_SRES_1.busy or RB_SRES_2.busy or RB_SRES_3.busy; RB_SRES_OR.err <= RB_SRES_1.err or RB_SRES_2.err or RB_SRES_3.err; RB_SRES_OR.dout <= RB_SRES_1.dout or RB_SRES_2.dout or RB_SRES_3.dout; end process proc_comb; -- synthesis translate_off ORMON : rb_sres_or_mon port map ( RB_SRES_1 => RB_SRES_1, RB_SRES_2 => RB_SRES_2, RB_SRES_3 => RB_SRES_3, RB_SRES_4 => rb_sres_init ); -- synthesis translate_on end syn;
-- $Id: rb_sres_or_3.vhd 343 2010-12-05 21:24:38Z mueller $ -- -- Copyright 2008-2010 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: rb_sres_or_3 - syn -- Description: rbus result or, 3 input -- -- Dependencies: rb_sres_or_mon [sim only] -- Test bench: - -- Target Devices: generic -- Tool versions: xst 8.1, 8.2, 9.1, 9.2, 11.4, 12.1; ghdl 0.18-0.29 -- -- Revision History: -- Date Rev Version Comment -- 2010-12-04 343 1.1.1 use now rb_sres_or_mon -- 2010-06-26 309 1.1 add rritb_sres_or_mon -- 2008-08-22 161 1.0.1 renamed rri_rbres_ -> rb_sres_ -- 2008-01-20 113 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; use work.rblib.all; -- ---------------------------------------------------------------------------- entity rb_sres_or_3 is -- rbus result or, 3 input port ( RB_SRES_1 : in rb_sres_type; -- rb_sres input 1 RB_SRES_2 : in rb_sres_type := rb_sres_init; -- rb_sres input 2 RB_SRES_3 : in rb_sres_type := rb_sres_init; -- rb_sres input 3 RB_SRES_OR : out rb_sres_type -- rb_sres or'ed output ); end rb_sres_or_3; architecture syn of rb_sres_or_3 is begin proc_comb : process (RB_SRES_1, RB_SRES_2, RB_SRES_3) begin RB_SRES_OR.ack <= RB_SRES_1.ack or RB_SRES_2.ack or RB_SRES_3.ack; RB_SRES_OR.busy <= RB_SRES_1.busy or RB_SRES_2.busy or RB_SRES_3.busy; RB_SRES_OR.err <= RB_SRES_1.err or RB_SRES_2.err or RB_SRES_3.err; RB_SRES_OR.dout <= RB_SRES_1.dout or RB_SRES_2.dout or RB_SRES_3.dout; end process proc_comb; -- synthesis translate_off ORMON : rb_sres_or_mon port map ( RB_SRES_1 => RB_SRES_1, RB_SRES_2 => RB_SRES_2, RB_SRES_3 => RB_SRES_3, RB_SRES_4 => rb_sres_init ); -- synthesis translate_on end syn;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ent is end entity ent; architecture arch of ent is signal test: natural; constant e : natural := 3; begin LL: case e generate when 0 => when 1 to 4 => test <= 1; when l5: 5 | 7=> test <= 2; when others => end generate ll; process begin wait for 0 ns; assert test = 2; wait; end process; end architecture arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ent is end entity ent; architecture arch of ent is signal test: natural; constant e : natural := 3; begin LL: case e generate when 0 => when 1 to 4 => test <= 1; when l5: 5 | 7=> test <= 2; when others => end generate ll; process begin wait for 0 ns; assert test = 2; wait; end process; end architecture arch;
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015 -- Date : Fri Jul 8 09:16:27 2016 -- Host : jalapeno running 64-bit unknown -- Command : write_vhdl -force -mode funcsim {/home/hhassan/git/GateKeeper/FPGA -- Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/shd_fifo_sim_netlist.vhdl} -- Design : shd_fifo -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7vx690tffg1761-2 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_blk_mem_gen_prim_wrapper is port ( D : out STD_LOGIC_VECTOR ( 71 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 71 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper"; end shd_fifo_blk_mem_gen_prim_wrapper; architecture STRUCTURE of shd_fifo_blk_mem_gen_prim_wrapper is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "SDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 72, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 72 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 6) => \gc0.count_d1_reg[8]\(8 downto 0), ADDRARDADDR(5 downto 0) => B"111111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 6) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), ADDRBWRADDR(5 downto 0) => B"111111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => rd_clk, CLKBWRCLK => wr_clk, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 24) => din(34 downto 27), DIADI(23 downto 16) => din(25 downto 18), DIADI(15 downto 8) => din(16 downto 9), DIADI(7 downto 0) => din(7 downto 0), DIBDI(31 downto 24) => din(70 downto 63), DIBDI(23 downto 16) => din(61 downto 54), DIBDI(15 downto 8) => din(52 downto 45), DIBDI(7 downto 0) => din(43 downto 36), DIPADIP(3) => din(35), DIPADIP(2) => din(26), DIPADIP(1) => din(17), DIPADIP(0) => din(8), DIPBDIP(3) => din(71), DIPBDIP(2) => din(62), DIPBDIP(1) => din(53), DIPBDIP(0) => din(44), DOADO(31 downto 24) => D(34 downto 27), DOADO(23 downto 16) => D(25 downto 18), DOADO(15 downto 8) => D(16 downto 9), DOADO(7 downto 0) => D(7 downto 0), DOBDO(31 downto 24) => D(70 downto 63), DOBDO(23 downto 16) => D(61 downto 54), DOBDO(15 downto 8) => D(52 downto 45), DOBDO(7 downto 0) => D(43 downto 36), DOPADOP(3) => D(35), DOPADOP(2) => D(26), DOPADOP(1) => D(17), DOPADOP(0) => D(8), DOPBDOP(3) => D(71), DOPBDOP(2) => D(62), DOPBDOP(1) => D(53), DOPBDOP(0) => D(44), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => tmp_ram_rd_en, ENBWREN => E(0), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => Q(0), RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7) => E(0), WEBWE(6) => E(0), WEBWE(5) => E(0), WEBWE(4) => E(0), WEBWE(3) => E(0), WEBWE(2) => E(0), WEBWE(1) => E(0), WEBWE(0) => E(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \shd_fifo_blk_mem_gen_prim_wrapper__parameterized0\ is port ( D : out STD_LOGIC_VECTOR ( 55 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 55 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \shd_fifo_blk_mem_gen_prim_wrapper__parameterized0\ : entity is "blk_mem_gen_prim_wrapper"; end \shd_fifo_blk_mem_gen_prim_wrapper__parameterized0\; architecture STRUCTURE of \shd_fifo_blk_mem_gen_prim_wrapper__parameterized0\ is signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_21\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_29\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_37\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_45\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_53\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_61\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_69\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_77\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_85\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_86\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_87\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_88\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_89\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_90\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_91\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_92\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "SDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 72, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 72 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 6) => \gc0.count_d1_reg[8]\(8 downto 0), ADDRARDADDR(5 downto 0) => B"111111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 6) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), ADDRBWRADDR(5 downto 0) => B"111111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => rd_clk, CLKBWRCLK => wr_clk, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30 downto 24) => din(27 downto 21), DIADI(23) => '0', DIADI(22 downto 16) => din(20 downto 14), DIADI(15) => '0', DIADI(14 downto 8) => din(13 downto 7), DIADI(7) => '0', DIADI(6 downto 0) => din(6 downto 0), DIBDI(31) => '0', DIBDI(30 downto 24) => din(55 downto 49), DIBDI(23) => '0', DIBDI(22 downto 16) => din(48 downto 42), DIBDI(15) => '0', DIBDI(14 downto 8) => din(41 downto 35), DIBDI(7) => '0', DIBDI(6 downto 0) => din(34 downto 28), DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_21\, DOADO(30 downto 24) => D(27 downto 21), DOADO(23) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_29\, DOADO(22 downto 16) => D(20 downto 14), DOADO(15) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_37\, DOADO(14 downto 8) => D(13 downto 7), DOADO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_45\, DOADO(6 downto 0) => D(6 downto 0), DOBDO(31) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_53\, DOBDO(30 downto 24) => D(55 downto 49), DOBDO(23) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_61\, DOBDO(22 downto 16) => D(48 downto 42), DOBDO(15) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_69\, DOBDO(14 downto 8) => D(41 downto 35), DOBDO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_77\, DOBDO(6 downto 0) => D(34 downto 28), DOPADOP(3) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_85\, DOPADOP(2) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_86\, DOPADOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_87\, DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_88\, DOPBDOP(3) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_89\, DOPBDOP(2) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_90\, DOPBDOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_91\, DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_92\, ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => tmp_ram_rd_en, ENBWREN => E(0), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => Q(0), RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7) => E(0), WEBWE(6) => E(0), WEBWE(5) => E(0), WEBWE(4) => E(0), WEBWE(3) => E(0), WEBWE(2) => E(0), WEBWE(1) => E(0), WEBWE(0) => E(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_compare is port ( comp1 : out STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[8]\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_compare : entity is "compare"; end shd_fifo_compare; architecture STRUCTURE of shd_fifo_compare is signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \gmux.gm[3].gms.ms_n_0\, CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0), CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => \gmux.gm[3].gms.ms_n_0\, CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1), CO(0) => comp1, CYINIT => '0', DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1), DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1), S(0) => \gic0.gc0.count_d1_reg[8]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_compare_0 is port ( ram_full_i : out STD_LOGIC; v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_reg[8]\ : in STD_LOGIC; wr_en : in STD_LOGIC; p_0_out : in STD_LOGIC; comp1 : in STD_LOGIC; rst_full_gen_i : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_compare_0 : entity is "compare"; end shd_fifo_compare_0; architecture STRUCTURE of shd_fifo_compare_0 is signal comp2 : STD_LOGIC; signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \gmux.gm[3].gms.ms_n_0\, CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0), CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg_0(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => \gmux.gm[3].gms.ms_n_0\, CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1), CO(0) => comp2, CYINIT => '0', DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1), DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1), S(0) => \gic0.gc0.count_reg[8]\ ); ram_full_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"0000FF08" ) port map ( I0 => comp2, I1 => wr_en, I2 => p_0_out, I3 => comp1, I4 => rst_full_gen_i, O => ram_full_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_compare_1 is port ( ram_empty_fb_i_reg : out STD_LOGIC; v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wr_pntr_bin_reg[8]\ : in STD_LOGIC; p_2_out : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; comp1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_compare_1 : entity is "compare"; end shd_fifo_compare_1; architecture STRUCTURE of shd_fifo_compare_1 is signal comp0 : STD_LOGIC; signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \gmux.gm[3].gms.ms_n_0\, CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0), CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg_0(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => \gmux.gm[3].gms.ms_n_0\, CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1), CO(0) => comp0, CYINIT => '0', DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1), DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1), S(0) => \wr_pntr_bin_reg[8]\ ); ram_empty_fb_i_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBABBBAAAAAAAA" ) port map ( I0 => comp0, I1 => p_2_out, I2 => \gpregsm1.curr_fwft_state_reg[1]\(0), I3 => \gpregsm1.curr_fwft_state_reg[1]\(1), I4 => rd_en, I5 => comp1, O => ram_empty_fb_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_compare_2 is port ( comp1 : out STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gc0.count_reg[8]\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_compare_2 : entity is "compare"; end shd_fifo_compare_2; architecture STRUCTURE of shd_fifo_compare_2 is signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \gmux.gm[3].gms.ms_n_0\, CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0), CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => \gmux.gm[3].gms.ms_n_0\, CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1), CO(0) => comp1, CYINIT => '0', DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1), DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1), S(0) => \gc0.count_reg[8]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_rd_bin_cntr is port ( ram_empty_fb_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); v1_reg : out STD_LOGIC_VECTOR ( 3 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); WR_PNTR_RD : in STD_LOGIC_VECTOR ( 8 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_rd_bin_cntr : entity is "rd_bin_cntr"; end shd_fifo_rd_bin_cntr; architecture STRUCTURE of shd_fifo_rd_bin_cntr is signal \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \gc0.count[8]_i_2_n_0\ : STD_LOGIC; signal plusOp : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 8 to 8 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gc0.count[6]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \gc0.count[7]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \gc0.count[8]_i_2\ : label is "soft_lutpair12"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(8 downto 0); Q(7 downto 0) <= \^q\(7 downto 0); \gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => plusOp(0) ); \gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => plusOp(1) ); \gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), O => plusOp(2) ); \gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => plusOp(3) ); \gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \^q\(4), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(3), O => plusOp(4) ); \gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \^q\(5), I1 => \^q\(3), I2 => \^q\(2), I3 => \^q\(0), I4 => \^q\(1), I5 => \^q\(4), O => plusOp(5) ); \gc0.count[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \gc0.count[8]_i_2_n_0\, I3 => \^q\(5), O => plusOp(6) ); \gc0.count[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \^q\(7), I1 => \^q\(5), I2 => \gc0.count[8]_i_2_n_0\, I3 => \^q\(4), I4 => \^q\(6), O => plusOp(7) ); \gc0.count[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => rd_pntr_plus1(8), I1 => \^q\(6), I2 => \^q\(4), I3 => \gc0.count[8]_i_2_n_0\, I4 => \^q\(5), I5 => \^q\(7), O => plusOp(8) ); \gc0.count[8]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^q\(3), I1 => \^q\(2), I2 => \^q\(0), I3 => \^q\(1), O => \gc0.count[8]_i_2_n_0\ ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(0), Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(1), Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(2), Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(3), Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(3) ); \gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(4), Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(4) ); \gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(5), Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(5) ); \gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(6), Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(6) ); \gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(7), Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(7) ); \gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => rd_pntr_plus1(8), Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(8) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => E(0), D => plusOp(0), PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(3), Q => \^q\(3) ); \gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(4), Q => \^q\(4) ); \gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(5), Q => \^q\(5) ); \gc0.count_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(6), Q => \^q\(6) ); \gc0.count_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(7), Q => \^q\(7) ); \gc0.count_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(8), Q => rd_pntr_plus1(8) ); \gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1), I1 => WR_PNTR_RD(1), I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0), I3 => WR_PNTR_RD(0), O => v1_reg(0) ); \gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(3), I1 => WR_PNTR_RD(3), I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(2), I3 => WR_PNTR_RD(2), O => v1_reg(1) ); \gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(5), I1 => WR_PNTR_RD(5), I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(4), I3 => WR_PNTR_RD(4), O => v1_reg(2) ); \gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(7), I1 => WR_PNTR_RD(7), I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(6), I3 => WR_PNTR_RD(6), O => v1_reg(3) ); \gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => rd_pntr_plus1(8), I1 => WR_PNTR_RD(8), O => ram_empty_fb_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_rd_fwft is port ( empty : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); tmp_ram_rd_en : out STD_LOGIC; \goreg_bm.dout_i_reg[127]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); p_2_out : in STD_LOGIC; rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_rd_fwft : entity is "rd_fwft"; end shd_fifo_rd_fwft; architecture STRUCTURE of shd_fifo_rd_fwft is signal \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal empty_fwft_fb : STD_LOGIC; signal empty_fwft_i0 : STD_LOGIC; signal \gpregsm1.curr_fwft_state[0]_i_1_n_0\ : STD_LOGIC; signal \gpregsm1.curr_fwft_state[1]_i_1_n_0\ : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of empty_fwft_fb_reg : label is "no"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of empty_fwft_i_i_1 : label is "soft_lutpair9"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute SOFT_HLUTNM of \gc0.count_d1[8]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[0]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[1]_i_1\ : label is "soft_lutpair8"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(1 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1 downto 0); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAEFFF" ) port map ( I0 => Q(0), I1 => rd_en, I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1), I3 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0), I4 => p_2_out, O => tmp_ram_rd_en ); empty_fwft_fb_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => empty_fwft_i0, PRE => Q(1), Q => empty_fwft_fb ); empty_fwft_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"BA22" ) port map ( I0 => empty_fwft_fb, I1 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1), I2 => rd_en, I3 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0), O => empty_fwft_i0 ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => empty_fwft_i0, PRE => Q(1), Q => empty ); \gc0.count_d1[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"5515" ) port map ( I0 => p_2_out, I1 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0), I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1), I3 => rd_en, O => E(0) ); \goreg_bm.dout_i[127]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"8A" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1), I1 => rd_en, I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0), O => \goreg_bm.dout_i_reg[127]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"BA" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1), I1 => rd_en, I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0), O => \gpregsm1.curr_fwft_state[0]_i_1_n_0\ ); \gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"08FF" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0), I1 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1), I2 => rd_en, I3 => p_2_out, O => \gpregsm1.curr_fwft_state[1]_i_1_n_0\ ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => Q(1), D => \gpregsm1.curr_fwft_state[0]_i_1_n_0\, Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => Q(1), D => \gpregsm1.curr_fwft_state[1]_i_1_n_0\, Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_reset_blk_ramfifo is port ( s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_reset_blk_ramfifo : entity is "reset_blk_ramfifo"; end shd_fifo_reset_blk_ramfifo; architecture STRUCTURE of shd_fifo_reset_blk_ramfifo is signal inverted_reset : STD_LOGIC; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; begin \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d1, PRE => inverted_reset, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d2, PRE => inverted_reset, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_aresetn, O => inverted_reset ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \shd_fifo_reset_blk_ramfifo__parameterized0\ is port ( rst_full_ff_i : out STD_LOGIC; rst_full_gen_i : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); wr_clk : in STD_LOGIC; rst : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \shd_fifo_reset_blk_ramfifo__parameterized0\ : entity is "reset_blk_ramfifo"; end \shd_fifo_reset_blk_ramfifo__parameterized0\; architecture STRUCTURE of \shd_fifo_reset_blk_ramfifo__parameterized0\ is signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_asreg_d2 : STD_LOGIC; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_asreg_d2 : STD_LOGIC; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; begin rst_full_ff_i <= rst_d2; rst_full_gen_i <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => rst, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d1, PRE => rst, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d2, PRE => rst, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rd_rst_asreg, Q => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\, Q => rd_rst_asreg_d2, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_rst_asreg, I1 => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\, O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_rst_asreg, I1 => rd_rst_asreg_d2, O => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \gc0.count_reg[1]\(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \gc0.count_reg[1]\(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \gc0.count_reg[1]\(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => rst, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rst_rd_reg1, PRE => rst, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => rst, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => rst_wr_reg1, PRE => rst, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wr_rst_asreg, Q => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\, Q => wr_rst_asreg_d2, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_rst_asreg, I1 => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\, O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_rst_asreg, I1 => wr_rst_asreg_d2, O => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\, Q => Q(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\, Q => Q(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_synchronizer_ff is port ( D : out STD_LOGIC_VECTOR ( 8 downto 0 ); Q : in STD_LOGIC_VECTOR ( 8 downto 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_synchronizer_ff : entity is "synchronizer_ff"; end shd_fifo_synchronizer_ff; architecture STRUCTURE of shd_fifo_synchronizer_ff is signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute msgon of \Q_reg_reg[4]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute msgon of \Q_reg_reg[5]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute msgon of \Q_reg_reg[6]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; attribute msgon of \Q_reg_reg[7]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[8]\ : label is "yes"; attribute msgon of \Q_reg_reg[8]\ : label is "true"; begin D(8 downto 0) <= Q_reg(8 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(7), Q => Q_reg(7) ); \Q_reg_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(8), Q => Q_reg(8) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_synchronizer_ff_3 is port ( D : out STD_LOGIC_VECTOR ( 8 downto 0 ); Q : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_synchronizer_ff_3 : entity is "synchronizer_ff"; end shd_fifo_synchronizer_ff_3; architecture STRUCTURE of shd_fifo_synchronizer_ff_3 is signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute msgon of \Q_reg_reg[4]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute msgon of \Q_reg_reg[5]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute msgon of \Q_reg_reg[6]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; attribute msgon of \Q_reg_reg[7]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[8]\ : label is "yes"; attribute msgon of \Q_reg_reg[8]\ : label is "true"; begin D(8 downto 0) <= Q_reg(8 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(7), Q => Q_reg(7) ); \Q_reg_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(8), Q => Q_reg(8) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_synchronizer_ff_4 is port ( \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \wr_pntr_bin_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); D : in STD_LOGIC_VECTOR ( 8 downto 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_synchronizer_ff_4 : entity is "synchronizer_ff"; end shd_fifo_synchronizer_ff_4; architecture STRUCTURE of shd_fifo_synchronizer_ff_4 is signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; signal \wr_pntr_bin[2]_i_2_n_0\ : STD_LOGIC; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute msgon of \Q_reg_reg[4]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute msgon of \Q_reg_reg[5]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute msgon of \Q_reg_reg[6]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; attribute msgon of \Q_reg_reg[7]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[8]\ : label is "yes"; attribute msgon of \Q_reg_reg[8]\ : label is "true"; begin \out\(0) <= Q_reg(8); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(7), Q => Q_reg(7) ); \Q_reg_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(8), Q => Q_reg(8) ); \wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => Q_reg(1), I1 => Q_reg(0), I2 => \wr_pntr_bin[2]_i_2_n_0\, I3 => Q_reg(3), I4 => Q_reg(2), I5 => Q_reg(8), O => \wr_pntr_bin_reg[7]\(0) ); \wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \wr_pntr_bin[2]_i_2_n_0\, I1 => Q_reg(3), I2 => Q_reg(2), I3 => Q_reg(8), I4 => Q_reg(1), O => \wr_pntr_bin_reg[7]\(1) ); \wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(8), I1 => Q_reg(2), I2 => Q_reg(3), I3 => \wr_pntr_bin[2]_i_2_n_0\, O => \wr_pntr_bin_reg[7]\(2) ); \wr_pntr_bin[2]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(7), I1 => Q_reg(6), I2 => Q_reg(5), I3 => Q_reg(4), O => \wr_pntr_bin[2]_i_2_n_0\ ); \wr_pntr_bin[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => Q_reg(5), I1 => Q_reg(3), I2 => Q_reg(4), I3 => Q_reg(8), I4 => Q_reg(6), I5 => Q_reg(7), O => \wr_pntr_bin_reg[7]\(3) ); \wr_pntr_bin[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => Q_reg(6), I1 => Q_reg(4), I2 => Q_reg(5), I3 => Q_reg(8), I4 => Q_reg(7), O => \wr_pntr_bin_reg[7]\(4) ); \wr_pntr_bin[5]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(6), I1 => Q_reg(5), I2 => Q_reg(8), I3 => Q_reg(7), O => \wr_pntr_bin_reg[7]\(5) ); \wr_pntr_bin[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(7), I1 => Q_reg(6), I2 => Q_reg(8), O => \wr_pntr_bin_reg[7]\(6) ); \wr_pntr_bin[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(7), I1 => Q_reg(8), O => \wr_pntr_bin_reg[7]\(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_synchronizer_ff_5 is port ( \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \rd_pntr_bin_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); D : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_synchronizer_ff_5 : entity is "synchronizer_ff"; end shd_fifo_synchronizer_ff_5; architecture STRUCTURE of shd_fifo_synchronizer_ff_5 is signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; signal \rd_pntr_bin[2]_i_2_n_0\ : STD_LOGIC; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute msgon of \Q_reg_reg[4]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute msgon of \Q_reg_reg[5]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute msgon of \Q_reg_reg[6]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; attribute msgon of \Q_reg_reg[7]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[8]\ : label is "yes"; attribute msgon of \Q_reg_reg[8]\ : label is "true"; begin \out\(0) <= Q_reg(8); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(7), Q => Q_reg(7) ); \Q_reg_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(8), Q => Q_reg(8) ); \rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => Q_reg(1), I1 => Q_reg(0), I2 => \rd_pntr_bin[2]_i_2_n_0\, I3 => Q_reg(3), I4 => Q_reg(2), I5 => Q_reg(8), O => \rd_pntr_bin_reg[7]\(0) ); \rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \rd_pntr_bin[2]_i_2_n_0\, I1 => Q_reg(3), I2 => Q_reg(2), I3 => Q_reg(8), I4 => Q_reg(1), O => \rd_pntr_bin_reg[7]\(1) ); \rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(8), I1 => Q_reg(2), I2 => Q_reg(3), I3 => \rd_pntr_bin[2]_i_2_n_0\, O => \rd_pntr_bin_reg[7]\(2) ); \rd_pntr_bin[2]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(7), I1 => Q_reg(6), I2 => Q_reg(5), I3 => Q_reg(4), O => \rd_pntr_bin[2]_i_2_n_0\ ); \rd_pntr_bin[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => Q_reg(5), I1 => Q_reg(3), I2 => Q_reg(4), I3 => Q_reg(8), I4 => Q_reg(6), I5 => Q_reg(7), O => \rd_pntr_bin_reg[7]\(3) ); \rd_pntr_bin[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => Q_reg(6), I1 => Q_reg(4), I2 => Q_reg(5), I3 => Q_reg(8), I4 => Q_reg(7), O => \rd_pntr_bin_reg[7]\(4) ); \rd_pntr_bin[5]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(6), I1 => Q_reg(5), I2 => Q_reg(8), I3 => Q_reg(7), O => \rd_pntr_bin_reg[7]\(5) ); \rd_pntr_bin[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(7), I1 => Q_reg(6), I2 => Q_reg(8), O => \rd_pntr_bin_reg[7]\(6) ); \rd_pntr_bin[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(7), I1 => Q_reg(8), O => \rd_pntr_bin_reg[7]\(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_wr_bin_cntr is port ( ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); RD_PNTR_WR : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_wr_bin_cntr : entity is "wr_bin_cntr"; end shd_fifo_wr_bin_cntr; architecture STRUCTURE of shd_fifo_wr_bin_cntr is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \gic0.gc0.count[8]_i_2_n_0\ : STD_LOGIC; signal \^gic0.gc0.count_d2_reg[7]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 8 to 8 ); signal \plusOp__0\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 8 to 8 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \gic0.gc0.count[4]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \gic0.gc0.count[6]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \gic0.gc0.count[7]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \gic0.gc0.count[8]_i_2\ : label is "soft_lutpair15"; begin Q(7 downto 0) <= \^q\(7 downto 0); \gic0.gc0.count_d2_reg[7]_0\(7 downto 0) <= \^gic0.gc0.count_d2_reg[7]_0\(7 downto 0); \gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__0\(0) ); \gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__0\(1) ); \gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), O => \plusOp__0\(2) ); \gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \plusOp__0\(3) ); \gic0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \^q\(4), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(3), O => \plusOp__0\(4) ); \gic0.gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \^q\(5), I1 => \^q\(3), I2 => \^q\(2), I3 => \^q\(0), I4 => \^q\(1), I5 => \^q\(4), O => \plusOp__0\(5) ); \gic0.gc0.count[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \gic0.gc0.count[8]_i_2_n_0\, I3 => \^q\(5), O => \plusOp__0\(6) ); \gic0.gc0.count[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \^q\(7), I1 => \^q\(5), I2 => \gic0.gc0.count[8]_i_2_n_0\, I3 => \^q\(4), I4 => \^q\(6), O => \plusOp__0\(7) ); \gic0.gc0.count[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => wr_pntr_plus2(8), I1 => \^q\(6), I2 => \^q\(4), I3 => \gic0.gc0.count[8]_i_2_n_0\, I4 => \^q\(5), I5 => \^q\(7), O => \plusOp__0\(8) ); \gic0.gc0.count[8]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^q\(3), I1 => \^q\(2), I2 => \^q\(0), I3 => \^q\(1), O => \gic0.gc0.count[8]_i_2_n_0\ ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => E(0), D => \^q\(0), PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), Q => \^gic0.gc0.count_d2_reg[7]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[7]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[7]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[7]_0\(3) ); \gic0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(4), Q => \^gic0.gc0.count_d2_reg[7]_0\(4) ); \gic0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(5), Q => \^gic0.gc0.count_d2_reg[7]_0\(5) ); \gic0.gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(6), Q => \^gic0.gc0.count_d2_reg[7]_0\(6) ); \gic0.gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(7), Q => \^gic0.gc0.count_d2_reg[7]_0\(7) ); \gic0.gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => wr_pntr_plus2(8), Q => p_12_out(8) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(0), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(1), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(2), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(3), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(3) ); \gic0.gc0.count_d2_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(4), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(4) ); \gic0.gc0.count_d2_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(5), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(5) ); \gic0.gc0.count_d2_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(6), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(6) ); \gic0.gc0.count_d2_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(7), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(7) ); \gic0.gc0.count_d2_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => p_12_out(8), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => E(0), D => \plusOp__0\(1), PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(3), Q => \^q\(3) ); \gic0.gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(4), Q => \^q\(4) ); \gic0.gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(5), Q => \^q\(5) ); \gic0.gc0.count_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(6), Q => \^q\(6) ); \gic0.gc0.count_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(7), Q => \^q\(7) ); \gic0.gc0.count_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(8), Q => wr_pntr_plus2(8) ); \gmux.gm[4].gms.ms_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => p_12_out(8), I1 => RD_PNTR_WR(0), O => ram_full_fb_i_reg ); \gmux.gm[4].gms.ms_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => wr_pntr_plus2(8), I1 => RD_PNTR_WR(0), O => ram_full_fb_i_reg_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_blk_mem_gen_prim_width is port ( D : out STD_LOGIC_VECTOR ( 71 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 71 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; end shd_fifo_blk_mem_gen_prim_width; architecture STRUCTURE of shd_fifo_blk_mem_gen_prim_width is begin \prim_noinit.ram\: entity work.shd_fifo_blk_mem_gen_prim_wrapper port map ( D(71 downto 0) => D(71 downto 0), E(0) => E(0), Q(0) => Q(0), din(71 downto 0) => din(71 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \shd_fifo_blk_mem_gen_prim_width__parameterized0\ is port ( D : out STD_LOGIC_VECTOR ( 55 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 55 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \shd_fifo_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width"; end \shd_fifo_blk_mem_gen_prim_width__parameterized0\; architecture STRUCTURE of \shd_fifo_blk_mem_gen_prim_width__parameterized0\ is begin \prim_noinit.ram\: entity work.\shd_fifo_blk_mem_gen_prim_wrapper__parameterized0\ port map ( D(55 downto 0) => D(55 downto 0), E(0) => E(0), Q(0) => Q(0), din(55 downto 0) => din(55 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_clk_x_pntrs is port ( ram_empty_fb_i_reg : out STD_LOGIC; WR_PNTR_RD : out STD_LOGIC_VECTOR ( 8 downto 0 ); v1_reg : out STD_LOGIC_VECTOR ( 3 downto 0 ); v1_reg_0 : out STD_LOGIC_VECTOR ( 3 downto 0 ); RD_PNTR_WR : out STD_LOGIC_VECTOR ( 0 to 0 ); v1_reg_1 : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gc0.count_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_clk_x_pntrs : entity is "clk_x_pntrs"; end shd_fifo_clk_x_pntrs; architecture STRUCTURE of shd_fifo_clk_x_pntrs is signal \^wr_pntr_rd\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \gsync_stage[2].wr_stg_inst_n_1\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_2\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_3\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_4\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_5\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_6\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_7\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_8\ : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_0_in7_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_0_out : STD_LOGIC_VECTOR ( 8 to 8 ); signal p_1_out : STD_LOGIC_VECTOR ( 8 to 8 ); signal p_22_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_2_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_gc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \rd_pntr_gc[0]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[1]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[2]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[3]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[4]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[5]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[6]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[7]_i_1_n_0\ : STD_LOGIC; signal wr_pntr_gc : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \rd_pntr_gc[0]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \rd_pntr_gc[1]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \rd_pntr_gc[3]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \rd_pntr_gc[4]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \rd_pntr_gc[5]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \rd_pntr_gc[6]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \rd_pntr_gc[7]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wr_pntr_gc[2]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \wr_pntr_gc[3]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \wr_pntr_gc[4]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \wr_pntr_gc[5]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \wr_pntr_gc[6]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \wr_pntr_gc[7]_i_1\ : label is "soft_lutpair3"; begin WR_PNTR_RD(8 downto 0) <= \^wr_pntr_rd\(8 downto 0); \gmux.gm[0].gm1.m1_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^wr_pntr_rd\(1), I1 => \gc0.count_reg[7]\(1), I2 => \^wr_pntr_rd\(0), I3 => \gc0.count_reg[7]\(0), O => v1_reg(0) ); \gmux.gm[0].gm1.m1_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_22_out(1), I1 => \gic0.gc0.count_d1_reg[7]\(1), I2 => p_22_out(0), I3 => \gic0.gc0.count_d1_reg[7]\(0), O => v1_reg_0(0) ); \gmux.gm[0].gm1.m1_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_22_out(1), I1 => \gic0.gc0.count_reg[7]\(1), I2 => p_22_out(0), I3 => \gic0.gc0.count_reg[7]\(0), O => v1_reg_1(0) ); \gmux.gm[1].gms.ms_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^wr_pntr_rd\(3), I1 => \gc0.count_reg[7]\(3), I2 => \^wr_pntr_rd\(2), I3 => \gc0.count_reg[7]\(2), O => v1_reg(1) ); \gmux.gm[1].gms.ms_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_22_out(3), I1 => \gic0.gc0.count_d1_reg[7]\(3), I2 => p_22_out(2), I3 => \gic0.gc0.count_d1_reg[7]\(2), O => v1_reg_0(1) ); \gmux.gm[1].gms.ms_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_22_out(3), I1 => \gic0.gc0.count_reg[7]\(3), I2 => p_22_out(2), I3 => \gic0.gc0.count_reg[7]\(2), O => v1_reg_1(1) ); \gmux.gm[2].gms.ms_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^wr_pntr_rd\(5), I1 => \gc0.count_reg[7]\(5), I2 => \^wr_pntr_rd\(4), I3 => \gc0.count_reg[7]\(4), O => v1_reg(2) ); \gmux.gm[2].gms.ms_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_22_out(5), I1 => \gic0.gc0.count_d1_reg[7]\(5), I2 => p_22_out(4), I3 => \gic0.gc0.count_d1_reg[7]\(4), O => v1_reg_0(2) ); \gmux.gm[2].gms.ms_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_22_out(5), I1 => \gic0.gc0.count_reg[7]\(5), I2 => p_22_out(4), I3 => \gic0.gc0.count_reg[7]\(4), O => v1_reg_1(2) ); \gmux.gm[3].gms.ms_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^wr_pntr_rd\(7), I1 => \gc0.count_reg[7]\(7), I2 => \^wr_pntr_rd\(6), I3 => \gc0.count_reg[7]\(6), O => v1_reg(3) ); \gmux.gm[3].gms.ms_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_22_out(7), I1 => \gic0.gc0.count_d1_reg[7]\(7), I2 => p_22_out(6), I3 => \gic0.gc0.count_d1_reg[7]\(6), O => v1_reg_0(3) ); \gmux.gm[3].gms.ms_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_22_out(7), I1 => \gic0.gc0.count_reg[7]\(7), I2 => p_22_out(6), I3 => \gic0.gc0.count_reg[7]\(6), O => v1_reg_1(3) ); \gmux.gm[4].gms.ms_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(8), I1 => Q(8), O => ram_empty_fb_i_reg ); \gsync_stage[1].rd_stg_inst\: entity work.shd_fifo_synchronizer_ff port map ( D(8 downto 0) => p_3_out(8 downto 0), Q(8 downto 0) => wr_pntr_gc(8 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), rd_clk => rd_clk ); \gsync_stage[1].wr_stg_inst\: entity work.shd_fifo_synchronizer_ff_3 port map ( D(8 downto 0) => p_2_out(8 downto 0), Q(8 downto 0) => rd_pntr_gc(8 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), wr_clk => wr_clk ); \gsync_stage[2].rd_stg_inst\: entity work.shd_fifo_synchronizer_ff_4 port map ( D(8 downto 0) => p_3_out(8 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(0) => p_1_out(8), rd_clk => rd_clk, \wr_pntr_bin_reg[7]\(7 downto 0) => p_0_in(7 downto 0) ); \gsync_stage[2].wr_stg_inst\: entity work.shd_fifo_synchronizer_ff_5 port map ( D(8 downto 0) => p_2_out(8 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), \out\(0) => p_0_out(8), \rd_pntr_bin_reg[7]\(7) => \gsync_stage[2].wr_stg_inst_n_1\, \rd_pntr_bin_reg[7]\(6) => \gsync_stage[2].wr_stg_inst_n_2\, \rd_pntr_bin_reg[7]\(5) => \gsync_stage[2].wr_stg_inst_n_3\, \rd_pntr_bin_reg[7]\(4) => \gsync_stage[2].wr_stg_inst_n_4\, \rd_pntr_bin_reg[7]\(3) => \gsync_stage[2].wr_stg_inst_n_5\, \rd_pntr_bin_reg[7]\(2) => \gsync_stage[2].wr_stg_inst_n_6\, \rd_pntr_bin_reg[7]\(1) => \gsync_stage[2].wr_stg_inst_n_7\, \rd_pntr_bin_reg[7]\(0) => \gsync_stage[2].wr_stg_inst_n_8\, wr_clk => wr_clk ); \rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_8\, Q => p_22_out(0) ); \rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_7\, Q => p_22_out(1) ); \rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_6\, Q => p_22_out(2) ); \rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_5\, Q => p_22_out(3) ); \rd_pntr_bin_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_4\, Q => p_22_out(4) ); \rd_pntr_bin_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_3\, Q => p_22_out(5) ); \rd_pntr_bin_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_2\, Q => p_22_out(6) ); \rd_pntr_bin_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_1\, Q => p_22_out(7) ); \rd_pntr_bin_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_out(8), Q => RD_PNTR_WR(0) ); \rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(0), I1 => Q(1), O => \rd_pntr_gc[0]_i_1_n_0\ ); \rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(1), I1 => Q(2), O => \rd_pntr_gc[1]_i_1_n_0\ ); \rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(2), I1 => Q(3), O => \rd_pntr_gc[2]_i_1_n_0\ ); \rd_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(3), I1 => Q(4), O => \rd_pntr_gc[3]_i_1_n_0\ ); \rd_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(4), I1 => Q(5), O => \rd_pntr_gc[4]_i_1_n_0\ ); \rd_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(5), I1 => Q(6), O => \rd_pntr_gc[5]_i_1_n_0\ ); \rd_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(6), I1 => Q(7), O => \rd_pntr_gc[6]_i_1_n_0\ ); \rd_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(7), I1 => Q(8), O => \rd_pntr_gc[7]_i_1_n_0\ ); \rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[0]_i_1_n_0\, Q => rd_pntr_gc(0) ); \rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[1]_i_1_n_0\, Q => rd_pntr_gc(1) ); \rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[2]_i_1_n_0\, Q => rd_pntr_gc(2) ); \rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[3]_i_1_n_0\, Q => rd_pntr_gc(3) ); \rd_pntr_gc_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[4]_i_1_n_0\, Q => rd_pntr_gc(4) ); \rd_pntr_gc_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[5]_i_1_n_0\, Q => rd_pntr_gc(5) ); \rd_pntr_gc_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[6]_i_1_n_0\, Q => rd_pntr_gc(6) ); \rd_pntr_gc_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[7]_i_1_n_0\, Q => rd_pntr_gc(7) ); \rd_pntr_gc_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(8), Q => rd_pntr_gc(8) ); \wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(0), Q => \^wr_pntr_rd\(0) ); \wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(1), Q => \^wr_pntr_rd\(1) ); \wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(2), Q => \^wr_pntr_rd\(2) ); \wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(3), Q => \^wr_pntr_rd\(3) ); \wr_pntr_bin_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(4), Q => \^wr_pntr_rd\(4) ); \wr_pntr_bin_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(5), Q => \^wr_pntr_rd\(5) ); \wr_pntr_bin_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(6), Q => \^wr_pntr_rd\(6) ); \wr_pntr_bin_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(7), Q => \^wr_pntr_rd\(7) ); \wr_pntr_bin_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_1_out(8), Q => \^wr_pntr_rd\(8) ); \wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(0), I1 => \gic0.gc0.count_d2_reg[8]\(1), O => p_0_in7_out(0) ); \wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(1), I1 => \gic0.gc0.count_d2_reg[8]\(2), O => p_0_in7_out(1) ); \wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(2), I1 => \gic0.gc0.count_d2_reg[8]\(3), O => p_0_in7_out(2) ); \wr_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(3), I1 => \gic0.gc0.count_d2_reg[8]\(4), O => p_0_in7_out(3) ); \wr_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(4), I1 => \gic0.gc0.count_d2_reg[8]\(5), O => p_0_in7_out(4) ); \wr_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(5), I1 => \gic0.gc0.count_d2_reg[8]\(6), O => p_0_in7_out(5) ); \wr_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(6), I1 => \gic0.gc0.count_d2_reg[8]\(7), O => p_0_in7_out(6) ); \wr_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(7), I1 => \gic0.gc0.count_d2_reg[8]\(8), O => p_0_in7_out(7) ); \wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(0), Q => wr_pntr_gc(0) ); \wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(1), Q => wr_pntr_gc(1) ); \wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(2), Q => wr_pntr_gc(2) ); \wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(3), Q => wr_pntr_gc(3) ); \wr_pntr_gc_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(4), Q => wr_pntr_gc(4) ); \wr_pntr_gc_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(5), Q => wr_pntr_gc(5) ); \wr_pntr_gc_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(6), Q => wr_pntr_gc(6) ); \wr_pntr_gc_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(7), Q => wr_pntr_gc(7) ); \wr_pntr_gc_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gic0.gc0.count_d2_reg[8]\(8), Q => wr_pntr_gc(8) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_rd_status_flags_as is port ( p_2_out : out STD_LOGIC; v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wr_pntr_bin_reg[8]\ : in STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gc0.count_reg[8]\ : in STD_LOGIC; rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_rd_status_flags_as : entity is "rd_status_flags_as"; end shd_fifo_rd_status_flags_as; architecture STRUCTURE of shd_fifo_rd_status_flags_as is signal c0_n_0 : STD_LOGIC; signal comp1 : STD_LOGIC; signal \^p_2_out\ : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; begin p_2_out <= \^p_2_out\; c0: entity work.shd_fifo_compare_1 port map ( comp1 => comp1, \gpregsm1.curr_fwft_state_reg[1]\(1 downto 0) => \gpregsm1.curr_fwft_state_reg[1]\(1 downto 0), p_2_out => \^p_2_out\, ram_empty_fb_i_reg => c0_n_0, rd_en => rd_en, v1_reg_0(3 downto 0) => v1_reg_0(3 downto 0), \wr_pntr_bin_reg[8]\ => \wr_pntr_bin_reg[8]\ ); c1: entity work.shd_fifo_compare_2 port map ( comp1 => comp1, \gc0.count_reg[8]\ => \gc0.count_reg[8]\, v1_reg(3 downto 0) => v1_reg(3 downto 0) ); ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => c0_n_0, PRE => Q(0), Q => \^p_2_out\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_wr_status_flags_as is port ( full : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[8]\ : in STD_LOGIC; v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_reg[8]\ : in STD_LOGIC; wr_clk : in STD_LOGIC; rst_full_ff_i : in STD_LOGIC; wr_en : in STD_LOGIC; rst_full_gen_i : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_wr_status_flags_as : entity is "wr_status_flags_as"; end shd_fifo_wr_status_flags_as; architecture STRUCTURE of shd_fifo_wr_status_flags_as is signal comp1 : STD_LOGIC; signal p_0_out : STD_LOGIC; signal ram_full_i : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_en, I1 => p_0_out, O => E(0) ); c1: entity work.shd_fifo_compare port map ( comp1 => comp1, \gic0.gc0.count_d1_reg[8]\ => \gic0.gc0.count_d1_reg[8]\, v1_reg(3 downto 0) => v1_reg(3 downto 0) ); c2: entity work.shd_fifo_compare_0 port map ( comp1 => comp1, \gic0.gc0.count_reg[8]\ => \gic0.gc0.count_reg[8]\, p_0_out => p_0_out, ram_full_i => ram_full_i, rst_full_gen_i => rst_full_gen_i, v1_reg_0(3 downto 0) => v1_reg_0(3 downto 0), wr_en => wr_en ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => ram_full_i, PRE => rst_full_ff_i, Q => p_0_out ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => ram_full_i, PRE => rst_full_ff_i, Q => full ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_blk_mem_gen_generic_cstr is port ( D : out STD_LOGIC_VECTOR ( 127 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 127 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; end shd_fifo_blk_mem_gen_generic_cstr; architecture STRUCTURE of shd_fifo_blk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.shd_fifo_blk_mem_gen_prim_width port map ( D(71 downto 0) => D(71 downto 0), E(0) => E(0), Q(0) => Q(0), din(71 downto 0) => din(71 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); \ramloop[1].ram.r\: entity work.\shd_fifo_blk_mem_gen_prim_width__parameterized0\ port map ( D(55 downto 0) => D(127 downto 72), E(0) => E(0), Q(0) => Q(0), din(55 downto 0) => din(127 downto 72), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_rd_logic is port ( empty : out STD_LOGIC; \gc0.count_d1_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); tmp_ram_rd_en : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \wr_pntr_bin_reg[8]\ : in STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; WR_PNTR_RD : in STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_rd_logic : entity is "rd_logic"; end shd_fifo_rd_logic; architecture STRUCTURE of shd_fifo_rd_logic is signal \c0/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal curr_fwft_state : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.rfwft_n_1\ : STD_LOGIC; signal \gr1.rfwft_n_2\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rpntr_n_0 : STD_LOGIC; begin \gr1.rfwft\: entity work.shd_fifo_rd_fwft port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(1) => \gr1.rfwft_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(0) => curr_fwft_state(0), E(0) => \gr1.rfwft_n_1\, Q(1 downto 0) => Q(1 downto 0), empty => empty, \goreg_bm.dout_i_reg[127]\(0) => E(0), p_2_out => p_2_out, rd_clk => rd_clk, rd_en => rd_en, tmp_ram_rd_en => tmp_ram_rd_en ); \gras.rsts\: entity work.shd_fifo_rd_status_flags_as port map ( Q(0) => Q(1), \gc0.count_reg[8]\ => rpntr_n_0, \gpregsm1.curr_fwft_state_reg[1]\(1) => \gr1.rfwft_n_2\, \gpregsm1.curr_fwft_state_reg[1]\(0) => curr_fwft_state(0), p_2_out => p_2_out, rd_clk => rd_clk, rd_en => rd_en, v1_reg(3 downto 0) => v1_reg(3 downto 0), v1_reg_0(3 downto 0) => \c0/v1_reg\(3 downto 0), \wr_pntr_bin_reg[8]\ => \wr_pntr_bin_reg[8]\ ); rpntr: entity work.shd_fifo_rd_bin_cntr port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0), E(0) => \gr1.rfwft_n_1\, Q(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), WR_PNTR_RD(8 downto 0) => WR_PNTR_RD(8 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => Q(1), ram_empty_fb_i_reg => rpntr_n_0, rd_clk => rd_clk, v1_reg(3 downto 0) => \c0/v1_reg\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_wr_logic is port ( full : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d1_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); wr_clk : in STD_LOGIC; rst_full_ff_i : in STD_LOGIC; RD_PNTR_WR : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_en : in STD_LOGIC; rst_full_gen_i : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_wr_logic : entity is "wr_logic"; end shd_fifo_wr_logic; architecture STRUCTURE of shd_fifo_wr_logic is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wpntr_n_0 : STD_LOGIC; signal wpntr_n_1 : STD_LOGIC; begin E(0) <= \^e\(0); \gwas.wsts\: entity work.shd_fifo_wr_status_flags_as port map ( E(0) => \^e\(0), full => full, \gic0.gc0.count_d1_reg[8]\ => wpntr_n_0, \gic0.gc0.count_reg[8]\ => wpntr_n_1, rst_full_ff_i => rst_full_ff_i, rst_full_gen_i => rst_full_gen_i, v1_reg(3 downto 0) => v1_reg(3 downto 0), v1_reg_0(3 downto 0) => v1_reg_0(3 downto 0), wr_clk => wr_clk, wr_en => wr_en ); wpntr: entity work.shd_fifo_wr_bin_cntr port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0), E(0) => \^e\(0), Q(7 downto 0) => \gic0.gc0.count_d1_reg[7]\(7 downto 0), RD_PNTR_WR(0) => RD_PNTR_WR(0), \gic0.gc0.count_d2_reg[7]_0\(7 downto 0) => Q(7 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), ram_full_fb_i_reg => wpntr_n_0, ram_full_fb_i_reg_0 => wpntr_n_1, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_blk_mem_gen_top is port ( D : out STD_LOGIC_VECTOR ( 127 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 127 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_top : entity is "blk_mem_gen_top"; end shd_fifo_blk_mem_gen_top; architecture STRUCTURE of shd_fifo_blk_mem_gen_top is begin \valid.cstr\: entity work.shd_fifo_blk_mem_gen_generic_cstr port map ( D(127 downto 0) => D(127 downto 0), E(0) => E(0), Q(0) => Q(0), din(127 downto 0) => din(127 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_blk_mem_gen_v8_3_1_synth is port ( D : out STD_LOGIC_VECTOR ( 127 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 127 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_v8_3_1_synth : entity is "blk_mem_gen_v8_3_1_synth"; end shd_fifo_blk_mem_gen_v8_3_1_synth; architecture STRUCTURE of shd_fifo_blk_mem_gen_v8_3_1_synth is begin \gnativebmg.native_blk_mem_gen\: entity work.shd_fifo_blk_mem_gen_top port map ( D(127 downto 0) => D(127 downto 0), E(0) => E(0), Q(0) => Q(0), din(127 downto 0) => din(127 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_blk_mem_gen_v8_3_1 is port ( D : out STD_LOGIC_VECTOR ( 127 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 127 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_v8_3_1 : entity is "blk_mem_gen_v8_3_1"; end shd_fifo_blk_mem_gen_v8_3_1; architecture STRUCTURE of shd_fifo_blk_mem_gen_v8_3_1 is begin inst_blk_mem_gen: entity work.shd_fifo_blk_mem_gen_v8_3_1_synth port map ( D(127 downto 0) => D(127 downto 0), E(0) => E(0), Q(0) => Q(0), din(127 downto 0) => din(127 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_memory is port ( dout : out STD_LOGIC_VECTOR ( 127 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 127 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_memory : entity is "memory"; end shd_fifo_memory; architecture STRUCTURE of shd_fifo_memory is signal doutb : STD_LOGIC_VECTOR ( 127 downto 0 ); begin \gbm.gbmg.gbmga.ngecc.bmg\: entity work.shd_fifo_blk_mem_gen_v8_3_1 port map ( D(127 downto 0) => doutb(127 downto 0), E(0) => E(0), Q(0) => Q(0), din(127 downto 0) => din(127 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); \goreg_bm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(0), Q => dout(0), R => Q(0) ); \goreg_bm.dout_i_reg[100]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(100), Q => dout(100), R => Q(0) ); \goreg_bm.dout_i_reg[101]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(101), Q => dout(101), R => Q(0) ); \goreg_bm.dout_i_reg[102]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(102), Q => dout(102), R => Q(0) ); \goreg_bm.dout_i_reg[103]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(103), Q => dout(103), R => Q(0) ); \goreg_bm.dout_i_reg[104]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(104), Q => dout(104), R => Q(0) ); \goreg_bm.dout_i_reg[105]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(105), Q => dout(105), R => Q(0) ); \goreg_bm.dout_i_reg[106]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(106), Q => dout(106), R => Q(0) ); \goreg_bm.dout_i_reg[107]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(107), Q => dout(107), R => Q(0) ); \goreg_bm.dout_i_reg[108]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(108), Q => dout(108), R => Q(0) ); \goreg_bm.dout_i_reg[109]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(109), Q => dout(109), R => Q(0) ); \goreg_bm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(10), Q => dout(10), R => Q(0) ); \goreg_bm.dout_i_reg[110]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(110), Q => dout(110), R => Q(0) ); \goreg_bm.dout_i_reg[111]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(111), Q => dout(111), R => Q(0) ); \goreg_bm.dout_i_reg[112]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(112), Q => dout(112), R => Q(0) ); \goreg_bm.dout_i_reg[113]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(113), Q => dout(113), R => Q(0) ); \goreg_bm.dout_i_reg[114]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(114), Q => dout(114), R => Q(0) ); \goreg_bm.dout_i_reg[115]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(115), Q => dout(115), R => Q(0) ); \goreg_bm.dout_i_reg[116]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(116), Q => dout(116), R => Q(0) ); \goreg_bm.dout_i_reg[117]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(117), Q => dout(117), R => Q(0) ); \goreg_bm.dout_i_reg[118]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(118), Q => dout(118), R => Q(0) ); \goreg_bm.dout_i_reg[119]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(119), Q => dout(119), R => Q(0) ); \goreg_bm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(11), Q => dout(11), R => Q(0) ); \goreg_bm.dout_i_reg[120]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(120), Q => dout(120), R => Q(0) ); \goreg_bm.dout_i_reg[121]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(121), Q => dout(121), R => Q(0) ); \goreg_bm.dout_i_reg[122]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(122), Q => dout(122), R => Q(0) ); \goreg_bm.dout_i_reg[123]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(123), Q => dout(123), R => Q(0) ); \goreg_bm.dout_i_reg[124]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(124), Q => dout(124), R => Q(0) ); \goreg_bm.dout_i_reg[125]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(125), Q => dout(125), R => Q(0) ); \goreg_bm.dout_i_reg[126]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(126), Q => dout(126), R => Q(0) ); \goreg_bm.dout_i_reg[127]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(127), Q => dout(127), R => Q(0) ); \goreg_bm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(12), Q => dout(12), R => Q(0) ); \goreg_bm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(13), Q => dout(13), R => Q(0) ); \goreg_bm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(14), Q => dout(14), R => Q(0) ); \goreg_bm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(15), Q => dout(15), R => Q(0) ); \goreg_bm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(16), Q => dout(16), R => Q(0) ); \goreg_bm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(17), Q => dout(17), R => Q(0) ); \goreg_bm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(18), Q => dout(18), R => Q(0) ); \goreg_bm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(19), Q => dout(19), R => Q(0) ); \goreg_bm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(1), Q => dout(1), R => Q(0) ); \goreg_bm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(20), Q => dout(20), R => Q(0) ); \goreg_bm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(21), Q => dout(21), R => Q(0) ); \goreg_bm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(22), Q => dout(22), R => Q(0) ); \goreg_bm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(23), Q => dout(23), R => Q(0) ); \goreg_bm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(24), Q => dout(24), R => Q(0) ); \goreg_bm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(25), Q => dout(25), R => Q(0) ); \goreg_bm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(26), Q => dout(26), R => Q(0) ); \goreg_bm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(27), Q => dout(27), R => Q(0) ); \goreg_bm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(28), Q => dout(28), R => Q(0) ); \goreg_bm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(29), Q => dout(29), R => Q(0) ); \goreg_bm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(2), Q => dout(2), R => Q(0) ); \goreg_bm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(30), Q => dout(30), R => Q(0) ); \goreg_bm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(31), Q => dout(31), R => Q(0) ); \goreg_bm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(32), Q => dout(32), R => Q(0) ); \goreg_bm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(33), Q => dout(33), R => Q(0) ); \goreg_bm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(34), Q => dout(34), R => Q(0) ); \goreg_bm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(35), Q => dout(35), R => Q(0) ); \goreg_bm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(36), Q => dout(36), R => Q(0) ); \goreg_bm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(37), Q => dout(37), R => Q(0) ); \goreg_bm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(38), Q => dout(38), R => Q(0) ); \goreg_bm.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(39), Q => dout(39), R => Q(0) ); \goreg_bm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(3), Q => dout(3), R => Q(0) ); \goreg_bm.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(40), Q => dout(40), R => Q(0) ); \goreg_bm.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(41), Q => dout(41), R => Q(0) ); \goreg_bm.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(42), Q => dout(42), R => Q(0) ); \goreg_bm.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(43), Q => dout(43), R => Q(0) ); \goreg_bm.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(44), Q => dout(44), R => Q(0) ); \goreg_bm.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(45), Q => dout(45), R => Q(0) ); \goreg_bm.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(46), Q => dout(46), R => Q(0) ); \goreg_bm.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(47), Q => dout(47), R => Q(0) ); \goreg_bm.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(48), Q => dout(48), R => Q(0) ); \goreg_bm.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(49), Q => dout(49), R => Q(0) ); \goreg_bm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(4), Q => dout(4), R => Q(0) ); \goreg_bm.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(50), Q => dout(50), R => Q(0) ); \goreg_bm.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(51), Q => dout(51), R => Q(0) ); \goreg_bm.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(52), Q => dout(52), R => Q(0) ); \goreg_bm.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(53), Q => dout(53), R => Q(0) ); \goreg_bm.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(54), Q => dout(54), R => Q(0) ); \goreg_bm.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(55), Q => dout(55), R => Q(0) ); \goreg_bm.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(56), Q => dout(56), R => Q(0) ); \goreg_bm.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(57), Q => dout(57), R => Q(0) ); \goreg_bm.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(58), Q => dout(58), R => Q(0) ); \goreg_bm.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(59), Q => dout(59), R => Q(0) ); \goreg_bm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(5), Q => dout(5), R => Q(0) ); \goreg_bm.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(60), Q => dout(60), R => Q(0) ); \goreg_bm.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(61), Q => dout(61), R => Q(0) ); \goreg_bm.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(62), Q => dout(62), R => Q(0) ); \goreg_bm.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(63), Q => dout(63), R => Q(0) ); \goreg_bm.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(64), Q => dout(64), R => Q(0) ); \goreg_bm.dout_i_reg[65]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(65), Q => dout(65), R => Q(0) ); \goreg_bm.dout_i_reg[66]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(66), Q => dout(66), R => Q(0) ); \goreg_bm.dout_i_reg[67]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(67), Q => dout(67), R => Q(0) ); \goreg_bm.dout_i_reg[68]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(68), Q => dout(68), R => Q(0) ); \goreg_bm.dout_i_reg[69]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(69), Q => dout(69), R => Q(0) ); \goreg_bm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(6), Q => dout(6), R => Q(0) ); \goreg_bm.dout_i_reg[70]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(70), Q => dout(70), R => Q(0) ); \goreg_bm.dout_i_reg[71]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(71), Q => dout(71), R => Q(0) ); \goreg_bm.dout_i_reg[72]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(72), Q => dout(72), R => Q(0) ); \goreg_bm.dout_i_reg[73]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(73), Q => dout(73), R => Q(0) ); \goreg_bm.dout_i_reg[74]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(74), Q => dout(74), R => Q(0) ); \goreg_bm.dout_i_reg[75]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(75), Q => dout(75), R => Q(0) ); \goreg_bm.dout_i_reg[76]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(76), Q => dout(76), R => Q(0) ); \goreg_bm.dout_i_reg[77]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(77), Q => dout(77), R => Q(0) ); \goreg_bm.dout_i_reg[78]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(78), Q => dout(78), R => Q(0) ); \goreg_bm.dout_i_reg[79]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(79), Q => dout(79), R => Q(0) ); \goreg_bm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(7), Q => dout(7), R => Q(0) ); \goreg_bm.dout_i_reg[80]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(80), Q => dout(80), R => Q(0) ); \goreg_bm.dout_i_reg[81]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(81), Q => dout(81), R => Q(0) ); \goreg_bm.dout_i_reg[82]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(82), Q => dout(82), R => Q(0) ); \goreg_bm.dout_i_reg[83]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(83), Q => dout(83), R => Q(0) ); \goreg_bm.dout_i_reg[84]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(84), Q => dout(84), R => Q(0) ); \goreg_bm.dout_i_reg[85]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(85), Q => dout(85), R => Q(0) ); \goreg_bm.dout_i_reg[86]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(86), Q => dout(86), R => Q(0) ); \goreg_bm.dout_i_reg[87]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(87), Q => dout(87), R => Q(0) ); \goreg_bm.dout_i_reg[88]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(88), Q => dout(88), R => Q(0) ); \goreg_bm.dout_i_reg[89]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(89), Q => dout(89), R => Q(0) ); \goreg_bm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(8), Q => dout(8), R => Q(0) ); \goreg_bm.dout_i_reg[90]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(90), Q => dout(90), R => Q(0) ); \goreg_bm.dout_i_reg[91]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(91), Q => dout(91), R => Q(0) ); \goreg_bm.dout_i_reg[92]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(92), Q => dout(92), R => Q(0) ); \goreg_bm.dout_i_reg[93]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(93), Q => dout(93), R => Q(0) ); \goreg_bm.dout_i_reg[94]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(94), Q => dout(94), R => Q(0) ); \goreg_bm.dout_i_reg[95]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(95), Q => dout(95), R => Q(0) ); \goreg_bm.dout_i_reg[96]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(96), Q => dout(96), R => Q(0) ); \goreg_bm.dout_i_reg[97]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(97), Q => dout(97), R => Q(0) ); \goreg_bm.dout_i_reg[98]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(98), Q => dout(98), R => Q(0) ); \goreg_bm.dout_i_reg[99]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(99), Q => dout(99), R => Q(0) ); \goreg_bm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => doutb(9), Q => dout(9), R => Q(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_fifo_generator_ramfifo is port ( empty : out STD_LOGIC; full : out STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 127 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 127 downto 0 ); rst : in STD_LOGIC; rd_en : in STD_LOGIC; wr_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo"; end shd_fifo_fifo_generator_ramfifo; architecture STRUCTURE of shd_fifo_fifo_generator_ramfifo is signal RD_RST : STD_LOGIC; signal \^rst\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_0\ : STD_LOGIC; signal \gras.rsts/c1/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \gwas.wsts/c1/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \gwas.wsts/c2/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_0_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal p_11_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_17_out : STD_LOGIC; signal p_21_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal p_22_out : STD_LOGIC_VECTOR ( 8 to 8 ); signal p_5_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal rst_full_gen_i : STD_LOGIC; signal tmp_ram_rd_en : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal wr_rst_i : STD_LOGIC_VECTOR ( 0 to 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.shd_fifo_clk_x_pntrs port map ( Q(8 downto 0) => p_0_out(8 downto 0), RD_PNTR_WR(0) => p_22_out(8), WR_PNTR_RD(8 downto 0) => p_21_out(8 downto 0), \gc0.count_reg[7]\(7 downto 0) => rd_pntr_plus1(7 downto 0), \gic0.gc0.count_d1_reg[7]\(7 downto 0) => p_12_out(7 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => p_11_out(8 downto 0), \gic0.gc0.count_reg[7]\(7 downto 0) => wr_pntr_plus2(7 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => wr_rst_i(0), ram_empty_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_0\, rd_clk => rd_clk, v1_reg(3 downto 0) => \gras.rsts/c1/v1_reg\(3 downto 0), v1_reg_0(3 downto 0) => \gwas.wsts/c1/v1_reg\(3 downto 0), v1_reg_1(3 downto 0) => \gwas.wsts/c2/v1_reg\(3 downto 0), wr_clk => wr_clk ); \gntv_or_sync_fifo.gl0.rd\: entity work.shd_fifo_rd_logic port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0) => p_0_out(8 downto 0), E(0) => p_5_out, Q(1) => RD_RST, Q(0) => rd_rst_i(0), WR_PNTR_RD(8 downto 0) => p_21_out(8 downto 0), empty => empty, \gc0.count_d1_reg[7]\(7 downto 0) => rd_pntr_plus1(7 downto 0), rd_clk => rd_clk, rd_en => rd_en, tmp_ram_rd_en => tmp_ram_rd_en, v1_reg(3 downto 0) => \gras.rsts/c1/v1_reg\(3 downto 0), \wr_pntr_bin_reg[8]\ => \gntv_or_sync_fifo.gcx.clkx_n_0\ ); \gntv_or_sync_fifo.gl0.wr\: entity work.shd_fifo_wr_logic port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0) => p_11_out(8 downto 0), E(0) => p_17_out, Q(7 downto 0) => p_12_out(7 downto 0), RD_PNTR_WR(0) => p_22_out(8), full => full, \gic0.gc0.count_d1_reg[7]\(7 downto 0) => wr_pntr_plus2(7 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \^rst\, rst_full_ff_i => rst_full_ff_i, rst_full_gen_i => rst_full_gen_i, v1_reg(3 downto 0) => \gwas.wsts/c1/v1_reg\(3 downto 0), v1_reg_0(3 downto 0) => \gwas.wsts/c2/v1_reg\(3 downto 0), wr_clk => wr_clk, wr_en => wr_en ); \gntv_or_sync_fifo.mem\: entity work.shd_fifo_memory port map ( E(0) => p_17_out, Q(0) => rd_rst_i(0), din(127 downto 0) => din(127 downto 0), dout(127 downto 0) => dout(127 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => p_0_out(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => p_11_out(8 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => p_5_out, rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); rstblk: entity work.\shd_fifo_reset_blk_ramfifo__parameterized0\ port map ( Q(1) => \^rst\, Q(0) => wr_rst_i(0), \gc0.count_reg[1]\(2) => RD_RST, \gc0.count_reg[1]\(1 downto 0) => rd_rst_i(1 downto 0), rd_clk => rd_clk, rst => rst, rst_full_ff_i => rst_full_ff_i, rst_full_gen_i => rst_full_gen_i, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_fifo_generator_top is port ( empty : out STD_LOGIC; full : out STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 127 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 127 downto 0 ); rst : in STD_LOGIC; rd_en : in STD_LOGIC; wr_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_fifo_generator_top : entity is "fifo_generator_top"; end shd_fifo_fifo_generator_top; architecture STRUCTURE of shd_fifo_fifo_generator_top is begin \grf.rf\: entity work.shd_fifo_fifo_generator_ramfifo port map ( din(127 downto 0) => din(127 downto 0), dout(127 downto 0) => dout(127 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_fifo_generator_v13_0_1_synth is port ( dout : out STD_LOGIC_VECTOR ( 127 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; rd_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 127 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; rst : in STD_LOGIC; wr_en : in STD_LOGIC; s_aresetn : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_fifo_generator_v13_0_1_synth : entity is "fifo_generator_v13_0_1_synth"; end shd_fifo_fifo_generator_v13_0_1_synth; architecture STRUCTURE of shd_fifo_fifo_generator_v13_0_1_synth is begin \gconvfifo.rf\: entity work.shd_fifo_fifo_generator_top port map ( din(127 downto 0) => din(127 downto 0), dout(127 downto 0) => dout(127 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en ); \reset_gen_ic.rstblk_cc\: entity work.shd_fifo_reset_blk_ramfifo port map ( m_aclk => m_aclk, s_aclk => s_aclk, s_aresetn => s_aresetn ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo_fifo_generator_v13_0_1 is port ( backup : in STD_LOGIC; backup_marker : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; srst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_rst : in STD_LOGIC; rd_clk : in STD_LOGIC; rd_rst : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 127 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; prog_empty_thresh : in STD_LOGIC_VECTOR ( 8 downto 0 ); prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 8 downto 0 ); prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 8 downto 0 ); prog_full_thresh : in STD_LOGIC_VECTOR ( 8 downto 0 ); prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 8 downto 0 ); prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 8 downto 0 ); int_clk : in STD_LOGIC; injectdbiterr : in STD_LOGIC; injectsbiterr : in STD_LOGIC; sleep : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 127 downto 0 ); full : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; overflow : out STD_LOGIC; empty : out STD_LOGIC; almost_empty : out STD_LOGIC; valid : out STD_LOGIC; underflow : out STD_LOGIC; data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); rd_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); wr_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); prog_full : out STD_LOGIC; prog_empty : out STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; m_aclk_en : in STD_LOGIC; s_aclk_en : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC; s_axis_tvalid : in STD_LOGIC; s_axis_tready : out STD_LOGIC; s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tlast : in STD_LOGIC; s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tvalid : out STD_LOGIC; m_axis_tready : in STD_LOGIC; m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tlast : out STD_LOGIC; m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_injectsbiterr : in STD_LOGIC; axi_aw_injectdbiterr : in STD_LOGIC; axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_sbiterr : out STD_LOGIC; axi_aw_dbiterr : out STD_LOGIC; axi_aw_overflow : out STD_LOGIC; axi_aw_underflow : out STD_LOGIC; axi_aw_prog_full : out STD_LOGIC; axi_aw_prog_empty : out STD_LOGIC; axi_w_injectsbiterr : in STD_LOGIC; axi_w_injectdbiterr : in STD_LOGIC; axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_sbiterr : out STD_LOGIC; axi_w_dbiterr : out STD_LOGIC; axi_w_overflow : out STD_LOGIC; axi_w_underflow : out STD_LOGIC; axi_w_prog_full : out STD_LOGIC; axi_w_prog_empty : out STD_LOGIC; axi_b_injectsbiterr : in STD_LOGIC; axi_b_injectdbiterr : in STD_LOGIC; axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_sbiterr : out STD_LOGIC; axi_b_dbiterr : out STD_LOGIC; axi_b_overflow : out STD_LOGIC; axi_b_underflow : out STD_LOGIC; axi_b_prog_full : out STD_LOGIC; axi_b_prog_empty : out STD_LOGIC; axi_ar_injectsbiterr : in STD_LOGIC; axi_ar_injectdbiterr : in STD_LOGIC; axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_sbiterr : out STD_LOGIC; axi_ar_dbiterr : out STD_LOGIC; axi_ar_overflow : out STD_LOGIC; axi_ar_underflow : out STD_LOGIC; axi_ar_prog_full : out STD_LOGIC; axi_ar_prog_empty : out STD_LOGIC; axi_r_injectsbiterr : in STD_LOGIC; axi_r_injectdbiterr : in STD_LOGIC; axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_sbiterr : out STD_LOGIC; axi_r_dbiterr : out STD_LOGIC; axi_r_overflow : out STD_LOGIC; axi_r_underflow : out STD_LOGIC; axi_r_prog_full : out STD_LOGIC; axi_r_prog_empty : out STD_LOGIC; axis_injectsbiterr : in STD_LOGIC; axis_injectdbiterr : in STD_LOGIC; axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_sbiterr : out STD_LOGIC; axis_dbiterr : out STD_LOGIC; axis_overflow : out STD_LOGIC; axis_underflow : out STD_LOGIC; axis_prog_full : out STD_LOGIC; axis_prog_empty : out STD_LOGIC ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 9; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of shd_fifo_fifo_generator_v13_0_1 : entity is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 128; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 32; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 128; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of shd_fifo_fifo_generator_v13_0_1 : entity is "virtex7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 2; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of shd_fifo_fifo_generator_v13_0_1 : entity is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is "512x72"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 4; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 5; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 511; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 510; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 9; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of shd_fifo_fifo_generator_v13_0_1 : entity is 512; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 9; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of shd_fifo_fifo_generator_v13_0_1 : entity is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 9; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of shd_fifo_fifo_generator_v13_0_1 : entity is 512; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 9; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of shd_fifo_fifo_generator_v13_0_1 : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of shd_fifo_fifo_generator_v13_0_1 : entity is "fifo_generator_v13_0_1"; end shd_fifo_fifo_generator_v13_0_1; architecture STRUCTURE of shd_fifo_fifo_generator_v13_0_1 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; begin almost_empty <= \<const0>\; almost_full <= \<const0>\; axi_ar_data_count(4) <= \<const0>\; axi_ar_data_count(3) <= \<const0>\; axi_ar_data_count(2) <= \<const0>\; axi_ar_data_count(1) <= \<const0>\; axi_ar_data_count(0) <= \<const0>\; axi_ar_dbiterr <= \<const0>\; axi_ar_overflow <= \<const0>\; axi_ar_prog_empty <= \<const1>\; axi_ar_prog_full <= \<const0>\; axi_ar_rd_data_count(4) <= \<const0>\; axi_ar_rd_data_count(3) <= \<const0>\; axi_ar_rd_data_count(2) <= \<const0>\; axi_ar_rd_data_count(1) <= \<const0>\; axi_ar_rd_data_count(0) <= \<const0>\; axi_ar_sbiterr <= \<const0>\; axi_ar_underflow <= \<const0>\; axi_ar_wr_data_count(4) <= \<const0>\; axi_ar_wr_data_count(3) <= \<const0>\; axi_ar_wr_data_count(2) <= \<const0>\; axi_ar_wr_data_count(1) <= \<const0>\; axi_ar_wr_data_count(0) <= \<const0>\; axi_aw_data_count(4) <= \<const0>\; axi_aw_data_count(3) <= \<const0>\; axi_aw_data_count(2) <= \<const0>\; axi_aw_data_count(1) <= \<const0>\; axi_aw_data_count(0) <= \<const0>\; axi_aw_dbiterr <= \<const0>\; axi_aw_overflow <= \<const0>\; axi_aw_prog_empty <= \<const1>\; axi_aw_prog_full <= \<const0>\; axi_aw_rd_data_count(4) <= \<const0>\; axi_aw_rd_data_count(3) <= \<const0>\; axi_aw_rd_data_count(2) <= \<const0>\; axi_aw_rd_data_count(1) <= \<const0>\; axi_aw_rd_data_count(0) <= \<const0>\; axi_aw_sbiterr <= \<const0>\; axi_aw_underflow <= \<const0>\; axi_aw_wr_data_count(4) <= \<const0>\; axi_aw_wr_data_count(3) <= \<const0>\; axi_aw_wr_data_count(2) <= \<const0>\; axi_aw_wr_data_count(1) <= \<const0>\; axi_aw_wr_data_count(0) <= \<const0>\; axi_b_data_count(4) <= \<const0>\; axi_b_data_count(3) <= \<const0>\; axi_b_data_count(2) <= \<const0>\; axi_b_data_count(1) <= \<const0>\; axi_b_data_count(0) <= \<const0>\; axi_b_dbiterr <= \<const0>\; axi_b_overflow <= \<const0>\; axi_b_prog_empty <= \<const1>\; axi_b_prog_full <= \<const0>\; axi_b_rd_data_count(4) <= \<const0>\; axi_b_rd_data_count(3) <= \<const0>\; axi_b_rd_data_count(2) <= \<const0>\; axi_b_rd_data_count(1) <= \<const0>\; axi_b_rd_data_count(0) <= \<const0>\; axi_b_sbiterr <= \<const0>\; axi_b_underflow <= \<const0>\; axi_b_wr_data_count(4) <= \<const0>\; axi_b_wr_data_count(3) <= \<const0>\; axi_b_wr_data_count(2) <= \<const0>\; axi_b_wr_data_count(1) <= \<const0>\; axi_b_wr_data_count(0) <= \<const0>\; axi_r_data_count(10) <= \<const0>\; axi_r_data_count(9) <= \<const0>\; axi_r_data_count(8) <= \<const0>\; axi_r_data_count(7) <= \<const0>\; axi_r_data_count(6) <= \<const0>\; axi_r_data_count(5) <= \<const0>\; axi_r_data_count(4) <= \<const0>\; axi_r_data_count(3) <= \<const0>\; axi_r_data_count(2) <= \<const0>\; axi_r_data_count(1) <= \<const0>\; axi_r_data_count(0) <= \<const0>\; axi_r_dbiterr <= \<const0>\; axi_r_overflow <= \<const0>\; axi_r_prog_empty <= \<const1>\; axi_r_prog_full <= \<const0>\; axi_r_rd_data_count(10) <= \<const0>\; axi_r_rd_data_count(9) <= \<const0>\; axi_r_rd_data_count(8) <= \<const0>\; axi_r_rd_data_count(7) <= \<const0>\; axi_r_rd_data_count(6) <= \<const0>\; axi_r_rd_data_count(5) <= \<const0>\; axi_r_rd_data_count(4) <= \<const0>\; axi_r_rd_data_count(3) <= \<const0>\; axi_r_rd_data_count(2) <= \<const0>\; axi_r_rd_data_count(1) <= \<const0>\; axi_r_rd_data_count(0) <= \<const0>\; axi_r_sbiterr <= \<const0>\; axi_r_underflow <= \<const0>\; axi_r_wr_data_count(10) <= \<const0>\; axi_r_wr_data_count(9) <= \<const0>\; axi_r_wr_data_count(8) <= \<const0>\; axi_r_wr_data_count(7) <= \<const0>\; axi_r_wr_data_count(6) <= \<const0>\; axi_r_wr_data_count(5) <= \<const0>\; axi_r_wr_data_count(4) <= \<const0>\; axi_r_wr_data_count(3) <= \<const0>\; axi_r_wr_data_count(2) <= \<const0>\; axi_r_wr_data_count(1) <= \<const0>\; axi_r_wr_data_count(0) <= \<const0>\; axi_w_data_count(10) <= \<const0>\; axi_w_data_count(9) <= \<const0>\; axi_w_data_count(8) <= \<const0>\; axi_w_data_count(7) <= \<const0>\; axi_w_data_count(6) <= \<const0>\; axi_w_data_count(5) <= \<const0>\; axi_w_data_count(4) <= \<const0>\; axi_w_data_count(3) <= \<const0>\; axi_w_data_count(2) <= \<const0>\; axi_w_data_count(1) <= \<const0>\; axi_w_data_count(0) <= \<const0>\; axi_w_dbiterr <= \<const0>\; axi_w_overflow <= \<const0>\; axi_w_prog_empty <= \<const1>\; axi_w_prog_full <= \<const0>\; axi_w_rd_data_count(10) <= \<const0>\; axi_w_rd_data_count(9) <= \<const0>\; axi_w_rd_data_count(8) <= \<const0>\; axi_w_rd_data_count(7) <= \<const0>\; axi_w_rd_data_count(6) <= \<const0>\; axi_w_rd_data_count(5) <= \<const0>\; axi_w_rd_data_count(4) <= \<const0>\; axi_w_rd_data_count(3) <= \<const0>\; axi_w_rd_data_count(2) <= \<const0>\; axi_w_rd_data_count(1) <= \<const0>\; axi_w_rd_data_count(0) <= \<const0>\; axi_w_sbiterr <= \<const0>\; axi_w_underflow <= \<const0>\; axi_w_wr_data_count(10) <= \<const0>\; axi_w_wr_data_count(9) <= \<const0>\; axi_w_wr_data_count(8) <= \<const0>\; axi_w_wr_data_count(7) <= \<const0>\; axi_w_wr_data_count(6) <= \<const0>\; axi_w_wr_data_count(5) <= \<const0>\; axi_w_wr_data_count(4) <= \<const0>\; axi_w_wr_data_count(3) <= \<const0>\; axi_w_wr_data_count(2) <= \<const0>\; axi_w_wr_data_count(1) <= \<const0>\; axi_w_wr_data_count(0) <= \<const0>\; axis_data_count(10) <= \<const0>\; axis_data_count(9) <= \<const0>\; axis_data_count(8) <= \<const0>\; axis_data_count(7) <= \<const0>\; axis_data_count(6) <= \<const0>\; axis_data_count(5) <= \<const0>\; axis_data_count(4) <= \<const0>\; axis_data_count(3) <= \<const0>\; axis_data_count(2) <= \<const0>\; axis_data_count(1) <= \<const0>\; axis_data_count(0) <= \<const0>\; axis_dbiterr <= \<const0>\; axis_overflow <= \<const0>\; axis_prog_empty <= \<const1>\; axis_prog_full <= \<const0>\; axis_rd_data_count(10) <= \<const0>\; axis_rd_data_count(9) <= \<const0>\; axis_rd_data_count(8) <= \<const0>\; axis_rd_data_count(7) <= \<const0>\; axis_rd_data_count(6) <= \<const0>\; axis_rd_data_count(5) <= \<const0>\; axis_rd_data_count(4) <= \<const0>\; axis_rd_data_count(3) <= \<const0>\; axis_rd_data_count(2) <= \<const0>\; axis_rd_data_count(1) <= \<const0>\; axis_rd_data_count(0) <= \<const0>\; axis_sbiterr <= \<const0>\; axis_underflow <= \<const0>\; axis_wr_data_count(10) <= \<const0>\; axis_wr_data_count(9) <= \<const0>\; axis_wr_data_count(8) <= \<const0>\; axis_wr_data_count(7) <= \<const0>\; axis_wr_data_count(6) <= \<const0>\; axis_wr_data_count(5) <= \<const0>\; axis_wr_data_count(4) <= \<const0>\; axis_wr_data_count(3) <= \<const0>\; axis_wr_data_count(2) <= \<const0>\; axis_wr_data_count(1) <= \<const0>\; axis_wr_data_count(0) <= \<const0>\; data_count(8) <= \<const0>\; data_count(7) <= \<const0>\; data_count(6) <= \<const0>\; data_count(5) <= \<const0>\; data_count(4) <= \<const0>\; data_count(3) <= \<const0>\; data_count(2) <= \<const0>\; data_count(1) <= \<const0>\; data_count(0) <= \<const0>\; dbiterr <= \<const0>\; m_axi_araddr(31) <= \<const0>\; m_axi_araddr(30) <= \<const0>\; m_axi_araddr(29) <= \<const0>\; m_axi_araddr(28) <= \<const0>\; m_axi_araddr(27) <= \<const0>\; m_axi_araddr(26) <= \<const0>\; m_axi_araddr(25) <= \<const0>\; m_axi_araddr(24) <= \<const0>\; m_axi_araddr(23) <= \<const0>\; m_axi_araddr(22) <= \<const0>\; m_axi_araddr(21) <= \<const0>\; m_axi_araddr(20) <= \<const0>\; m_axi_araddr(19) <= \<const0>\; m_axi_araddr(18) <= \<const0>\; m_axi_araddr(17) <= \<const0>\; m_axi_araddr(16) <= \<const0>\; m_axi_araddr(15) <= \<const0>\; m_axi_araddr(14) <= \<const0>\; m_axi_araddr(13) <= \<const0>\; m_axi_araddr(12) <= \<const0>\; m_axi_araddr(11) <= \<const0>\; m_axi_araddr(10) <= \<const0>\; m_axi_araddr(9) <= \<const0>\; m_axi_araddr(8) <= \<const0>\; m_axi_araddr(7) <= \<const0>\; m_axi_araddr(6) <= \<const0>\; m_axi_araddr(5) <= \<const0>\; m_axi_araddr(4) <= \<const0>\; m_axi_araddr(3) <= \<const0>\; m_axi_araddr(2) <= \<const0>\; m_axi_araddr(1) <= \<const0>\; m_axi_araddr(0) <= \<const0>\; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const0>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arprot(2) <= \<const0>\; m_axi_arprot(1) <= \<const0>\; m_axi_arprot(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const0>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_arvalid <= \<const0>\; m_axi_awaddr(31) <= \<const0>\; m_axi_awaddr(30) <= \<const0>\; m_axi_awaddr(29) <= \<const0>\; m_axi_awaddr(28) <= \<const0>\; m_axi_awaddr(27) <= \<const0>\; m_axi_awaddr(26) <= \<const0>\; m_axi_awaddr(25) <= \<const0>\; m_axi_awaddr(24) <= \<const0>\; m_axi_awaddr(23) <= \<const0>\; m_axi_awaddr(22) <= \<const0>\; m_axi_awaddr(21) <= \<const0>\; m_axi_awaddr(20) <= \<const0>\; m_axi_awaddr(19) <= \<const0>\; m_axi_awaddr(18) <= \<const0>\; m_axi_awaddr(17) <= \<const0>\; m_axi_awaddr(16) <= \<const0>\; m_axi_awaddr(15) <= \<const0>\; m_axi_awaddr(14) <= \<const0>\; m_axi_awaddr(13) <= \<const0>\; m_axi_awaddr(12) <= \<const0>\; m_axi_awaddr(11) <= \<const0>\; m_axi_awaddr(10) <= \<const0>\; m_axi_awaddr(9) <= \<const0>\; m_axi_awaddr(8) <= \<const0>\; m_axi_awaddr(7) <= \<const0>\; m_axi_awaddr(6) <= \<const0>\; m_axi_awaddr(5) <= \<const0>\; m_axi_awaddr(4) <= \<const0>\; m_axi_awaddr(3) <= \<const0>\; m_axi_awaddr(2) <= \<const0>\; m_axi_awaddr(1) <= \<const0>\; m_axi_awaddr(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const0>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awprot(2) <= \<const0>\; m_axi_awprot(1) <= \<const0>\; m_axi_awprot(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const0>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_awvalid <= \<const0>\; m_axi_bready <= \<const0>\; m_axi_rready <= \<const0>\; m_axi_wdata(63) <= \<const0>\; m_axi_wdata(62) <= \<const0>\; m_axi_wdata(61) <= \<const0>\; m_axi_wdata(60) <= \<const0>\; m_axi_wdata(59) <= \<const0>\; m_axi_wdata(58) <= \<const0>\; m_axi_wdata(57) <= \<const0>\; m_axi_wdata(56) <= \<const0>\; m_axi_wdata(55) <= \<const0>\; m_axi_wdata(54) <= \<const0>\; m_axi_wdata(53) <= \<const0>\; m_axi_wdata(52) <= \<const0>\; m_axi_wdata(51) <= \<const0>\; m_axi_wdata(50) <= \<const0>\; m_axi_wdata(49) <= \<const0>\; m_axi_wdata(48) <= \<const0>\; m_axi_wdata(47) <= \<const0>\; m_axi_wdata(46) <= \<const0>\; m_axi_wdata(45) <= \<const0>\; m_axi_wdata(44) <= \<const0>\; m_axi_wdata(43) <= \<const0>\; m_axi_wdata(42) <= \<const0>\; m_axi_wdata(41) <= \<const0>\; m_axi_wdata(40) <= \<const0>\; m_axi_wdata(39) <= \<const0>\; m_axi_wdata(38) <= \<const0>\; m_axi_wdata(37) <= \<const0>\; m_axi_wdata(36) <= \<const0>\; m_axi_wdata(35) <= \<const0>\; m_axi_wdata(34) <= \<const0>\; m_axi_wdata(33) <= \<const0>\; m_axi_wdata(32) <= \<const0>\; m_axi_wdata(31) <= \<const0>\; m_axi_wdata(30) <= \<const0>\; m_axi_wdata(29) <= \<const0>\; m_axi_wdata(28) <= \<const0>\; m_axi_wdata(27) <= \<const0>\; m_axi_wdata(26) <= \<const0>\; m_axi_wdata(25) <= \<const0>\; m_axi_wdata(24) <= \<const0>\; m_axi_wdata(23) <= \<const0>\; m_axi_wdata(22) <= \<const0>\; m_axi_wdata(21) <= \<const0>\; m_axi_wdata(20) <= \<const0>\; m_axi_wdata(19) <= \<const0>\; m_axi_wdata(18) <= \<const0>\; m_axi_wdata(17) <= \<const0>\; m_axi_wdata(16) <= \<const0>\; m_axi_wdata(15) <= \<const0>\; m_axi_wdata(14) <= \<const0>\; m_axi_wdata(13) <= \<const0>\; m_axi_wdata(12) <= \<const0>\; m_axi_wdata(11) <= \<const0>\; m_axi_wdata(10) <= \<const0>\; m_axi_wdata(9) <= \<const0>\; m_axi_wdata(8) <= \<const0>\; m_axi_wdata(7) <= \<const0>\; m_axi_wdata(6) <= \<const0>\; m_axi_wdata(5) <= \<const0>\; m_axi_wdata(4) <= \<const0>\; m_axi_wdata(3) <= \<const0>\; m_axi_wdata(2) <= \<const0>\; m_axi_wdata(1) <= \<const0>\; m_axi_wdata(0) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const0>\; m_axi_wstrb(7) <= \<const0>\; m_axi_wstrb(6) <= \<const0>\; m_axi_wstrb(5) <= \<const0>\; m_axi_wstrb(4) <= \<const0>\; m_axi_wstrb(3) <= \<const0>\; m_axi_wstrb(2) <= \<const0>\; m_axi_wstrb(1) <= \<const0>\; m_axi_wstrb(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \<const0>\; m_axis_tdata(7) <= \<const0>\; m_axis_tdata(6) <= \<const0>\; m_axis_tdata(5) <= \<const0>\; m_axis_tdata(4) <= \<const0>\; m_axis_tdata(3) <= \<const0>\; m_axis_tdata(2) <= \<const0>\; m_axis_tdata(1) <= \<const0>\; m_axis_tdata(0) <= \<const0>\; m_axis_tdest(0) <= \<const0>\; m_axis_tid(0) <= \<const0>\; m_axis_tkeep(0) <= \<const0>\; m_axis_tlast <= \<const0>\; m_axis_tstrb(0) <= \<const0>\; m_axis_tuser(3) <= \<const0>\; m_axis_tuser(2) <= \<const0>\; m_axis_tuser(1) <= \<const0>\; m_axis_tuser(0) <= \<const0>\; m_axis_tvalid <= \<const0>\; overflow <= \<const0>\; prog_empty <= \<const0>\; prog_full <= \<const0>\; rd_data_count(8) <= \<const0>\; rd_data_count(7) <= \<const0>\; rd_data_count(6) <= \<const0>\; rd_data_count(5) <= \<const0>\; rd_data_count(4) <= \<const0>\; rd_data_count(3) <= \<const0>\; rd_data_count(2) <= \<const0>\; rd_data_count(1) <= \<const0>\; rd_data_count(0) <= \<const0>\; rd_rst_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_rdata(63) <= \<const0>\; s_axi_rdata(62) <= \<const0>\; s_axi_rdata(61) <= \<const0>\; s_axi_rdata(60) <= \<const0>\; s_axi_rdata(59) <= \<const0>\; s_axi_rdata(58) <= \<const0>\; s_axi_rdata(57) <= \<const0>\; s_axi_rdata(56) <= \<const0>\; s_axi_rdata(55) <= \<const0>\; s_axi_rdata(54) <= \<const0>\; s_axi_rdata(53) <= \<const0>\; s_axi_rdata(52) <= \<const0>\; s_axi_rdata(51) <= \<const0>\; s_axi_rdata(50) <= \<const0>\; s_axi_rdata(49) <= \<const0>\; s_axi_rdata(48) <= \<const0>\; s_axi_rdata(47) <= \<const0>\; s_axi_rdata(46) <= \<const0>\; s_axi_rdata(45) <= \<const0>\; s_axi_rdata(44) <= \<const0>\; s_axi_rdata(43) <= \<const0>\; s_axi_rdata(42) <= \<const0>\; s_axi_rdata(41) <= \<const0>\; s_axi_rdata(40) <= \<const0>\; s_axi_rdata(39) <= \<const0>\; s_axi_rdata(38) <= \<const0>\; s_axi_rdata(37) <= \<const0>\; s_axi_rdata(36) <= \<const0>\; s_axi_rdata(35) <= \<const0>\; s_axi_rdata(34) <= \<const0>\; s_axi_rdata(33) <= \<const0>\; s_axi_rdata(32) <= \<const0>\; s_axi_rdata(31) <= \<const0>\; s_axi_rdata(30) <= \<const0>\; s_axi_rdata(29) <= \<const0>\; s_axi_rdata(28) <= \<const0>\; s_axi_rdata(27) <= \<const0>\; s_axi_rdata(26) <= \<const0>\; s_axi_rdata(25) <= \<const0>\; s_axi_rdata(24) <= \<const0>\; s_axi_rdata(23) <= \<const0>\; s_axi_rdata(22) <= \<const0>\; s_axi_rdata(21) <= \<const0>\; s_axi_rdata(20) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_wready <= \<const0>\; s_axis_tready <= \<const0>\; sbiterr <= \<const0>\; underflow <= \<const0>\; valid <= \<const0>\; wr_ack <= \<const0>\; wr_data_count(8) <= \<const0>\; wr_data_count(7) <= \<const0>\; wr_data_count(6) <= \<const0>\; wr_data_count(5) <= \<const0>\; wr_data_count(4) <= \<const0>\; wr_data_count(3) <= \<const0>\; wr_data_count(2) <= \<const0>\; wr_data_count(1) <= \<const0>\; wr_data_count(0) <= \<const0>\; wr_rst_busy <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); inst_fifo_gen: entity work.shd_fifo_fifo_generator_v13_0_1_synth port map ( din(127 downto 0) => din(127 downto 0), dout(127 downto 0) => dout(127 downto 0), empty => empty, full => full, m_aclk => m_aclk, rd_clk => rd_clk, rd_en => rd_en, rst => rst, s_aclk => s_aclk, s_aresetn => s_aresetn, wr_clk => wr_clk, wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity shd_fifo is port ( rst : in STD_LOGIC; wr_clk : in STD_LOGIC; rd_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 127 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 127 downto 0 ); full : out STD_LOGIC; empty : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of shd_fifo : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of shd_fifo : entity is "shd_fifo,fifo_generator_v13_0_1,{}"; attribute core_generation_info : string; attribute core_generation_info of shd_fifo : entity is "shd_fifo,fifo_generator_v13_0_1,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=9,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=128,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=128,C_ENABLE_RLOCS=0,C_FAMILY=virtex7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=511,C_PROG_FULL_THRESH_NEGATE_VAL=510,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=9,C_RD_DEPTH=512,C_RD_FREQ=1,C_RD_PNTR_WIDTH=9,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=9,C_WR_DEPTH=512,C_WR_FREQ=1,C_WR_PNTR_WIDTH=9,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of shd_fifo : entity is "yes"; attribute x_core_info : string; attribute x_core_info of shd_fifo : entity is "fifo_generator_v13_0_1,Vivado 2015.4"; end shd_fifo; architecture STRUCTURE of shd_fifo is signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_valid_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of U0 : label is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of U0 : label is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of U0 : label is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of U0 : label is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of U0 : label is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of U0 : label is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of U0 : label is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of U0 : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of U0 : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of U0 : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of U0 : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of U0 : label is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of U0 : label is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of U0 : label is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of U0 : label is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of U0 : label is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of U0 : label is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of U0 : label is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of U0 : label is 9; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of U0 : label is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of U0 : label is 128; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of U0 : label is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of U0 : label is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of U0 : label is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of U0 : label is 32; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of U0 : label is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of U0 : label is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of U0 : label is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of U0 : label is 128; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of U0 : label is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of U0 : label is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "virtex7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of U0 : label is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of U0 : label is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of U0 : label is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of U0 : label is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of U0 : label is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of U0 : label is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of U0 : label is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of U0 : label is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of U0 : label is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of U0 : label is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of U0 : label is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of U0 : label is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of U0 : label is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of U0 : label is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of U0 : label is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of U0 : label is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of U0 : label is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of U0 : label is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of U0 : label is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of U0 : label is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of U0 : label is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of U0 : label is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of U0 : label is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of U0 : label is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of U0 : label is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of U0 : label is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of U0 : label is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of U0 : label is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of U0 : label is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of U0 : label is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of U0 : label is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of U0 : label is 2; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of U0 : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of U0 : label is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of U0 : label is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of U0 : label is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of U0 : label is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of U0 : label is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of U0 : label is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of U0 : label is 0; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of U0 : label is 1; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of U0 : label is "512x72"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 4; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 5; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 511; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 510; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of U0 : label is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of U0 : label is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of U0 : label is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 9; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of U0 : label is 512; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of U0 : label is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of U0 : label is 9; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of U0 : label is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of U0 : label is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of U0 : label is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of U0 : label is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of U0 : label is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of U0 : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of U0 : label is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of U0 : label is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of U0 : label is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of U0 : label is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of U0 : label is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of U0 : label is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of U0 : label is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of U0 : label is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of U0 : label is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of U0 : label is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of U0 : label is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of U0 : label is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of U0 : label is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of U0 : label is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 9; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of U0 : label is 512; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of U0 : label is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of U0 : label is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of U0 : label is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of U0 : label is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of U0 : label is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of U0 : label is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of U0 : label is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of U0 : label is 9; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of U0 : label is 1; begin U0: entity work.shd_fifo_fifo_generator_v13_0_1 port map ( almost_empty => NLW_U0_almost_empty_UNCONNECTED, almost_full => NLW_U0_almost_full_UNCONNECTED, axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0), axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED, axi_ar_injectdbiterr => '0', axi_ar_injectsbiterr => '0', axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED, axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED, axi_ar_prog_empty_thresh(3 downto 0) => B"0000", axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED, axi_ar_prog_full_thresh(3 downto 0) => B"0000", axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0), axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED, axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED, axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0), axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0), axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED, axi_aw_injectdbiterr => '0', axi_aw_injectsbiterr => '0', axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED, axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED, axi_aw_prog_empty_thresh(3 downto 0) => B"0000", axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED, axi_aw_prog_full_thresh(3 downto 0) => B"0000", axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0), axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED, axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED, axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0), axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0), axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED, axi_b_injectdbiterr => '0', axi_b_injectsbiterr => '0', axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED, axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED, axi_b_prog_empty_thresh(3 downto 0) => B"0000", axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED, axi_b_prog_full_thresh(3 downto 0) => B"0000", axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0), axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED, axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED, axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0), axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0), axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED, axi_r_injectdbiterr => '0', axi_r_injectsbiterr => '0', axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED, axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED, axi_r_prog_empty_thresh(9 downto 0) => B"0000000000", axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED, axi_r_prog_full_thresh(9 downto 0) => B"0000000000", axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0), axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED, axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED, axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0), axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0), axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED, axi_w_injectdbiterr => '0', axi_w_injectsbiterr => '0', axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED, axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED, axi_w_prog_empty_thresh(9 downto 0) => B"0000000000", axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED, axi_w_prog_full_thresh(9 downto 0) => B"0000000000", axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0), axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED, axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED, axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0), axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0), axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED, axis_injectdbiterr => '0', axis_injectsbiterr => '0', axis_overflow => NLW_U0_axis_overflow_UNCONNECTED, axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED, axis_prog_empty_thresh(9 downto 0) => B"0000000000", axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED, axis_prog_full_thresh(9 downto 0) => B"0000000000", axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0), axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED, axis_underflow => NLW_U0_axis_underflow_UNCONNECTED, axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0), backup => '0', backup_marker => '0', clk => '0', data_count(8 downto 0) => NLW_U0_data_count_UNCONNECTED(8 downto 0), dbiterr => NLW_U0_dbiterr_UNCONNECTED, din(127 downto 0) => din(127 downto 0), dout(127 downto 0) => dout(127 downto 0), empty => empty, full => full, injectdbiterr => '0', injectsbiterr => '0', int_clk => '0', m_aclk => '0', m_aclk_en => '0', m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0), m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0), m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0), m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => '0', m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED, m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0), m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0), m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0), m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => '0', m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED, m_axi_bid(0) => '0', m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED, m_axi_bresp(1 downto 0) => B"00", m_axi_buser(0) => '0', m_axi_bvalid => '0', m_axi_rdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", m_axi_rid(0) => '0', m_axi_rlast => '0', m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED, m_axi_rresp(1 downto 0) => B"00", m_axi_ruser(0) => '0', m_axi_rvalid => '0', m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0), m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0), m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED, m_axi_wready => '0', m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0), m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED, m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0), m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0), m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0), m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0), m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED, m_axis_tready => '0', m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0), m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0), m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED, overflow => NLW_U0_overflow_UNCONNECTED, prog_empty => NLW_U0_prog_empty_UNCONNECTED, prog_empty_thresh(8 downto 0) => B"000000000", prog_empty_thresh_assert(8 downto 0) => B"000000000", prog_empty_thresh_negate(8 downto 0) => B"000000000", prog_full => NLW_U0_prog_full_UNCONNECTED, prog_full_thresh(8 downto 0) => B"000000000", prog_full_thresh_assert(8 downto 0) => B"000000000", prog_full_thresh_negate(8 downto 0) => B"000000000", rd_clk => rd_clk, rd_data_count(8 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(8 downto 0), rd_en => rd_en, rd_rst => '0', rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED, rst => rst, s_aclk => '0', s_aclk_en => '0', s_aresetn => '0', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arburst(1 downto 0) => B"00", s_axi_arcache(3 downto 0) => B"0000", s_axi_arid(0) => '0', s_axi_arlen(7 downto 0) => B"00000000", s_axi_arlock(0) => '0', s_axi_arprot(2 downto 0) => B"000", s_axi_arqos(3 downto 0) => B"0000", s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => B"000", s_axi_aruser(0) => '0', s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awburst(1 downto 0) => B"00", s_axi_awcache(3 downto 0) => B"0000", s_axi_awid(0) => '0', s_axi_awlen(7 downto 0) => B"00000000", s_axi_awlock(0) => '0', s_axi_awprot(2 downto 0) => B"000", s_axi_awqos(3 downto 0) => B"0000", s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => B"000", s_axi_awuser(0) => '0', s_axi_awvalid => '0', s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0), s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_wdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", s_axi_wid(0) => '0', s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(7 downto 0) => B"00000000", s_axi_wuser(0) => '0', s_axi_wvalid => '0', s_axis_tdata(7 downto 0) => B"00000000", s_axis_tdest(0) => '0', s_axis_tid(0) => '0', s_axis_tkeep(0) => '0', s_axis_tlast => '0', s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED, s_axis_tstrb(0) => '0', s_axis_tuser(3 downto 0) => B"0000", s_axis_tvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, sleep => '0', srst => '0', underflow => NLW_U0_underflow_UNCONNECTED, valid => NLW_U0_valid_UNCONNECTED, wr_ack => NLW_U0_wr_ack_UNCONNECTED, wr_clk => wr_clk, wr_data_count(8 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(8 downto 0), wr_en => wr_en, wr_rst => '0', wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED ); end STRUCTURE;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2338.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p02n02i02338ent IS END c07s02b07x00p02n02i02338ent; ARCHITECTURE c07s02b07x00p02n02i02338arch OF c07s02b07x00p02n02i02338ent IS BEGIN TESTING: PROCESS type MEMORY is array(INTEGER range <>) of BIT; variable MEMORYV : MEMORY( 0 to 31 ); variable INTV : INTEGER; BEGIN INTV := MEMORYV ** 2; assert FALSE report "***FAILED TEST: c07s02b07x00p02n02i02338 - Exponent can only be of type Integer." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p02n02i02338arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2338.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p02n02i02338ent IS END c07s02b07x00p02n02i02338ent; ARCHITECTURE c07s02b07x00p02n02i02338arch OF c07s02b07x00p02n02i02338ent IS BEGIN TESTING: PROCESS type MEMORY is array(INTEGER range <>) of BIT; variable MEMORYV : MEMORY( 0 to 31 ); variable INTV : INTEGER; BEGIN INTV := MEMORYV ** 2; assert FALSE report "***FAILED TEST: c07s02b07x00p02n02i02338 - Exponent can only be of type Integer." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p02n02i02338arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2338.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p02n02i02338ent IS END c07s02b07x00p02n02i02338ent; ARCHITECTURE c07s02b07x00p02n02i02338arch OF c07s02b07x00p02n02i02338ent IS BEGIN TESTING: PROCESS type MEMORY is array(INTEGER range <>) of BIT; variable MEMORYV : MEMORY( 0 to 31 ); variable INTV : INTEGER; BEGIN INTV := MEMORYV ** 2; assert FALSE report "***FAILED TEST: c07s02b07x00p02n02i02338 - Exponent can only be of type Integer." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p02n02i02338arch;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: greths_mb -- File: greths_mb.vhd -- Authors: Andrea Gianarro -- Description: Gigabit Ethernet Media Access Controller with Ethernet Debug -- Communication Link, dual AHB master interfaces and Serial -- GMII interface ------------------------------------------------------------------------------ library ieee; library grlib; library gaisler; use ieee.std_logic_1164.all; use grlib.stdlib.all; use grlib.amba.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use gaisler.net.all; use gaisler.ethernet_mac.all; library eth; use eth.ethcomp.all; entity greths_mb is generic( hindex : integer := 0; ehindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; fabtech : integer := 0; memtech : integer := 0; transtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 64 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; burstlength : integer range 4 to 128 := 32; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; sim : integer range 0 to 1 := 0; giga : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; edclsepahbg : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; maxsize : integer := 1500; pcs_phyaddr : integer range 0 to 32 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbmi2 : in ahb_mst_in_type; ahbmo2 : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; -- High-speed Serial Interface clk_125 : in std_logic; rst_125 : in std_logic; eth_rx_p : in std_logic; eth_rx_n : in std_logic := '0'; eth_tx_p : out std_logic; eth_tx_n : out std_logic; -- MDIO interface reset : out std_logic; mdio_o : out std_logic; mdio_oe : out std_logic; mdio_i : in std_logic; mdc : out std_logic; mdint : in std_logic; -- Control signals phyrstaddr : in std_logic_vector(4 downto 0); edcladdr : in std_logic_vector(3 downto 0); edclsepahb : in std_logic; edcldisable : in std_logic; debug_pcs_mdio : in std_logic := '0'; -- added for igloo2_serdes apbin : in apb_in_serdes := apb_in_serdes_none; apbout : out apb_out_serdes; m2gl_padin : in pad_in_serdes := pad_in_serdes_none; m2gl_padout : out pad_out_serdes; serdes_clk125 : out std_logic; rx_aligned : out std_logic ); end entity; architecture rtl of greths_mb is -- GMII and MII signals between MAC and PCS signal mac_ethi : eth_in_type; signal pcs_ethi : eth_in_type; signal mac_etho : eth_out_type; signal pcs_etho : eth_out_type; signal int_tx_rstn : std_logic; signal int_rx_rstn : std_logic; -- MDIO signals signal mdio_o_pcs : std_logic; signal mdio_oe_pcs : std_logic; signal mdio_i_pcs : std_logic; begin ------------------------------------------------------------------------------- -- Ethernet MAC ------------------------------------------------------------------------------- u0 : grethm_mb generic map ( hindex => hindex, ehindex => ehindex, pindex => pindex, paddr => paddr, pmask => pmask, pirq => pirq, memtech => memtech, ifg_gap => ifg_gap, attempt_limit => attempt_limit, backoff_limit => backoff_limit, slot_time => slot_time, mdcscaler => mdcscaler, enable_mdio => enable_mdio, fifosize => fifosize, nsync => nsync, edcl => edcl, edclbufsz => edclbufsz, burstlength => burstlength, macaddrh => macaddrh, macaddrl => macaddrl, ipaddrh => ipaddrh, ipaddrl => ipaddrl, phyrstadr => phyrstadr, rmii => rmii, sim => sim, giga => giga, oepol => oepol, scanen => scanen, ft => ft, edclft => edclft, mdint_pol => mdint_pol, enable_mdint => enable_mdint, multicast => multicast, edclsepahb => edclsepahbg, ramdebug => ramdebug, mdiohold => mdiohold, maxsize => maxsize, gmiimode => 1 ) port map ( rst => rst, clk => clk, ahbmi => ahbmi, ahbmo => ahbmo, ahbmi2 => ahbmi2, ahbmo2 => ahbmo2, apbi => apbi, apbo => apbo, ethi => mac_ethi, etho => mac_etho ); ------------------------------------------------------------------------------- -- 1000baseX-compliant SGMII bridge ------------------------------------------------------------------------------- sgmii0: sgmii generic map ( fabtech => fabtech, memtech => memtech, transtech => transtech, phy_addr => pcs_phyaddr ) port map( clk_125 => clk_125, rst_125 => rst_125, ser_rx_p => eth_rx_p, ser_rx_n => eth_rx_n, ser_tx_p => eth_tx_p, ser_tx_n => eth_tx_n, txd => pcs_etho.txd, tx_en => pcs_etho.tx_en, tx_er => pcs_etho.tx_er, tx_clk => pcs_ethi.gtx_clk, tx_rstn => int_tx_rstn, rxd => pcs_ethi.rxd, rx_dv => pcs_ethi.rx_dv, rx_er => pcs_ethi.rx_er, rx_col => pcs_ethi.rx_col, rx_crs => pcs_ethi.rx_crs, rx_clk => pcs_ethi.rx_clk, rx_rstn => int_rx_rstn, -- optional MDIO interface to PCS mdc => pcs_etho.mdc, mdio_o => mdio_o_pcs, mdio_oe => mdio_oe_pcs, mdio_i => mdio_i_pcs, -- added for igloo2_serdes apbin => apbin, apbout => apbout, m2gl_padin => m2gl_padin, m2gl_padout => m2gl_padout, serdes_clk125 => serdes_clk125, rx_aligned => rx_aligned ); -- 10/100 Mbit GMII to MII adapter adapt_10_100_0 : gmii_to_mii port map ( tx_rstn => int_tx_rstn, rx_rstn => int_rx_rstn, gmiii => mac_ethi, -- OUT gmiio => mac_etho, -- IN miii => pcs_ethi, -- IN miio => pcs_etho -- OUT ); -- Drive MDIO signals (including PCS bypass to MAC) reset <= pcs_etho.reset; mdc <= pcs_etho.mdc; mdio_oe <= '1' when debug_pcs_mdio = '1' else pcs_etho.mdio_oe; mdio_o <= '0' when debug_pcs_mdio = '1' else pcs_etho.mdio_o; mdio_oe_pcs <= pcs_etho.mdio_oe when debug_pcs_mdio = '1' else '1'; mdio_o_pcs <= pcs_etho.mdio_o when debug_pcs_mdio = '1' else '0'; pcs_ethi.mdint <= mdint; pcs_ethi.mdio_i <= mdio_i_pcs when debug_pcs_mdio = '1' else mdio_i; -- MAC input signals integration pcs_ethi.tx_clk <= pcs_ethi.gtx_clk; pcs_ethi.phyrstaddr <= phyrstaddr; pcs_ethi.edcladdr <= edcladdr; pcs_ethi.edclsepahb <= edclsepahb; pcs_ethi.edcldisable <= edcldisable; end architecture;
library ieee; use ieee.std_logic_1164.all; entity MulticycleCPU is port( clk: in std_logic; CarryOut, Overflow: out std_logic ); end MulticycleCPU; architecture Behavioral of MulticycleCPU is component PCMulticycle is port( clk, d: in std_logic; AddressIn: in std_logic_vector(31 downto 0); AddressOut: out std_logic_vector(31 downto 0) ); end component; component Add port( x: in std_logic_vector(31 downto 0); y: in std_logic_vector(31 downto 0); z: out std_logic_vector(31 downto 0) ); end component; component SignExtend port( x: in std_logic_vector(15 downto 0); y: out std_logic_vector(31 downto 0) ); end component; component ShiftLeft2 port( x: in std_logic_vector(31 downto 0); y: out std_logic_vector(31 downto 0) ); end component; component ShiftLeft2Jump port( x: in std_logic_vector(25 downto 0); y: in std_logic_vector(3 downto 0); z: out std_logic_vector(31 downto 0) ); end component; component Mux5 port( x, y: in std_logic_vector (4 downto 0); sel: in std_logic; z :out std_logic_vector(4 downto 0) ); end component; component Mux32 port( x, y: in std_logic_vector (31 downto 0); sel: in std_logic; z: out std_logic_vector(31 downto 0) ); end component; component And2 port( a, b: in std_logic; y: out std_logic ); end component; component Or2 is port( a, b: in std_logic; y: out std_logic ); end component; component ALU generic( n: natural := 32 ); port( a, b: in std_logic_vector(n-1 downto 0); Oper: in std_logic_vector(3 downto 0); Result: buffer std_logic_vector(n-1 downto 0); Zero, CarryOut, Overflow: buffer std_logic ); end component; component IR is port ( x: in std_logic_vector(31 downto 0); clk, IRWrite: in std_logic; y: out std_logic_vector(31 downto 0) ); end component; component RegistersMulticycle is port( RR1, RR2, WR: in std_logic_vector(4 downto 0); WD: in std_logic_vector(31 downto 0); RegWrite: in std_logic; RD1, RD2: out std_logic_vector(31 downto 0) ); end component; component RegA is port( x: in std_logic_vector(31 downto 0); clk: in std_logic; y: out std_logic_vector(31 downto 0) ); end component; component RegB is port( x: in std_logic_vector(31 downto 0); clk: in std_logic; y: out std_logic_vector(31 downto 0) ); end component; component InstructionMemory port ( Address: in std_logic_vector(31 downto 0); ReadData: out std_logic_vector(31 downto 0) ); end component; component MDR is port ( x: in std_logic_vector(31 downto 0); clk: in std_logic; y: out std_logic_vector(31 downto 0) ); end component; component DataMemoryMulticycle is port( WriteData: in std_logic_vector(31 downto 0); Address: in std_logic_vector(31 downto 0); MemRead, MemWrite: in std_logic; ReadData: out std_logic_vector(31 downto 0) ); end component; component ALUControl port( ALUOp: in std_logic_vector(1 downto 0); Funct: in std_logic_vector(5 downto 0); Operation: out std_logic_vector(3 downto 0) ); end component; component MUX3Way is port( w, x, y: in std_logic_vector(31 downto 0); sel: in std_logic_vector(1 downto 0); z:out std_logic_vector(31 downto 0) ); end component; component MUX4Way is port( v, w, x, y: in std_logic_vector(31 downto 0); sel: in std_logic_vector(1 downto 0); z:out std_logic_vector(31 downto 0) ); end component; component MulticycleControl is port( Opcode: in std_logic_vector(5 downto 0); clk: in std_logic; RegDst, RegWrite, ALUSrcA, IRWrite, MemtoReg, MemWrite, MemRead, IorD, PCWrite, PCWriteCond: out std_logic; ALUSrcB, ALUOp, PCSource: out std_logic_vector(1 downto 0) ); end component; signal D, PCWriteCond, PCWrite, IorD, MemRead, MemWrite, MemtoReg, IRWrite, ALUSrcA, RegWrite, RegDst, Zero, W: std_logic := '0'; signal ALUOp, ALUSrcB, PCSource: std_logic_vector(1 downto 0) := "00"; signal Operation: std_logic_vector(3 downto 0) := "0000"; signal K: std_logic_vector(4 downto 0) := "00000"; signal C, E, F, G, H, I, J, L, M, N, P, Q, R, S, T, U, V, Instruction: std_logic_vector(31 downto 0) := X"00000000"; begin PC_instance: PCMulticycle port map(clk, D, C, E); Mux32_instance_0: Mux32 port map(E, F, IorD, G); DataMemory_instance: DataMemoryMulticycle port map(H, G, MemRead, MemWrite, I); IR_instance: IR port map(I, clk, IRWrite, Instruction); Mux5_instance: Mux5 port map(Instruction(20 downto 16), Instruction(15 downto 11), RegDst, K); MDR_instance: MDR port map(I, clk, J); Mux32_instance_1: Mux32 port map(F, J, MemtoReg, L); Registers_instance: RegistersMulticycle port map(Instruction(25 downto 21), Instruction(20 downto 16), K, L, RegWrite, M, N); RegA_instance: RegA port map(M, clk, P); RegA_instance_2: RegA port map(N, clk, H); SignExtend_instance: SignExtend port map(Instruction(15 downto 0), Q); ShiftLeft2_instance: ShiftLeft2 port map(Q, R); MUX4Way_instance: MUX4Way port map(H, X"00000004", Q, R, ALUSrcB, T); Mux32_instance_2: Mux32 port map(E, P, ALUSrcA, S); ShiftLeft2Jump_instance: ShiftLeft2Jump port map(Instruction(25 downto 0), E(31 downto 28), V); MUX3Way_instance: MUX3Way port map(U, F, V, PCSource, C); And2_instance: And2 port map(Zero, PCWriteCond, W); Or2_instance: Or2 port map(W, PCWrite, D); ALU_instance: ALU port map(S, T, Operation, U, Zero, Carryout, Overflow); ALUControl_instance: ALUControl port map(ALUOp, Instruction(5 downto 0), Operation); ALUOut: RegB port map(U, clk, F); Control_instance: MulticycleControl port map(Instruction(31 downto 26), clk, RegDst, RegWrite, ALUSrcA, IRWrite, MemtoReg, MemWrite, MemRead, IorD, PCWrite, PCWriteCond, ALUSrcB, ALUOp, PCSource); end Behavioral;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library stratixiii; use stratixiii.all; entity aclkout is port( clk : in std_logic; ddr_clk : out std_logic; ddr_clkn: out std_logic ); end; architecture rtl of aclkout is component stratixiii_ddio_out generic( power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; half_rate_mode : string := "false"; use_new_clocking_model : string := "false"; lpm_type : string := "stratixiii_ddio_out" ); port ( datainlo : in std_logic := '0'; datainhi : in std_logic := '0'; clk : in std_logic := '0'; clkhi : in std_logic := '0'; clklo : in std_logic := '0'; muxsel : in std_logic := '0'; ena : in std_logic := '1'; areset : in std_logic := '0'; sreset : in std_logic := '0'; dataout : out std_logic --; -- dfflo : out std_logic; -- dffhi : out std_logic_vector(1 downto 0) -- changed in quartus 9.0 -- dffhi : out std_logic-- ; --devclrn : in std_logic := '1'; --devpor : in std_logic := '1' ); end component; component stratixiii_pseudo_diff_out is generic ( lpm_type : string := "stratixiii_pseudo_diff_out" ); port ( i : in std_logic := '0'; o : out std_logic; obar : out std_logic ); end component; component stratixiii_io_obuf generic( bus_hold : string := "false"; open_drain_output : string := "false"; shift_series_termination_control : string := "false"; lpm_type : string := "stratixiii_io_obuf" ); port( dynamicterminationcontrol : in std_logic := '0'; i : in std_logic := '0'; o : out std_logic; obar : out std_logic; oe : in std_logic := '1'--; --parallelterminationcontrol : in std_logic_vector(13 downto 0) := (others => '0'); --seriesterminationcontrol : in std_logic_vector(13 downto 0) := (others => '0') ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal clk_reg : std_logic; signal clk_buf, clk_bufn : std_logic; begin vcc <= '1'; gnd <= (others => '0'); out_reg0 : stratixiii_ddio_out generic map( power_up => "low", async_mode => "none", sync_mode => "none", half_rate_mode => "false", use_new_clocking_model => "true", lpm_type => "stratixiii_ddio_out" ) port map( datainlo => gnd(0), datainhi => vcc, clk => clk, clkhi => clk, clklo => clk, muxsel => clk, ena => vcc, areset => gnd(0), sreset => gnd(0), dataout => clk_reg -- dfflo => open --, -- dffhi => open--, --devclrn => vcc, --devpor => vcc ); pseudo_diff0 : stratixiii_pseudo_diff_out port map( i => clk_reg, o => clk_buf, obar => clk_bufn ); out_buf0 : stratixiii_io_obuf generic map( open_drain_output => "false", shift_series_termination_control => "false", bus_hold => "false", lpm_type => "stratixiii_io_obuf" ) port map( i => clk_buf, oe => vcc, dynamicterminationcontrol => gnd(0), --seriesterminationcontrol => gnd, --parallelterminationcontrol => gnd, o => ddr_clk, obar => open ); out_bufn0 : stratixiii_io_obuf generic map( open_drain_output => "false", shift_series_termination_control => "false", bus_hold => "false", lpm_type => "stratixiii_io_obuf" ) port map( i => clk_bufn, oe => vcc, dynamicterminationcontrol => gnd(0), --seriesterminationcontrol => gnd, --parallelterminationcontrol => gnd, o => ddr_clkn, obar => open ); end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library stratixiii; use stratixiii.all; entity aclkout is port( clk : in std_logic; ddr_clk : out std_logic; ddr_clkn: out std_logic ); end; architecture rtl of aclkout is component stratixiii_ddio_out generic( power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; half_rate_mode : string := "false"; use_new_clocking_model : string := "false"; lpm_type : string := "stratixiii_ddio_out" ); port ( datainlo : in std_logic := '0'; datainhi : in std_logic := '0'; clk : in std_logic := '0'; clkhi : in std_logic := '0'; clklo : in std_logic := '0'; muxsel : in std_logic := '0'; ena : in std_logic := '1'; areset : in std_logic := '0'; sreset : in std_logic := '0'; dataout : out std_logic --; -- dfflo : out std_logic; -- dffhi : out std_logic_vector(1 downto 0) -- changed in quartus 9.0 -- dffhi : out std_logic-- ; --devclrn : in std_logic := '1'; --devpor : in std_logic := '1' ); end component; component stratixiii_pseudo_diff_out is generic ( lpm_type : string := "stratixiii_pseudo_diff_out" ); port ( i : in std_logic := '0'; o : out std_logic; obar : out std_logic ); end component; component stratixiii_io_obuf generic( bus_hold : string := "false"; open_drain_output : string := "false"; shift_series_termination_control : string := "false"; lpm_type : string := "stratixiii_io_obuf" ); port( dynamicterminationcontrol : in std_logic := '0'; i : in std_logic := '0'; o : out std_logic; obar : out std_logic; oe : in std_logic := '1'--; --parallelterminationcontrol : in std_logic_vector(13 downto 0) := (others => '0'); --seriesterminationcontrol : in std_logic_vector(13 downto 0) := (others => '0') ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal clk_reg : std_logic; signal clk_buf, clk_bufn : std_logic; begin vcc <= '1'; gnd <= (others => '0'); out_reg0 : stratixiii_ddio_out generic map( power_up => "low", async_mode => "none", sync_mode => "none", half_rate_mode => "false", use_new_clocking_model => "true", lpm_type => "stratixiii_ddio_out" ) port map( datainlo => gnd(0), datainhi => vcc, clk => clk, clkhi => clk, clklo => clk, muxsel => clk, ena => vcc, areset => gnd(0), sreset => gnd(0), dataout => clk_reg -- dfflo => open --, -- dffhi => open--, --devclrn => vcc, --devpor => vcc ); pseudo_diff0 : stratixiii_pseudo_diff_out port map( i => clk_reg, o => clk_buf, obar => clk_bufn ); out_buf0 : stratixiii_io_obuf generic map( open_drain_output => "false", shift_series_termination_control => "false", bus_hold => "false", lpm_type => "stratixiii_io_obuf" ) port map( i => clk_buf, oe => vcc, dynamicterminationcontrol => gnd(0), --seriesterminationcontrol => gnd, --parallelterminationcontrol => gnd, o => ddr_clk, obar => open ); out_bufn0 : stratixiii_io_obuf generic map( open_drain_output => "false", shift_series_termination_control => "false", bus_hold => "false", lpm_type => "stratixiii_io_obuf" ) port map( i => clk_bufn, oe => vcc, dynamicterminationcontrol => gnd(0), --seriesterminationcontrol => gnd, --parallelterminationcontrol => gnd, o => ddr_clkn, obar => open ); end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library stratixiii; use stratixiii.all; entity aclkout is port( clk : in std_logic; ddr_clk : out std_logic; ddr_clkn: out std_logic ); end; architecture rtl of aclkout is component stratixiii_ddio_out generic( power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; half_rate_mode : string := "false"; use_new_clocking_model : string := "false"; lpm_type : string := "stratixiii_ddio_out" ); port ( datainlo : in std_logic := '0'; datainhi : in std_logic := '0'; clk : in std_logic := '0'; clkhi : in std_logic := '0'; clklo : in std_logic := '0'; muxsel : in std_logic := '0'; ena : in std_logic := '1'; areset : in std_logic := '0'; sreset : in std_logic := '0'; dataout : out std_logic --; -- dfflo : out std_logic; -- dffhi : out std_logic_vector(1 downto 0) -- changed in quartus 9.0 -- dffhi : out std_logic-- ; --devclrn : in std_logic := '1'; --devpor : in std_logic := '1' ); end component; component stratixiii_pseudo_diff_out is generic ( lpm_type : string := "stratixiii_pseudo_diff_out" ); port ( i : in std_logic := '0'; o : out std_logic; obar : out std_logic ); end component; component stratixiii_io_obuf generic( bus_hold : string := "false"; open_drain_output : string := "false"; shift_series_termination_control : string := "false"; lpm_type : string := "stratixiii_io_obuf" ); port( dynamicterminationcontrol : in std_logic := '0'; i : in std_logic := '0'; o : out std_logic; obar : out std_logic; oe : in std_logic := '1'--; --parallelterminationcontrol : in std_logic_vector(13 downto 0) := (others => '0'); --seriesterminationcontrol : in std_logic_vector(13 downto 0) := (others => '0') ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal clk_reg : std_logic; signal clk_buf, clk_bufn : std_logic; begin vcc <= '1'; gnd <= (others => '0'); out_reg0 : stratixiii_ddio_out generic map( power_up => "low", async_mode => "none", sync_mode => "none", half_rate_mode => "false", use_new_clocking_model => "true", lpm_type => "stratixiii_ddio_out" ) port map( datainlo => gnd(0), datainhi => vcc, clk => clk, clkhi => clk, clklo => clk, muxsel => clk, ena => vcc, areset => gnd(0), sreset => gnd(0), dataout => clk_reg -- dfflo => open --, -- dffhi => open--, --devclrn => vcc, --devpor => vcc ); pseudo_diff0 : stratixiii_pseudo_diff_out port map( i => clk_reg, o => clk_buf, obar => clk_bufn ); out_buf0 : stratixiii_io_obuf generic map( open_drain_output => "false", shift_series_termination_control => "false", bus_hold => "false", lpm_type => "stratixiii_io_obuf" ) port map( i => clk_buf, oe => vcc, dynamicterminationcontrol => gnd(0), --seriesterminationcontrol => gnd, --parallelterminationcontrol => gnd, o => ddr_clk, obar => open ); out_bufn0 : stratixiii_io_obuf generic map( open_drain_output => "false", shift_series_termination_control => "false", bus_hold => "false", lpm_type => "stratixiii_io_obuf" ) port map( i => clk_bufn, oe => vcc, dynamicterminationcontrol => gnd(0), --seriesterminationcontrol => gnd, --parallelterminationcontrol => gnd, o => ddr_clkn, obar => open ); end;
library verilog; use verilog.vl_types.all; entity F2DSS_SSE_APB3_PPE_IF is port( PRESETN : in vl_logic; PCLK : in vl_logic; TDM_CNT : in vl_logic_vector(2 downto 0); PSEL : in vl_logic; PENABLE : in vl_logic; PWRITE : in vl_logic; PADDR : in vl_logic_vector(11 downto 0); PWDATA : in vl_logic_vector(31 downto 0); PRDATA : out vl_logic_vector(15 downto 0); PREADY : out vl_logic; PSLVERR : out vl_logic; PPE_PSEL : in vl_logic; PPE_PENABLE : in vl_logic; PPE_PWRITE : in vl_logic; PPE_PADDR : in vl_logic_vector(11 downto 0); PPE_PWDATA : in vl_logic_vector(15 downto 0); PPE_PRDATA : out vl_logic_vector(15 downto 0); PPE_PREADY : out vl_logic; PPE_PSLVERR : out vl_logic; SSE_RWB : out vl_logic; SSE_ADDR : out vl_logic_vector(9 downto 0); SSE_WDATA : out vl_logic_vector(15 downto 0); SSE_RDATA : in vl_logic_vector(15 downto 0); PDMA_decode : out vl_logic ); end F2DSS_SSE_APB3_PPE_IF;
library verilog; use verilog.vl_types.all; entity F2DSS_SSE_APB3_PPE_IF is port( PRESETN : in vl_logic; PCLK : in vl_logic; TDM_CNT : in vl_logic_vector(2 downto 0); PSEL : in vl_logic; PENABLE : in vl_logic; PWRITE : in vl_logic; PADDR : in vl_logic_vector(11 downto 0); PWDATA : in vl_logic_vector(31 downto 0); PRDATA : out vl_logic_vector(15 downto 0); PREADY : out vl_logic; PSLVERR : out vl_logic; PPE_PSEL : in vl_logic; PPE_PENABLE : in vl_logic; PPE_PWRITE : in vl_logic; PPE_PADDR : in vl_logic_vector(11 downto 0); PPE_PWDATA : in vl_logic_vector(15 downto 0); PPE_PRDATA : out vl_logic_vector(15 downto 0); PPE_PREADY : out vl_logic; PPE_PSLVERR : out vl_logic; SSE_RWB : out vl_logic; SSE_ADDR : out vl_logic_vector(9 downto 0); SSE_WDATA : out vl_logic_vector(15 downto 0); SSE_RDATA : in vl_logic_vector(15 downto 0); PDMA_decode : out vl_logic ); end F2DSS_SSE_APB3_PPE_IF;
library verilog; use verilog.vl_types.all; entity F2DSS_SSE_APB3_PPE_IF is port( PRESETN : in vl_logic; PCLK : in vl_logic; TDM_CNT : in vl_logic_vector(2 downto 0); PSEL : in vl_logic; PENABLE : in vl_logic; PWRITE : in vl_logic; PADDR : in vl_logic_vector(11 downto 0); PWDATA : in vl_logic_vector(31 downto 0); PRDATA : out vl_logic_vector(15 downto 0); PREADY : out vl_logic; PSLVERR : out vl_logic; PPE_PSEL : in vl_logic; PPE_PENABLE : in vl_logic; PPE_PWRITE : in vl_logic; PPE_PADDR : in vl_logic_vector(11 downto 0); PPE_PWDATA : in vl_logic_vector(15 downto 0); PPE_PRDATA : out vl_logic_vector(15 downto 0); PPE_PREADY : out vl_logic; PPE_PSLVERR : out vl_logic; SSE_RWB : out vl_logic; SSE_ADDR : out vl_logic_vector(9 downto 0); SSE_WDATA : out vl_logic_vector(15 downto 0); SSE_RDATA : in vl_logic_vector(15 downto 0); PDMA_decode : out vl_logic ); end F2DSS_SSE_APB3_PPE_IF;
entity bot is generic ( N : integer ); end entity; architecture test of bot is constant k : integer := N; signal x, y : natural; begin p1: process (y) is begin x <= y + 5; end process; p2: process is begin assert << constant ^.^.g(N).uut.k : integer >> = N; << signal ^.^.g(N).uut.x : integer >> <= force 1; wait for 1 ns; assert << signal ^.^.g(N).uut.x : integer >> = 1; wait; end process; end architecture; ------------------------------------------------------------------------------- entity ename4 is end entity; architecture test of ename4 is begin g: for i in 1 to 3 generate uut: entity work.bot generic map (N => i); end generate; end architecture;
-- ApsCmdConstants.vhd -- -- This provides menmonic definitions for the command word fields -- -- -- REVISIONS -- -- 7/9/2013 CRJ -- Created -- -- 8/13/2013 CRJ -- Initial release -- -- END REVISIONS -- library ieee; use ieee.std_logic_1164.all; package ApsCmdConstants is -- Constants used in the command packets from the host -- Command Word Format: -- -- D<31> ACK Flag -- D<30> SEQ Error Flag -- D<29> Channel select 0/1 -- D<28> R/!W -- D<27:24> CMD<3:0>, Command -- D<23:16> MODE from host (command mode) / STAT from APS (command completion status) -- D<15:0> CNT<15:0>, 32-bit read or write count. For writes, CNT data words follow the address -- Define the bit fields in the command word constant APS_ACK_BIT : natural := 31; constant APS_SEQ_BIT : natural := 30; constant APS_CHAN_BIT : natural := 29; constant APS_RW_BIT : natural := 28; constant APS_NOACK_BIT : natural := 27; -- Define the bit ranges in the command word subtype APS_CMD_RANGE is natural range 26 downto 24; subtype APS_MODE_RANGE is natural range 23 downto 16; subtype APS_STAT_RANGE is natural range 23 downto 16; subtype APS_CNT_RANGE is natural range 15 downto 0; -- Define the bit ranges in the User CIF subtype CIF_CNT_RANGE is natural range 15 downto 0; subtype CIF_MODE_RANGE is natural range 23 downto 16; subtype CIF_ADDR_RANGE is natural range 56 downto 25; constant CIF_RW_BIT : natural := 24; -- Define the bit ranges in the User COF subtype COF_CNT_RANGE is natural range 15 downto 0; subtype COF_STAT_RANGE is natural range 23 downto 16; -- Define the command values constant APS_PKT_RESET : std_logic_vector(2 downto 0) := "000"; constant APS_PKT_USRIO : std_logic_vector(2 downto 0) := "001"; constant APS_PKT_EPIO : std_logic_vector(2 downto 0) := "010"; constant APS_PKT_CFGIO : std_logic_vector(2 downto 0) := "011"; constant APS_PKT_CFGRUN : std_logic_vector(2 downto 0) := "100"; constant APS_PKT_CFGDAT : std_logic_vector(2 downto 0) := "101"; constant APS_PKT_CFGCTL : std_logic_vector(2 downto 0) := "110"; constant APS_PKT_STAT : std_logic_vector(2 downto 0) := "111"; end package ApsCmdConstants;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BSpTtCx1jRSdgUJwf9qJ0rqUp7iy5J/lZ9ylTqBeb0yv8XkaZW/Lz5KFhz5NI+dll1cQDokZ4QeM fNGZTtBPww== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MUjHss6UMjtXs52VMMhv/kabHRIjA3SzTDtq9Z5XS+io7Yhlno5BzqFo6b/bIZBJb1mBQ/qHNgUN FRYqWW8KX5fQee9vcZCApXVWQ/98V7B0+/OIye0ZmXEKiKW61pZezEnIam3RFI4bsxGgcN6rG3MA y72RWULALY+cNWqaOxE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 4JoDDF2qyLQ4mfprAFQLyKVDb4pWD0kUEsWqNVsDR7tVEED3O4vBynjpIgVbBmj/rvIuEZfDRJPv zLgDlFYgAZd9id8cf4z9J+hFIu7HM9L7m0LODkWigjqIjlYp8F/PuvfNRACdJ9EviiE33ztme8EX LOL0IUGi/zKsypU7ztEvaAhs5W7qv7OGH6qn5WbEb6OvYs81hpoYfSg2ZSwMcBSesMlfkXN2wI8Z GskFIF4v0aMJHnrxVV3ZCjawWL5grvW1hR/d2IK4VrrA/v64L71EAj99G+yzvWvTiNNv6TpvSKQ7 goQKm1z4gZAE0Enp7egIVm6xQVjGso4OJxAu+Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FBmRRCW6WL/e2jui3x9s0KdlLRp8Iu5bpJla9iPJfWco47CF3kirP1sM+3kQCA+AOr2Uw0PCE5OU DqKCXizw5QyktzGvuGBu66buTEwaezquzy/cnABPtnIYeNJd7oLiHkc3czbWZ8pzA99K8McWY9as pgh8symlkXOGCuT9LIc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ifFyXjiwaHUpjXKS864MalkR5Z5N28Fjy0MOY1mqCpKsYvLCmT8IUT7gH6BGKsLu0fTF5SwT7zHf 6Cv9GBkZR3vdemWRL0+httsOZ+aL2sAllPH5YpC5rw3kx4CRuGyWMBQYn98yTyNasH3J2rTDuaCo EmsgbhvJirsoWG1/ti9f6fQFu1x16rbdqTvhInG5r4tOYnJ+0fq91agpNQgG5n4cxNHd8DwxE5c1 OIuUjL04HzizwBw82nZOM9cN2NtC++afWJcJnFsJbwezrjM3+xgYkex6+cQ+JchYsERzbqAHOs43 djNKM9xRhYw4VjAuwHRFepg7SC7PhvN8BFOkAQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 63120) `protect data_block VdmEYRU8nknXa+U0SiZfw7FAJ5K95R9AbdCrT/yB4Wbd1m3UfkB8bszEaVdKWEq1Jhh68uFtmYnh c8tYOsnIBCrxFUMvVSh4jrbhMKWabF5JG3+Ob3tDhZY+327ynNHN6umqltj55yYYzBzIwnq90NSp ntJ83rN+RGoXWhXn/8EoaRcVMZ9BU+JP7SibYo8GWonuPUR67kly/RH0lh2fhkP9BOb9krwvLmcA 3G+hM1EAwIpiW5OINJUXV4aIHqaOelz86B9G6jQpUyQnXagEuWYHw++5Q3v1RtPggMz7vqbQuf88 LDVZaio6uGGvm+LLJIs+mM70YZTPkUOSebzMGPQ2ECw9jM+ZKaDu4ofvKajX/gIZg1sEov3J39qa tT6NWoQl9d76COPRP+MABNTORFCygK7HxncG5MDKftmEYc+RQDR+Kjba+jkW4qsK6k7yWutqzZaT 4A8GZt2cqBNWz+L7ySqoqIOEwvZNxGZM+WqNwXp+Chzp6ON9SNKsq5NLMQwfkaNoQ6Mam5c8OfuB EhrGTY897FWxpOG8XJ4EHVHqrWJuf0UMhYAK90pYrZeVCY0AaeXXetHMVJxuZLhLNBfSUOAR1/VI S/1ZdvsGDV0Qw9jnEGWPQG4i13wWZkNMDL5bW6Kqyv12wBl0+79DMHiOx3/ja/JWaeOH8VOLzKzr OGyZBWYjVD8GkoYZU9jGCHfGd+0NZljwcPt+0Eenm69APYc7YAgymP8JAlSqDCXAwVlkaNa2nWrj gRsugEFrAMlYmjd+CYN9WeTN5K0huUgx6bveWKxwiuDYwwehB7XwaYloBgs+c/VA9cx+EOaS7rfj 0i2Z7jC0vN2XerYjRnybC+MoZJQVSzfm3B9NPuMytarlONzTWLFScZRkc6jSWlQ/W0Dz24+hJD07 UQdanGoh94K6Q9NYzF0BLiaScTANRMgCd2+c3eVEYjmCZsKuD2ZB7YvCgIRWxvRaIX7wMKt8lYln WOGSW6i3jnZBIdha3jwzPwB9VvshoFm/tezczr/UMR4RJFCE6SigDMovseTX2bzSISr3wwarzlE2 +vpe9M4fxrcrWLcxUy/apQWiLgs7CptnzrOl1wIL2EvgtL6qx9bpkqI48zC6eIM0QGgTARR0yGgL e9jtX23Xx3EnAVy+hNCwGOHW/DtCEek6m8BHMRh5t/1wwYQGtgbwD6cVjcqAg1BhVjomou8H9K1h qvgA/d+sXrYSmmK2zww9Pw/E6iiIK4Qwr58bdNCZTUZLVLv6JgkwkltEWn+RVzLKgTL3NTlSGe3m e3W8srGX6C3J6IWjNb35XNtJ3FImyJWFmhMUBs+NGCuwBeNgT43//avV838rQVmF8ynJGDmHttgE yitbsNf16E1hMwHhME8auExX/PMy1uaI4F8uHRzE41cRBU5qNjL8xTKB8QOoE0ogo845B+87zQyn Z64y/A2ozjTI8gYok5AK+fV7OGEXp9ZXn5RXaqjagqxXbuYQaqvSagz7N9fCZ1TkEZKtFW1cAvLQ AWiV5f1flro42lgal/7hyZHW8PkFeb/solpL+rw8UjLhNnmv4hM/EygRVHNbRLEa/SdSM7pAFopL nMEyDX6yzDdNCW52Y9GctVBrpuO2aJsXfRbRwWZQMoDGrypuvpGiFb5w7A8d3nHG22kd6skWsS9l 7+DNiXoVBfrBoS+aOSwH2fE4XaIo0h9ohT5fFyYImkU8a58LQk3JldGXK7Kx3L2od/v7d03eIld6 E8YJJNpb/6degE8DPWzdh7hBYV32lNnZ2wkekjab/h46+ZHzcS3I29PLSClTHyvkhkqJQbNR+Pop fYQJZ/us34f0X2qEAfgmzZEsLQQH3zxOMGmhI0qtVu5L7Tb9/1s1oTJgfIlQ0R3XYtpQBKG6KJRJ SXb/247dF9oPDNp9LSrEHWnNxOwH5MFl2Q+wYpfUJXcLnoLxY1V9NKjUU5Zw98b7T6UyA9M05Cr4 eJgTLT1JldjBxPlFDf0rjHtyxkazbtLSXkOjprtOQgbIqFHkRZ+D9wrODvamtP+XsDFdwMmZqykH 6/f6N+H52tGp2goXvplH67LxkapXptQpWvnRzXYMRYWbf3iaefDQsrLB3AJbP3T3eTF+0AqcFCDh 2JHz6jNGzGaB8wdN9HpKmEOvyN4HdcRXFDAushxGdOpplj0+u5hYflJbSpQRmC8+ck7SC/dfGdAJ CBTTASefHtFYqzRDxkz9Ilzt1BvoFo0DK0Wwy58gxJwSaYoPf2YTSiOohBRtVoPfGjmRdkQbVH6U p92WTPcJ58PpsTCKIH1L0ODnF+jUeAkEC3nJqP51Gow8GlLdwOzl5fwr43rYLg9HlChSKwi+oito 4mGH0uOCO+Z/DOUpZmIFdH+/Y9+jdPgM0vWIg6H9dfr5KqJ+bMSVucFIkq5xZuicuJDoMzTOL9MK EO348md7cZqYRojZaNIGB2nialf/muXJ9K8N7zxl8E7vfp0n5y8Lu3ptZnBghtn9e9n6QcAocUWg Zazw/y4umL2TP3ms2vCo5wYEPp5t61vVvzr9yDmT3/3RKu6srN6cj2klMFQZF5ZWONePE+DGyyOd kfY/7Fw+nlEpGbkUw3iRnYzBbDmd6eHE3mcbBuNdLpRGTTdON5KlmxmyL9r79P7v6o5vYkJo+VHM W/cT6nB6Gvo5lxEk6UQWFPhnCb6/w/dibu+sjj7zpreAj+wcs6TaPEj/D1IcpAbcI8wBBWYM6jru UwNaGR7oAeiu9xv63+uqPfGWmkEGLtUKFDWTbBiApxExSfhm8m2b0F/L3fSEsT9YkmDtXTvbeOY/ M1zCXcG4NQjSrAWK23EaPfYSD1+bUYSBSNMjQY6bLRgB0RX3YPz8hhxCFMIwAqRdKDZm6aLkOccx e+weJNOz8ohNU42Qc7RA6IXViQrwH6JXQR4wUOFGQS60vKxZVlEp9cJpNd+o9g2AjCko5UG8y31R mps67k+8j+vXOcppg4xQ03i8O1/8MYauuYsjtRyMquC8jbjNcShcQ1rE0di2wEFKEmLkwqPoFqIa EU483EGGhyZ/zReVE8T3DCDklPVr6F/uepWP6nfYP1NLKKBvsrnNaLIzhfm9mFeGxDwwXU9PL2zO PD9p2/bIvBqpeO02wwk/C4gSUVuJjHveZM+uZvvALTDGLBaOF86lDuXqF4yHyiTuX6lh1hCma+wO RcmB+DetLw05groxbM6+iIPWbOpJxa3wCWgZ+S+qls+Pg73nA23f+4cuN2MZWAQf1WtVVD/qxTyW Xq/52jtsrIVumPklVytcuhn33n+POZBRRhDCmgJUPyRjBICRs1XvJhpmKAtRXhI5LZZb/+D862/f CKxoXgl63qO1/2xsvb8OFfI4DsV0iuxsM/8w6/cm/dRyW6Y1caw0mlNIR/MSdSd8k458G89ungUb JLNH+TEFVprm0r3cSkJqnVrnl140Fok/KqVhLLy+28EjJePm4q1WjlI6GrAZTHL6pqyZ27Je2FQh 4H2E4BjwYndK+KbyP/hkbt1Q2Z/lTCD+LmpnOYVROzImtZTPG9dGnzQ2h9MtQE2AvsHcjOAgDcck sBYF0vUYYWVl9x56YT+MKoAXqoHHZ297dMNpKncyXDGgYuyMDCBsirvp6mz0m/LH2dedIdjIBpKv U4CB9YPM970t+3WTvbBYUIKF6ax3by3l8dutl5EnvJAHkJA6QI2fuFbqFvHT/ReCHPfV8MgWH6mH 40q7rTHkBVwpefOXzDfhEypMd2RJkMsP6j3kSNramvkVcpoHe+I1hio++W3tCiahZMvpWehrkebu w24BEbMuYGM8GLEcxSrkHHc/YDeWUGMbxPgsgQUIUnnZ+VAXjC+YKHWBmBRlhlL4gmUPo8/T/wYK zUnf6lZg+3FFV/Lpm8uif7HrL09dN+9Fjpphx9TTOHsEM3vFRhcSyh1WRvJ0+3pQ+46OdeZUsUC/ YghhwVqvCYqwdc9KjFOvPSoQBS0aKKn4lyCXz0vJbClI5EouA1EjoOpg6KqfCYBSvM177v97WZ6i o9AbUpsz4Z95fIr1CXUkhm0/e9cjUhycqYO/MXwITBuBEMkRrpIXb6AAQkvU6ww0jgAyoO1cKFn6 ciSnauaIleWtGBEb1LX9KBDhNWxz6E0Lyr1opifun2xK8cyOeP6MApHm3OBoLSZGdU8kNUJKwSJN 2rN36cjElmUr8OGausm6TBShLlLZijs2BsuAqdvO7bUZiT2y86bBStdjvjST6Wh9GFnEze1DDr4b B73NiCZFQ+DGiY3jI4lyoVICe9cCvAuulmswmC9J53K7rw+BqpVCxJ2FARtijC4/DGxPF2TUodiB VxutiQVsikw+mKBAz4yvVfUfmFdV8aBdXkTABMvIMfjp2h5tTjAqayTYVGpv7xgNTHsbfArVu48N ojuPfnIuoXdWlnF5kkzluwOgNOVXAZf3qjQRj3DzGsbVjAHjwi4PutTw8SCOgAcvWFl2G6On96jX SbJLCezaErwClRb7/U8TGikkluYzWafIKngpgVBIiq2ZBeDVIxbyuhtm6llOTwO5xslWONIzOWLn OlmhwIqwXEDETI/WRbcZJBc19UpPw9cumpgRcCg7cyGagrJbe9p1oJAxMvmY5IXtEf+lfuS+weH5 b17n1/0nrgH7JfRGgWkW1Kz2kzZOcAwONzuyMba6FHFfC2v3Ztf3aaIuqGHk88qNRBFaDTFTpR/r Vde3PJaHJv8c4xPb8U1Kf4i1+0+qj+IE2WexHykyS1e+Lzugvhigukd++hPUvpEXLiFVKFCwsCFy Bzf5B952STZPxR7x/lqa2SKs8aJn4NGcDBebN/N7yGtpyYuF/bYFZFWRNkkJrP552vJGcSBBatE4 1BXz+fviNs2L9cMM7uUssSsTB3uSQZgfwNWI24KAcJv6P3UxFgELKLYgHA2txwGGGFBNA3JBdIVb 3MpT3BiIr9X8Mh7J33loHLCgaV9WGMsIX6h+T474+1R1yi2ej01RkC56jxEQWBXt+5RYyyd6kZYp MTDyC2xzrFMxZHU+BgVKGs4kYHQzX4mqPY/gOt0jjVfWyQzWZGLZtzOpcr3qv1dPegTqgd3rcznq V122AqW+miGei5k6ygik8GriLKENEEWIyB3mXFyqvolyG1/Vr4Gf1zqMHTLaGQ0TBFdgdNvv3U9M 21ydNYfiAqpYMvzb0vdQwXSlHQO/qj+0gkWrYv5KS5J8rLMmy9lKARfssL/V3n0ZDLN0t4WQRXGJ PchmaLvjfNN0NytPiyjB+S18rR06+99TQCFNuTGidLAmUitMggsHOheNH7krKuQII44UxHhPUoIW gl98o6eFDy/p0LcbfnK+k5wbLcgw8uwy4aR25Jsp/sqnbK7hXlX14eWkGQDXQJk2NkPaPn5oBPcD 9ZwIXFSAQoDMzyLYOb7NLGyL5KEE3Mb0OPkrN/bauPWrlkwdArBdG8CJORUxIikVWE2N+1d14kQj D+xjiLc9CK4iihnjZ9Y+U4Wx4zn43NUzs9r+32FSA8cb1lbWWGh/eqMmgZDADtvPZzyDA83FfvEX Kak2t6PAUoXJr/g+SRdR6If8c0dHirvHaRz1O3cwdB00P/9oDrE7XnzrDB8T8vodLgY7eTlXZn/E SCJuDHQavY6OJGbQRyH+zT3RMzjJgtD57obKnFwIMLOloSVXx+rxXEWzxJW5cRhjcROKV6Ulyj+X yCt+BywHDK/u0E9HTvbP1gmBEfxQefq5EYsu3rg3irTuo7bna+yPyN4tRq8P7dfbobt97UnQjVTN bbUWrSqomEZUd094v1gAB0FQwQTVcPYYgrhr5ykQDDDNELOdseDHE3fWzpdMmSFOb5eun9ps8syL 6oML0vDtltE30Q2d+6SGZ2ps2g2dJ20KcBziiXYIhCrQlUR9tDIdigNr4W8K6GzR1F1XmkbEoPwL //y3FSOyA7jndtKAn5736/WxtY7wpy7JpW5C0BR222wfVR2lmzUbRFKhHJEdJkCuhArWStrG+TRJ mJIgqeTAkRWXSndJrqRCXnjO7+wB7YOLVW0GT5y5we5o0VJQusXzK4PhM1z0lMT3N+tc5U5DH9hV wM3/9cHDkiQDy4rnklD1/wE9ig02RfsVEeIkloczAQT7TYo0plHq8gjl6q3gMqA0SQyHHVSAqYjy fHyyncyuw++fUIsIryBOrVe+1SAHCyRsKuJiE9NvadnLWLxnwjor6+0skNxn1Ka4PnZCxr0JIC1M 5ALTk3NSWIwrCd5IuvdSfWjUSybLHTLeEacHLtRCNK9tF+n7ydUr8+KtJxKU5krEVUNSxjhw7Zq3 wIv/fCRIYDNetJ73LV65OwtAp/mR2vnvzJ0wrxAuQi/oYtGuTypbluVD3QH541VM3GlFJuUpDmEO m3YY5aPU8ZzRyLKu/rLFsXcCfuiAkBiyyenwWB6Qd2o/aB5zkWOzbx2tz3SyxfJzm9cK6XuzFfg5 3yXrGgSWuvwAcuBDfjOY66cZLJmNfeAEDx+QEw1PnmYnKBUWsb61s9a032aXG/lm0IYbNeb7WwFq Yy72FgsHl0v3eHtNSuJoKVMnS1PMsg7P1jNZL9s/T+0NN3Deh8+evL3yZzP7r6C9nUQpMv0geEul pT67CfBSjCAdcpCGPBBFahcdclbEc1fL3tVI+/ZzfZr/VPvq7KlwYTwvpmK9GQxpSd9KCkcu+D3p 48KEJw4uod3b8xKErQmjeTQkVs26RnCQF0E0H3mouYMaWMUstueYIbscnMhXFrK1U+5xMyWhzfzH M5b0IVNsa2u0cT94hZyfaShqxPtPW0Ej6qq21FWtc3MrUp5BO/bCRfFScfRlDj5PvAI0kwZiKW1Q /q5DOi7YT74zQvADSsmcZvsh/8SW/jUeQXPFjAjyfxx6iFELReZII0se3BLNJBMTxzQGNgyO+MiF RHBa/KPhBi+HaEByZbkQg7ZAeUBuXpiximMUs+7itKZkZgBSaJXVecRzCZTQLbIBLkn+QgdCAK0U /bt2MJKodqSVx8hFgh9BLe2vIEcZLOOwMyaoC6OeBlfUPEbeCoeHAM7K1fIELspCvCkDtzYd/m3Q lFA7WG9gQZOeb+IVEOVF1DN3zJjGNCtqKNE3QgMPeofJoC7GVPqbcet+IRzBjuxITzk694m0zd0A /WonWjKqb+41cWTvZ0X/XZCwvccQqaQISUzikZ7MIoBoKZMwU3SZrW3yaBHtTvcMYeuY76lQTRe6 vAOSlagL5H0b5uagg7C1k1w9cLzO+cjWAdfYlBZclHbS/DkMZdRD5253tqziZU0xu1cRpSGyBKA+ xf6bKS1OA81X1JSk3mffxm5A9NWcTb3lHnrB53r7KNLqGSa7XNcuNDvZD35HoGnWJSWT1bX/5EFS BHS9HUOsPspIJxAMvqOy0983vZhBl1BGYxmCtVC5Zp6xkKcpDu3Ea+JqNlqjdb9f36ja0Uo1Zkuo qBTWNQd9iuuKIvDJBx81ifsDtgb8EWeZg3ABJwzW0mESKOMjWp/YRjqjlL7MonBlDen8sECCBBiA MFDzcSrIPmrPsljgwoCE2GuUd7wdk9LJqBVigvk2jciMwJK6EHfFEKVUBdFDLu0KzXxGeynfP5vM 7urmSfU+ROYnYlXf3Eeb65+PtRtkc7UQ6CGI8Cu8TqVEm9oElA96x/pRiz33zk+4mrco41qLUshK cDLWkyU3KLACoz2HdHUKdNEu0BG2vNqIppCnjv1x6S94dimUzaD4slZx/ZfiSMyMSkPKu3W9fnXq A9VELybBWshuHwZXIdKA4iRoUynbOuGXS7aOfneHlhEM5fm/T3FnPqwjI9Dd6trSKcc/axdY4v9H W+oy6qwI/PQoZDb0cWDQPSDuFB1KMNCTOKPgOu6oEAJPjVw1YeEu9mdsnriZpKiN8Kl+nPkruxKo xsMet5fBtF81z4Rf1BDJcO9ZErUrV4O1wG+c2O6nq27S5yLbdkk0Z970nCpQ6sE6xWPZX1k/bJ4k HG8Z4IANTMLnGJcGva22i2IIopYAtRuG//b2x8jm6OZ4sfhDpKO7zHxwC12/na2DfFQpBTCIgwun YXS+zHyr+njVy7bN5yiQJj4cFGJKelc7fKe8yOMbWCPN6OjUERxB5TeJRrU5rEOp1fu3YkBbhp4Q N0eBQOY1I2R+DIhyHWkxdDuG3gR7LtPeL65MC959P0kTg/H8za2vBY7+y8qivrWpBeA4odYXf7KY c7tPg+9DtSOT23ueJFv9g6woSIhFtiAJHyOO1wlIvHIhKFYk+ueX9/mrZxnIjUje9Zi0/FXrzKbO AbpP8qEBUhhKZYfD9zm/Qp4cf/GpJKfwZ4pWnZn8D/U79gB+cjF4NDlb8q+GAiAi4UX0ueGiM+t8 Wl2XGZr6n1KHTud1CFNKZAkddzXFcepOBiJGqG085TKODPze9QM7Cl0uH1Rfk/ExS4n4PgGcLiYN 1qrRQT4YyovMaII1occPHqrlJRw2EmbJvU7OG9oaqp0z0ryjH2n7TuSXmTg//vFZIAQzTTBBMzzg hbBzacw7ZSdVzYO2MdCQ11Ea8uVpe78WuQ1vk/hOVTWBR58oA5YZAD4QkPk0UojE49+b/l0nAzo8 wqrkfgBjF8KAZNWW92oCC3cVnPcaBDaRd9WJyat9eCvrG/IYnQf+MlwjoTANit7xWhp6TsNTBjdB BWwAFCFvQZPiKgywkpu4R+uzyORfzGOrmx3iVym1G8WPDr3cWsJaOxtwNuAkDOA0VctMEjGAOD5f oLdCxw3G1J8ZupMmGSeXTswE9nabzfHbJwr3ZqAGTuMBDvFEBwjcRG4Yaxgn6hrLZ3GPQOA+7pOq RWz18H+4Ir5uC6KldywAaunDHL91ZGu/A/Cu+YcHiDXAkfWGCl4o2kMZxtTicOpkGo8ok1dW7sYp K22XYB5uCDOuOkQfoy4F1gMggyvb5a9e+g+HdB6Qwi11OCBVd0UcguqcQijc361T2oV0IutJJbK6 uRCWgyxuFiYTFbnLvSlM/d9X7P8mnZiI1mKkjjbe5gfGWquHNxpBM0LCc6tgFvkuenmihRlbyLSe WVEON6JGw+7SB1NUDA6IV7TABLLZ9BS5evSEIXPPo3LzHqCw8XMgikOurrR/UKz+SPEMAeWjBSWe IQg31Ncdb9ftaQGv3M3EkomjkB7Mh6yVU3JT48Kr6xpGi1E2WwYh//4RGWZ4Gq0p9U5q8/t3xMWQ PjjQXQXccJrSYqoEN9j7crjz1oVMvVLK93gQQqzsJ55i0tXp5PmXVn1/HYUb/+z0bto39V47qL3x 0f6pyrS3aXW3YWWGoH0clRquBQfS+fA+bovh6GW8OhTahLCvj/oCMEutNW0xRG0Q0vHEhdz79jpz QoxHqfHwy91DDDd32fID4NmrvUKDCBx1LkgU8MCbgniBmkfJ81y1zWKR5CKX8+3qaRzI+bCXoN97 u+ISxa77eYwos6L8YelQoHO2MA0l+X11z0dtnqiqffkmBYyond8O1jPDIMdrDA9a2/7kn3if4ie9 53u5kljdixjy2UL4sUhBhr03OlnzWsuSDB9+2ZI3EC9pkw0buvNgWTBM1pVN9k0gZha7CjQdWOnq F+N1pK0RXk8e/9CkyNfWAqjpUc8Y0fKJHcSdqUfnkhC02X6zQr2oGFtCUFJhdPxX8zZ7EZX+9ZLO Iqu4BgGgaIY+SQGtf41S9c4/rOgjEPeSAh3IkcVwgm4Inkp7n0Sagt7wQlh+r/gsP8hDUKSeZcTb GNCUtKSQ+WmmAmWdr0lbrWqz9JhoqRqw4Uu69BptjijmmaQLe0lsWnvpRJ3ZvCYa8qCTAMa6vzxO 3LerAEt+J8lBUIcHjO3UJHPsQd2PJjoZHlRXXL+OWk/JCebMmeqAGs32ePBEmVQ/GTc42z9NHEEv UJoM8Y7NESMHQIrTqeoDPSy6mhaMXzxDoi2KTSmENR8SBjSthZ4dd2FZwNDfCoY3Oo/FRs3trHjB SSxrQGfhb7RvZU4LmtCODmaKCclN+ZCad9p1wmllNzG8CQMoCWqCT5ZlfJQIcRZbo6bK8CjoFgRW ayLzbUwYNJFUuDsYdb3d66sy1CjtCOszPlomaqFCXhRYRH0hU8buLh78cOU2tX2p1TwHbSUXtHl8 CCApSJlXHcBw0b6TFpGUZ7i/GxptAOm6Y5y2afsWN0Tye6Vf+kFYl30nNxne8I5bCgl+84fd5oco tvU72kcS/MHVQrTuqaIuPl9yN3C1k6v+5NHZBHTXZoWDWWbpiWvAf3YcMba+4S2GicDBnfyLX+xF c4R8shC66DAT8HNbUtlWvO5+k60IAy8mp/5vfpGg7o9RZz2aXd/74OvVnN2DxpDRVwqO4ZLJh73A kMiT54OW6nNuY0zzP8mlovSn3g9r0vPji3NXi1MMsVUNTu+8omf8iArG4HW76pJz1IWRtRS9n3ro AtkwE33oaspg64BL+uf4rcWnE6NaF6b8rs+CKAr77ooffn5u0o/QfzDHBp0rKH7j3yDmIM+lH8QH 2JV13/Nl6SmUMBfXRaK/8e47GpjCmX0132udlx/C4WmdZZVKBIEr1fuacOfaelpNpCIF+deKt0d1 OGgvNO2JXyXKFIZXjNlFyPJOyW4aYwJWAhU1PewEhw3ilFEwO3LeLOH0oJTfZW+nKjZWGyzshvkS 2EsMh33zP2yMbjHaR5XM6B4MP6LS4mUWrCqvy5PSIWLirGSs9nDR9+KMyHqBkdsOXpXL+smREX+v 79u91ji1BPTAlX93MLCZeIuv/z6LN5YvVdA+wahUaXZRRgAp5qgynbhLIoZ0Ihwx5V2nQ75aOa5l Vriw1GmHf3RiCui7erxsyMMBEKzKALv9tB8H6LVCNtSx0bk+kx5jtwWvMJyGHuW62rBA6lRjgM7c ZMCfAXndNjllVtDMnDuTvRfrxN8rGg9p5aJwdp9wvp+jWfhN+uQ/U+c27GWcQ5yGqm7m5w4zAafh ohTGqMzlVYGgxoDgXQUMIFN9wwGTIIqm09gEWQp1urn9L/xUktHnB0avXYk8SBbw/eO9/4cg/WKu b1mV2IcUyxwR1horULtMMc7DFotaqsmvj0BHRAGcGkDIp06vYQKGnlfywCuHv/QXvQk/cm7c61Wh CLKNvzqmy2uJDFZz7C1Jqeb0tjFrJ5+pZtcRSQ8vaTq/MbDtiHxFxnwbaaGcSIh7jPvp7BdOc7Ya lQ/s9yDjf9+A//qZQR28k6uo2vsc6laPIK7VgQCnSlc1gWMw8JuLRLZAMet/Ogk27c7ZuL5VJG0m xwg5lPa9aqKXN1DZCNfwLeR7MAtJKzSc/A/dJFtwf5NQGIHFBsGHXMqmi3HvR33bhuU/8fuLnRN/ RPK7Bxz5dT76dn1LX3h3CsQjr4cdpXmCahWQtPaPZkBRq2a6Xmnm/OBkhRxd+7h8d1VF3Gyezid7 Knp7izkQaUvUdmD55opsN2+NrM/TS3lCn4vLEplhfA25r/3PbZ3so7JH2TJAU4Zfl9TlvNteg2YC nU2C/kbBeyKYe6h2yqPQOknl6SukYGnqrGVr9AvuL3EX3bBgSdmgV1QQJoyRcvXLevqOg2q2V6s6 AcL3Jb0oX0AiiUvDas5MIUffz+E9xanoZkSVJEAZYzOWgx+XpDE5PLRs9F1sxhq6PidWvDmuqX89 iBEblhtWsmfPHy4ad6hXyp4KDf4baVRlfCUI/Bs4oQ0ygtg8FTpu9ak7lTRtvOUuiAS2ccdmdHh3 qrhjZaJPVvGUZyv9QjqU91SNPyVnvmd45JXqYjgRWXMH8K3FngwjkSAW+Ctu8DZM4Fh/qI0aBVqi 6+blDBwO2PrLIdlyGhDgST1RtY9VJwEvK7pBf/Y7k3uUhqL4AVivO8UYNlGIfsFaMPhLsEd44y7Z gz8ZokrfPD+qHx8HMk5d6ac5Nmc5r/N7hDLub6XLO7EgVd4UQrCjy8EQTjQT2A+271a+e8xcWIER d0F8FNQaNBKqhLS3jW06e57gVWlPRFjiBXKPrTX+EjfDGes2Fyhgh65VpUx6uzmaf0XwkLn76LTB 8y0Em91FEuOVJq3BJafrnckH+ljKLMg2D1YsoxohbZjAGCMOzRit9J/PASaX2daLvsV4QKvR8HuX 8g8/H4tTdY62dzjfNumaYInk7CcgNQEU7gKn6zC+9Go9wabacxMTY8TScmlCLpn0a9LvOuS1JW3U x75tUTT2cFg4p4tg5yEwuK2jtJT/3MPx2SjUgNW5a0z6SabwXX9FNiSnP1ou0dN2t/2TwclDUpZC L79J952v6MuLvzzE8UedovTGtXYA2WxrGFVo+0IuteYD09k0QHx8KZt4isLgJbsjRMsJXNKgMeok gnixNXN2RYh4YFVnVpf8VJixH+/BISEey10q1AE20524KZOQT4MYYQUBck3PJvqGEXsn8hYQO34x k2Sz0R/gZNfuQpnVv8IPeV69Zb2gvQ/MN2gqb6XYuB7zuTRbkRNx8+LqjHIwskWfSAvhr5N1fzoG bOBGEeeaSG0x2sfR7cYKHZ8PDtR1v7y7Iseh/YMMqrGgv1hm8TqdCZFKucziVaPedpL7SythqqTO vvutkG97bEXnmWWFMi3L8XvlXIDqgu6x6SVlnQU1tCZ0jE9o/CRSX3C1V24RGTDu5pbtG+HcCtPJ yljmJvXc9uYGdzSNusBU/P+uJ3DbeRpTRd+BcBCFVf9/j3naaAqjDPUdkQ/DEn65vTz9y8YuqrbT dEQkqI/yXIk+RBwSE9X7OhgzIXGQAmOEXtayBXTEWZG+iCVipRpMKHfbobvYx+Rh40hAcKqNfIJD sKB7ZpXKI9kvanDsQbw5Qn/DcoQavfNWGtz5wJNPeZdLg+2jXtkHZ987ubPxww0GepYhIPXmbI6o EYSQW0kma5kHtP1s4PVVDyMHOLWe61YOUeaZNGzqa/M2Qe9EdhuourC5bC0QifgF/vmrBTU/tAge 3PZrnswD8U9gWe5GI5I+bwqPVg54p8AY7TijqdhmkCjN4nH5cB7sNBqPZJ/e3am6dXFjYAUbA1Zz 2r6LkVm6wF4jMYQRbjbcqSWr77cMFAbY9FPqkggToBCEUSXgT/hSayWVIANyInRyjdLz5z4msGqU i3NNB4KazL7bSNoDdQ+Qn1Sh/koVEewq0rLoH8LmQKDXoaHfMfjPrOStSKodxsr+BAlN3exw5qIM SincTMLaEt5N7WRrhXYx1J5xiSAPbo2QImxxALoqvlMcDUd2fzOHLFPmMyeOSqRIZSNBtNkBY1cZ j56yAtnjleqI/5ZfevYsVchvp9FdpIm3JVNtLjgTn+6ah5XDMIb11Fx6yY8TizDK5EfrnUwba2nu sVCly6LY8yTYAFelusQompx5D7gn4OSt16VmYi1yBkSAT6r00YJG1akbHOw4vz8k/2XmiQ+Q9Cok if8VU4+g/Ehf7jp/PErZknnD9q/WURvsw3BAy0JoK8bCtk93qJ6K7lTigpxN1HrKDkx4TxgvHRUD 0atWSTOQwA1YeT6Ho9uzA/rfjLznnHAC7s5hBYCK4NGEGTmm3NfL6WbstLJHaVmuZjveqY2WDmGQ 4223/bPcVgawdslxDuTEMLMSQxG5Y723yy9rScrLC+WVp/feNBZtoOK74dBLT5wxTP6EoqQY2fqi 7Qtg7SFVyw2+IEbwagZYSvKfPV0GLmSQlSR6r0Mni+T1EVhiuboWHIjJQdv3379AqPgqhCUHiLU1 TJ6t8WcH1In2y5K1L6QXiNSvMn9uXHMxa3909Q66FkNPwi6Xwp4WtDBarr5WrS60FmI8t5+pKMbP 4JH175NdnLH7cYhuaX5ANEcv+NqNL5RiFTVbjcNJ5/TN8OEXzgilKw6bbo7GkBELPZWl+MacjHOc K5ifyWEdNwvyo1qRH/wdieI1djhPscfHgTewivflm3YcVFSNqZOzFYYNpcpCQCQybrFoB4Wnpg/Q mDuJRO5Gxg7vkd1UCauOXXG/SvRbsdGmLFm+rWUZ3inhM3xXgbTArz8IKdZEtZo4qkiv0+ucvU2u WtfXwkyF9oiLnyE8P3kUnMfuw0ld8Fna+xYOEts3HAqu5hx2sRmUP4dQyF7LtBagmz1orM7RhWQp 69Eu+ULoE+F3zvCapo5vO1E42Jh2gvxg0OGl2l2CObJxHtV15N0p8u5VF/1ipsJQaymLBdzEaTMd /HWm3HJo5yP/B54YaL8iGqtkrW2iLz4eXDtcRitkGauNXp3bGYMuQkpO/j0r336RTsGWnzLUqPdf TUGtAusrTv1z9RhptYLAScTdmaWPxOkEeRgZZxfVr0y8d+xsXSwG80x+Gr82qHczR3i5UoKN6IED XP9vKiJK/6GACjRcxqkYKehdXf+dSueGPJHimDMnfYAu5NTVxYKlbRdzNNLWMvfUiuRLEd2on48t o63ij4EETrjs4MzslpyGNyOmsCK0aG2qUtkRUuXDKCl/E983gpDRQ0v54dPt4zdmJeMZqzhUVtEq wnpAZX7YYw+Do3a2o/EIbGyt2G7lrOCMPui+pP6TgQ3S+dMolh4MMtcndZANl3x3XR5akPILrWqh IrSAh/qoSwfd5YsGpnkHGF1pypQjOdSzJA/b2SAdAlpjbnfSlj0DCSk5thNH4iqpVikMNvGfNI0T fts0rTpihSznkxRK5dJXrnGd0JRoaShISqBoh79915kjc1qopukIcLRPA4zggo7reqPM2HdPW8z6 XKDQwWWMXnZIocQpbxAjWOJ98mKlIejypcfwH00kXFcro0ccjXOf4uoTXS/9AHUNSJJ6Bs0Hg7l7 ZeOdjRZQN9c4DtcxPyNtn2F+9ob5vz8dv8anvv7rOeM0j8LCPKqlN60BPuVZvKac7QTK6egbItjv UuZYLFK0aH1p017MAzRj5yP6VcVqWy5S5eTuo9qgaPMmJYSJnBgsZyWTjr4bNPw4+pJ2q+pUSkux AA6A33w/3658+RIXjCtkfDbAbLoCSZAGbX1DwJFTMnV+61fAmR38P+2W5DGMSNh+rbp6inxutbaq oP8vTwUDY8A3GXfp6lJCspE7ndD57IiDw8X9qXcS8K3J8fLbvaShiv+ZUL1mYyRj7IuvlmHn1qyc tF5veKEm6FdAZaNlFJKpRp5ZxjEmZNHnQZcW7jSQNv05p08R8IkEWgRklpwT70lBtBJ6gODcRu7Q S85TQo5ZDQ9Trp8IQLaSnG/zXxDYdKSJDNSqt5VNBE/h9dSFy5JEUFPdWj+JJGmy7umo4jFPtMJd dsIoGf3837p1SxD2i1uk+WbIt47uC2cG1QS3Xr3tPuJKUnlo0ybIopdKPCWEMrYMTpRYd8qinyqJ nlmPPUuo+txizEkqemoGszY6cLwOfB1V/6lea1is5Agid+HEmUQ+vkH0EthNm2HvbGqqX86ce9SW SbphVsJ7uFtcmqTenOtWTN3eiXALj4/i70Yi7ogkonRJBvQc67/6ID+OlpT+dNoG6fA+sTOC87Jb mmHytNv7wQYhbsdWGtjPF/cOdfPFIdCjESpJMTUsseSLp1AFPnfDHJYB65gkkfe1N9cZeA+0t7jn QUZB+vlzF8mh5CaaA292kcRFjt3GaRrsUdoi7jJ37bJqdGYAQdoYo8BpRzZdA2TlCD42xwJ3aMuX RCS43kCJxsazi1NMc2Ead9skkHcQv/bjkIxqws5i/kj7OIw9rA6rDpKJasZ2pzU9cYcjLMlpCtHX 7Fqr22Nvoy/8I74f1pmF3CfxSa1YlO6c3puXu5YCiuRvTZhKiVLrW4XWVh+5WU0DKt35PgUaVoEQ jc3SgqdrJxtNQMIueYIcoCLOmxEzTx95uG/MeMj2Rq3O0p79VL9i/nRQTy78ByFo3hKUVBY0YHfz tJNX6xoHQkNM2N1oYdOSSpV9wIkDHMHbsZ/j/6NcLPR7Mf4TiaJupzxyApnPwjyavSA7jIQ0ZGYd MzcZJsi1lBPnXry5C2cHvBKSMrK9HoT05fPKcbMV0nGHx/LaZd+0WHoYfeIEe05wImB/5edgjFAY vzlNbO/AO+2/iF9tM4k4IhnO6hOzPvxy8b2Ar/SqhacDBB54LDgnkdSqAeKQi2U7IYyy5IcZR7/2 dYiq2itQ7NWucVZAa/RTgH1hozvsAhX/SccoMAZHjPuEWNDpKCz4RbCPnkhNLhUYyy7e0/gFDk1q AO8fy8oXMd033FBg3n6yc0AhFZadQ4tsuIvMz3TCfvDds5otOEUt/lWrXUGIjatFswz/jWtqcPKT HknXMd7pyTpmDWBXH7RHG9enmYlKEWEO+YeiyRC8Ftl++FdTGrsKoYgx02TbP1Sx3+ziaUNWObF0 jwYnlKoyrJd42bRPyVHE5L+sbRz0jSgUpkg4Tzxetp2odRQOlFizkfVZC4q+tEMzmeA2CWqKWOac 6cUkLPaK95CTbwsTOawvNF2fkL31etrazYO2984jCSLakXBqrkOwaD1rV+B1De3B/2ztC24TaCLc WIiGdUrIxiG7vx9uvYuxYVhJUoPvMBkwCPNc8TzhOsAQdRJ2DG9L5JCGFWi5MtjV+hzVew36dgP7 Y78+JKySymMJDLL/8aU7nT+BzzXm55+XoEDvBHEAGq1dpZt7Ovoo9LCixleQp3dmTfZmtnw6VWMf QvL6XWhNihsoDsfJ2tCXxKrS8yv2p4pWc1MKyDpWkE06arjE6zJgJAlV7ByPfgaWyMcUJo4Z5zas VJ3B3nOwhN8QixOR2Ue2CnqvtnLsjympVfXM24qDebzOY9Jd8xSHJV5FhFcvPG/Iz6tzB1lJ4op5 v4D/mglYBaXi+AM1hQrcfopTA94vszw9wJ9ZYYgEOcvebL4bJ0Am9srhJCxuKzYqDneN0Hdl8Xeu 5bPPnhU0WymJePDapjoZe/SbIKApjyp22bhvFtlITvkMT86YPc1izm4GBuwTfavSmNz7e1cOB1dL EJb4FJQrZ61G18A4JRhb6mKpD1tMjAA58cgbXFvDxJMGTyfoYNt2+ktPbfAJcMn+i384rAEPnhdQ y7GrN9twK7qng/wTJBpvD+KDfn8Bda7hhpODkbPjA8gYx5WwsZtqlXUgMJ82zvUAVRc1sd2QtKTX 7DFbLFNX2OxKunJ8vbJesvVWGYwhSTaPBmz2N6S8vrkRfnk0WRg94qoKxqg0DPA7abBUcTKpap0E GEL/plbyKNbN04vNZ3i5U0/3mqGfQhK+uRc2jNBTMAvPtd7e4O4qctiP9m8FwLE5iIeqNwXtxq9m Xcdv2DCkC+Ddy6mDmGaVnVTtVLqh/qvq5ppUBsYteI7VGT7nTFAcrOqZ/fOVmS9IJLBtZVAVso4c R7VQeJydJayQVtBM2QQksYsojQcNym5BU9wjrzmlMxB0Rz1x6cBMCM11ax42fB4CBUdMhmsl3pQg g7adbiYzbCHjfDJpmIkOJxuBMRKC87x9gWUoQELezzoOh1r5WTmiLhQe76jcMz6GnIX8LwA0oHcN Uew96McHZNJahfp0YzYS5hmmMZV2pXSxebdoTf6H2r2UT9xEoQXtCRVHTLo9L4ZpTubaWUa84B7M XsRt0MY3lQg7m8pUFFdDluRAoz2iB2ufGe02DpxkGyjPQw92W2147QFEcCfI00b89/htRaVD9Skv 1j+z8zaVJUgbb95e5uHSnAWaJknaBENa4TVDiNz+nViYKHswB346LyhQ2I2xyUjSU1wmEj+7+xaf NOpxVT5ap6Vdt9S7TPxsKQID16OkNntxXWT3WaesCx/3L3yo0FBOofyxCyZo/U2Hc7OYBazI2Fz6 Osr0Od3F7qxVt5J55C3TUhovVDD1TZMSIFzQcLCch8xkq6tAWY1KlZnuSUrHY8jYZ2n7aekZ62Wy S/yvGdXr6VyoFjepI46UNEbXlmKYpGufIqCCspKg03u1+8jT/n1OemdsP/aThg7WjuO+EOj6Pfwu oGgca7CGBkFJG0jcb1pXQhZFTNBzCae9CXhbzrtkXb2zQ9FKytijqUsleuxsXItc0K5bbDPIVVGz eU91QcYSw+4eLAoQOtkMhLuv/ZBLOSjWVk9sF2+WViWlkUsesq+Gc4Gbi/r9j/eXT7AVMIutFOYA zJQAgdg9a5IlVw+/UbE9XzM4RpUL7abU9asgT24XnrjEjE36sPB4+NaVtvtXamxGaIjytQ/Zcums wNS/jwb/R/ODBjQZC9NQdx5aLYGokdAYgsUwrznpjbYCaFtmF5WjLN1fLm0hv1P3djadm9m3XrlT xhSnsF9sbbDxykeM9J93kmFjK3H28BstoSjo+FYCGmHXEkgpBnQa5XxdzdOtDIwJNnSlnFGsAGe7 EcGDo9wrQAI1O/aaEnQwKjeU0JCf8+qi9ySnZ2Ab8PM5bQF67Ijm64++nnzyxJZSUmC32mHPJlE+ XtUlPWT/0GrRhJakRbKKn2/MgzzjMiO3UjRyx7+T/zDVmmsfr95rFSf6iE7UrATIGZlJ8Qp8IugL i83dI4zf3hdZzgzZ1cPkPiIrPv9C0aSYa6ERPN5EKsbXAsvnXpSoh44HNXjbHOI4QY7QmB/jbe0c mxeDqZrBHMecm6Sd8p2/3VK1VFrnIYtMCs657AdfnOC+Tgy7OjKvnXCyMFOFuobdpyL2i99WB6CH qxsnWXt3fGZm4cCsywtQAaPaElt5TIrplvyPcZjVC5F2z515SJP9zov01puY6X+1sphxhk36NGtg 0y2Nd08TKWrc3INx8BoXKSEMgifXl6EzOEqiwfHFVi/2jd6x85z+gSPZ8pJRt9iCPnkV1Yg04giV PuYgN80DprqUdG3/OfcPUzKUfp0IefU+1HuNaEKtpoESHdmq/YJUuELfIcRic6WsfZTfDlXEZHrX nfsGNiNhQLNXzEUOv4QpK5FNtnK1iEjzuZ32q2Ettlpm9nsdUfPvGhfcDcsfvyjT2dgRSmOLBycU xzRZQC3Fp+X8erp5n6a9SCfoCqsjEBghRrXsMQV2kg6RD2PQCI8n4M0dzElcnXFuAyROc1eY66ej Sm7JOgaXsFQXY0k/EkOe3cXe8xfe1tTnKuqAy5crmmlyHLBkjamNNTARArjhQ7o9kgEwzXC3wJoT VVOhzv5ghjNoVsFs9nzOnoUhl06ux4O0B8FVo2Q93wa+IYRo+s1mnSsZ5e6vp5Us2KNbibUQ9qFm G4S/GlkHbp7+KKshUBlBLiEjdbI7vb7RO0GfmNqWSKS7/Nd6du2cFSaA0M1QXujT9H525cxm27oJ zBbCkcDYmORCqNBkNOVbKmHgwo5ctaoFK2CqLHCa27bG/E+zlqG79yhjWauMP8h8j2sbkz49hMeZ /7/PZLcFx6i3Ey553pbf71k0N5olf28TaI+fLAt8imW+9yjFD/YJjxrOCkLOfK4m0GZ2sSvGMOrL i5Q+UE5T6vbN+w07xJgj03P5V/SBmTzPiXIpPeVpTvp1gqddWhPVp16YcZeJ3uL8vY14jdIF6UOf tnU9V8HP8xI6INA0YEU2aX2UhFF9Pnlj10APxyGK3ChC2piaTwURmvOg2BYezteaUQqYTfkz4MCl 5s6z1raKpMwtyh9bbFglSE2p82NlgWLM3LYZXf+DsnVqDIO21vg06vamUy9Hp1Nrcd+eoqzJ4NzI aPtPOYetfzwqD/28fjuZT75dPcpx+JdaCIE4SQBjaa0i+Wm47J6dmiJLu+PWC8YVGwuOoIO2AXT9 LfO1XmQbfMkWQUYsz4WmjLC56a5LX7s9EE/X1YJs181i6vS7czH0opaitpn5POqsmZrECQu7e/xZ cudM+73bLz7YOBhnz6ELE+t8d3pOyMK7U8Kkw41bNwsZ2fO6xPry/GV16wupaw0OU8Owof8PMu69 P/tMyk4yjWXiXYzSxZv6nNgaCr3+0jAkpoEFnoi5UD1oGFU1X3t9wSTIZ6Iy/hP7VdwwEX22DKET pxZtXG5f4zXyhxPS8VNE9g0kFJEW1xKPTjnz5oTfzYC4z1Sjjd97FhrEku6WkLOsLgkushfcjhCY k6J4jQGAuaVLnBw7ZrLOQKCqU9ArY7mBycaY1F/PZ7PwE+pQzKKnIJ164uG0DGb7RjPtJkRul5V6 jmteRARYBCmi6z6vXNmlok32ez8xitlWAYnRK6k2mRyHxp0E923MFOHx5fE3eF9cNRPiorsjgrLG uq7QGniTQMt4FQhQyMbzE5LVULL/XwGcHCPpeVJ8+Fv/1ZyH1sC4lFfdfCsvu3Aus35HbJUHJQCQ TQqnNFlbSYjyGDuOoI/m6jwcb4N2Ld4jz5fmYM6iy5wdwXttFfdHvuZPZVK5WHOj+x2Yfc0FUTkG Jh0d1NWVkVACoZrtyoSVIdkQikVAOtliz+cQAUAQSjYr652rV3h9qDFKy5SIr9a9NV+NwBTEC7Xb Z8yWGEcAJIcf9vrf8l/MPjOxacoVUTXkizKbfcZp18BG3TwTEl31gkhdC3PU+c4AdkNZJxjykSLN eaX9WufjVsY5cIUF7QPYAYnnemuBm3i+uJzQ+FeA4n3GUK+nE4O4eQui9luetoqkRQU03D6bESk2 uLPciHp6C8fV/m8OJu0Ndc0p3oA9AuxBtfWHvjQSZZJvCpCGJbiafZGu0V1aT6A4FEZ11AMbOKVP gSN66Lg4GMdkIq/eGGgKSL0BmsyQVyxcNPbh3fswJ3QFE45Int6Au3JT990dJ/ppyJPsTve6pCyf 2qus1bAZBWi1AJYCDH6aekyWgtG3dEeY/p75Eax/g3O3BkUgufomggpZjgyiRJ467GBi0dGLWdOK no/4NvCySL92wKJRnW80MF4g58zNwi1urzPrXBT/X6on9hWLBBvp91+nNb0f0aXAkKtZYdE8forw T2BDm7OEKCUVhYg1riDyQMxeCH8UteOIWcFNuRXEKkhIaRivm5MkWuIVjp8RASJsTlPLPU4y0miK MU8XY80b8xKcir/ft77yzN9CsQA9bfY1dK7jmtLzXpgY5BL5QRId6gPt4CUY44/FzTijhyozdqUl XI5fu+iJCnA8MJQDhMp13zHTG63cb8mdyLeV60T3doKiAgo6pUfYR/t0rDNGgBz2OaSpXFYLv0Ei 3+bddXXQN9dsqiCM0hA+0UzJWUYEbvotdKBzYRciPxSh8mRrEeqwpBihFOEfReLPJsWvUsS0ZaRB p3FAm65jTAdrckJv4DaidJ9CWxXejpxbsXatAWGBhHTzH/XnW5UVh1s10Z8xYZ0useppHkVpFfZM xanGOLeAeULE5wgYrbueONP218qwRDN1/j7A4eUxfDf5IK0BJMFSPEy2BKssQTqdksCjCIp1O1Yn nOvxIof+gQLZS0V8QaUOLXj/4h1W9GBLFSxnFaBmX8aJNMkyNfDc5mUpCrW/qU5XIRIv7hUAfIc3 Ou1qsWk/aqBuzj3tIXn8PKvTw14ip6aTLRlXKC2/M+RVodItsPYQCjfy71lcBFipRy8PhVOQ/zbB VheFKtZFCwDbDe23atPizE+sB9IvQzM8lACEdCwqU5enl5f2vARGfEq611KOYb9s/mlr4I2uiymp 7692D+aQ9Ys2aWn4rxHwdhGPAielSfUd5FNwZtJDLInzoOWhhXRvU6p//PZDo3Pma5WqvJR9lYIN bRRIRtwup0uwYcb20Rf1VFx5nPdezcT2eH4cxmLI9xk3Mjr/4S0yfAzUOeTlETDt4YWVn58oAD1d Ez7y8/M0pYfG6AXCELHsofl/scLC5GqHfS18eQx1VmhSOBJAVOyXkHtbvEPaUcb6CJbCvdO3PZe9 h5J0m0wUs+mf8FP1BCK/9YGh20ACAiZsi+316MT8Bv383p1VAJMBRNKEpCpLTWC4Skrf1O5qiGiu 3PmSyDUEFhIqq3DywqlYFQ0d6OwkOiOaWYVcPKICbPNjJixJNRdjnc9CgrZf5jjirukVcV+dPbdp Mhx/u8mvWqhq6CIB/t6TYXSZAXrtnyYCh7VAkxRu4sTzLnMPUiC0JzkotRtoMiCZWDTKHbCRncpr 9xrYwMRBS8JwN69tpOldNWatqDfIydBysjbWEZiI74UBNwUSw00pfbBaPKqcxx7+LX38RumV68hI mXQXcMdOQbndCdXmfYdP10hd5H4mDqz7NmvgDF6wax598p965VryTCm6ITkV2jycpdrU6ZCybl0W BKw3GU6pvSDaD8xuOnJKUhHUSIQXj8M5FkY87e/BJBl2T9/dHutQLnObFw9haCcn69gIYt5bBaVX SSIcQXB/flTkyWRsBwrc4qYwo+pmWEKWYnqMz++bVoVyeACwgsRBCBba0zwfngl7sL9/yXsXbxwS Omb/6jamTI6W8JBl8gR+wvPs58wDbQM05KCDftBfnZZbJqnzatB5VRoGgQRsW7ecuBHsa09RJDBQ VA01lr7pJQiUongFeOHXbj8JCzHxXG2IYKG1+VuhjMwW0LeTnpoEvCrpz0W4zCnY/kmTnLczS+Qa KQn39gFNjHHP6tKdBLSLQECTYwCLiuj09PGtrIGQB+hgIwxR6eLbu6GMtJn8n+k9pusvkfl7Llpp m2iQTOxKAgYnNfHbtWqQOxoA8XPx/beuFk08kRSQZsYUVygRUBGsuYaUQFBuNx+nROU7uqrxeKIj HQ6Oz5yn2IrwMBla2TOV4xr5TnCxxeQ7zR653JOE6y8S0n9REyxaVyweXN61YOw2YhiG5yKJFeU9 utOLiUERNfE7k2BMxQ+9KnjtTflTZkG7DHs1C38UZ06cwM19gCwJforS9cFMJ9Pn93YPu63Punfz tsMFRWHeiWIdMw6xBVvZCAIWcrH/OuoMgXTjzKKsLFe+dnQO1HUJajbsmWlfJd+MllaU+jdOyjfF 09J9Dky3ymbZ0fE9ngkYnhN9S0b8s2iI9DZpKqD71C6SdzTQh7AmuHLHgJPDUe/J5QSK/fW8E4e8 duUFA47jXiX2nyTVcCQldpjDvYdNcOQKbRwwV5LIzLmf3/nrd4TZ1i12LxdHrqRkLCvJXnJKujbM WIVNC1NNhmrv2ucBJWGfq1NrkpdPSMXQe1MI/alCOi7uEFFd8OmzCU+Q4xARaPxEsbjDixI4nrWF sYj4rMvyocHqOUFtrUX5daFYPDPRciPgl8JUDlBqA7M8ES/vKCmqDyi2KtLecMRE5N22h/Z+C8V5 RC0OTm94TkDqp3dk53PFH238gKCaVojaSjoYzecmHtWA3JL5XbnDkOoT70d+LiL/U9IT4T6huJrH luOipIyNhtsPSgWJg3Wr8zLQL1NRCeIv+rWNaci3+EXc9hZQ8q6hfS7OOFuFp8AuLNS7XQGKrZu4 mDlGO5kENRgtF2/pDVCNVqDXxsBjN04Gan610CcoXscdx+1IoIu8ZH7U+FiI59ny/JrPoE0B59x2 8SGzfcqo6T2LucgTz7OcAI+29cAS9JP9LTDhY4HhZmurlz4PnSR46WgDc46pEPNlihv95YCky9R+ yyEdfMY5ksFV+gQ05O66II/oVpHrAPH7Vd2jyfd4nRrf9xk2oyuhSdnC3GXibjce2Qhgs2raIMfT sQa9HpTLAALGOtvjUWUkUKT+PI+pm8is4KZ94xtt0cVAcyrI66dcYHS821OQUFkJW7Lmjtln0yeq NoeS8ZpohY/AxUgQ+SENkS8EsvtBrqpZVUlK3pHzBS+OZfU5ZL11I0vNXvrl83zgMD3GoX+pNLX4 tUwQLlwiCroBfv1CGyzKNDLfIaa8OTC76a+IQ+wlaX/jmyBiUq6KYnADKUZrIBchFr4etg+rbp1w 7ufo6mrcBwtBZCzM7sylK+9GhPQky8TO1PXXu11heHuaVfU3gvOCb1zX99A8vmnqLiCV69XCRd0b ikPO6K1ay9Es1Gx2H1LgPk56853VJkFsLB2G0ZCDb+Nm+NOCXyNHenPEKM2q9ZhYqkAUgomIsP7p lgdzjx3JVJcWw5qyF49/wNbdCRkx6uZFNB7IY9fvN1aMMpma3aF64zN8T0Y/mnNmHE2EZY51PgnL un6XLEpoy5yodJZE6q8kHS5m+7ECfYmt4Aqfp2FuLmuBYS1eyB4/7S1HqwrxajrwZuEOQLY9mqcn OcSHbDrkcfe7uA+kfEyN/cnhMyahF3apsajc7oHIyQXnZsR4K72sKlkgN2XgUTBW4g/YoRwYBskJ K+yLeV17Bk/ZvYU+GmuvydOkO+PSug8VTHgziRC2vtZxtMSkyxGx3SEorOgkSSMP/ljhjRlZFWal SXAQ085tsCI/W7f+sK8A7qtjUQru3hVr4Snw/cZsnw5NxVZLzyxidx2YJvu4KFQISWfPu8KKCxo1 dZzMo+Efy3szyQ+m3mED/ktQlS1JZg6U0V/Fu9dQLY9F7UPYSeTml2nFfg6J3XqvGfJU0oK8c5NL wdlbbedGsj3qtQffgEVmp5dquAfO/PGnT0TAMpwfxatTY6LrG5A9ZO7M+iI8qpYD73Ui1o/a2SqA cplZzOJ3hMC2l3jDmHfm3AGJEhyRje3qWQ6bBFhCgvzy6IF+qFyIp0dzHFCwqyWYU1p2iEz6W9jt GXsqbgIqn143bCAKgG5Fmj8fs5lJtjqD5IDXEVylTgRXD0iQDV5FgYKkznr/pAvsZZZqk4/FFyqM NXrNfd3z1H1sBrOMclNN452DK1P8CBQ85f7E1Wn4nr68WvvPs+7KSxcrV5mRzcv/4897eyCGM4x0 Kr8Ho9BGjkfb2pFlxN5vJrgAXR82dQlz9bcSiW+iSB68ecMQWhQdrJQZxpfe30JlVVPUDeSFTge3 6ggrGKqEKsXBFSGF/vrKzk/zKC57Vth8gzweM1g3BAro3xlUv7k5We4AsMvbDqaPSwaR559SneY2 x3O9h2yq7zn0SaZmQ8y1jFq/SSgduuCxPHzy3HWX2xNfssMn9G9omZA4Xcu9L6TPr6o/51t3Wk1j l4KfT1r6YCTQ/nCsj7KQ+IZ0s14la3YsAAIqt9Q0ApPa3ncqD/O7TpFzDY0/G6NOIaQSwCcNXuaq o3majaz3iBrJIw+msJ3u1RSNC1F+66tgEWZCsiwwEijQdA57IUbDLcXW40IF19NYFFhCnnU5vf3G M1LVggfJQBSE4VBE0mp/4J5n5oc3jBBHFWTVxwQyujNcOC7VSb077ElM9MhXzk7sLgjvTIL7maQW tqh92YHeO+3UdDRtHc6V2durmo0bjcyTK0wtaFJH8dORtRz+ZCqzdMiTGkzbu53hjaSy9UFhFGCj fgR0+ZjQDsn94FpkdHfMrvcwKVgQMCyDcBOxoYMROjYuOm7cxGVgyoUh2Mngz+F++Ei055uMPwfo wPs8owncthsZBksiiwDTaSzsqH2NY0W5hhsAkpd4F1DGMwgAuj64mJNoLVVKTb3jhslth05WvBjj f8Ffyse40HhBzhoHkHqGUSBI4/3YhdF4975m5MVHtYIYdGaN5o9iO6GhiyPhl+ZUPrGuprWw8reJ UCg1b9Q1pUw55/cZsrY8U8yTK3Q4RZZyvZeNEnx0plAnZGbJZQQWuqn5kI9mgOrSiXSFHsOqJ5vD FUjgCrvmdS8+BXgTQLx4VJLdrJ2T/ONLR/M6e31d8mD6EMIx526zXTpvdvuIVE24ImmTBD/Yntw8 nhfuZeqTVbml/yuoq5Rd3SOEQkzg4IoQ+pxuoZh16DulvB2805ucw7TVF36RqpJfP6mjOxoEyOEO 3j5m4lZdBdhfhCkU6fVYbTXljVNv7eKBDVFp8wDeN4zrzv9dkfRwSzYoP5Bgubinh/Yqk6nkkLz6 6A4SZJ6/r4+r+KKeb+1qwhupKzUZOw7C7l9PbHo6MWHeFbxp3KywM4XNI7NKS5AnwqwPE4a75lnP PYF5u+PTSazQYln/fa/lKeRybdBrfA8YFAqVC2Y93xAZ20iCRAIG9m0nBrIKGMlbdw5AcKBcrhBB TcqvLD5zVBcC2NsofMFT1MP5AhNsKIsl23uofwd8fpu+ZsKkC3sAAXAJHdsgdQ4b9PkRQoD1hIBH YTB0Tu0Cy/OQVWAGJj+l9nVruvltvGeSkrcFVE6njvzjM7LxCZRvH6wPV0iwwU69oFKVp/II3hyj 1F/aOrEsEtz3wu/emluN5ci7Fwo32rStF4LpdKrqvx4ojxyoLPlW7EGs6mjxYZz276s/NAul4HHI J86/z5KSPLwmMPOl9FUtEigpp5llm3Ip9jpXA4cuxK8DALh+9o3bsN99YT9IF7zP/NVh/OKkgZ7U W+ZWgJdn76YgPMQuI8tQPz+ai4/GhlnIkHGGFGQf3MqdtR5FQ0qELr2QJdnTHSOTwy47R7W9d6Wq 4xY/pgXwjjSm1X0fyVgvNycEK3Qh3LPVdfFKbxZGoQm1YGuirk/cMzKsKuHMiwRIm2Y2ywXpj8t4 7CmPlci6gEDmLOuxNk0D/kBlgoi5I91PHQ4p3RdrGmnGHWo1m5LwNXKp9aXw1r3t3wwhltjnO++Y dzQjUkL7iETj0iFeYrMNOsZ1dupy14fWGlIeqljS+Lb3ePPYDVMMPmkFQhKI0D1AD8/U/Vvn60c/ T+QaEmmWhmY//H8N1agaxecCjosQjlaQcFMOnARfQhGatrvG/D5ZQpkDWdCFmF/29cANN4CdTVAy z2WyKCwSG6yx1PjvdHWw3zbih0j0V4nv8ZwatZidl3Nctv0zwk0J+/m54SVuiVjD+hVjEg0rJbBy ywJ3at3wFoIygioU4eRwRQs3sRWBEPssRs5iYAnncmAnmerZpUn6Vnq25Kf+oxSJ+0gJq81gSY2g +1Fwh3w3+JHCkicLqIlAa3MKCBRb/tfpUTMyPRQaN62W36TW1dARQq5wb+AT91opl3Zv4J0yrPTf 5QcbEbYdtzar4v1/+FAJTpepPG1VUz7iLRPM7nUF706g2mdaHSPPDDNKR7ap6vqB8kuEey0dtOfz LnbH7aUJtq25gU6gJjQXQ8wwXrzcG37KWoyR9u2F9l9TMVsSebYNFTbZqmv+brjCE1sPlXzWlm5N B8ra6ESMurdW12u/JIOf/DAFhpy4YrTWiCkYXjHfmhKKCAe3aaU/rRKNfUC/cbumIUL6gBd13McG ZvN+DU4xIotVPC33HB0/h9NqVxzNptEYLLYL+kapKhef8v3sO8ZzbsTo1qIz1qQMgC1zWBLATItF HXuvE3S2J4ie5ddtxih9UnpXXOmrDJzJ6cG3Ld3pkTs4mcTvuo9+GAwHhhMUFRMl8U/xuH1KrL0m 9CEHttQjHLa1I0hKbpEUuUyT1vzRSF909UNmVl/sQ1QQBSaI7xMGBQFq5yn25AppNBvMsHdq5dyM BXfSlFyyxjn45bEmPwOk6jTQ3mIGXPM1WXggCsgYkdgyFfiJmRoM3gANVfOOBkgrSmUM85SDk6bW 0JDzaQxCM6JBdrb+FD/4LvbKxF9D/SJct31IG+A4SoVdu484gUbFu043E/0Y/q3srtoGcrEkHF0X EFptNn+jpJRIhcglRYNOrZM4Luz73JapFElDy+2EfMOQm3R6Z5YCsK4zKUPg/38N4LND4BGrgox9 LLCXB7dYL/Gz4dNM/L1vlqo2VV51B1Mp55sOEqPyH0MXYsecjelRgxqLZfTHCDVwXK+APS3sv6gr 2fzhsokMiIn6sd885BtEX6bfY92v6ifMUHr4AyYqxXRtk8HYaPSsE/pAKbmZGgjsF3znCS4+pSEw WrDisfXwh7CEskYQej6pOF9/Yr78lCk7jL7lC1CLYi1WYmRqRk9LNGakAxq2zOuPUFVO/iEoA4Lo FR6PBfVV0j3xrtWoiDxHYC3S4Z6PqeYq/TBzg5cRa2W41ZXqlcVe1bcVDJ7dzaK6oM6yz877EZDJ xiH2I1hVTAl1By2jyKNAdEH71VxgPKyD9dksYVFi/EC7T6C015POXWziXROl4FQ0So+YD+0R4kqC A8lKYGdOEuzYd+Ps62jOza9ErNWZqJZAW0C8uru1OWv3ahUYEGtxd1bypftD5332YX3ix8GPowQe bFmRugMKr7svo2AW68B6UMz7oYwMs6Kl1izFMM2CfJqvDNWB1ZGkrEe1hRgH0HtIQNhVRKueSo2l hSLzQiBspRtWzF6Nj1VwsX2vK9eA2Qe2Elf4ujCXTh44ZAMY020Jv2hHXmCX1Fge/bjp+C8bMvpm TpvLxX8cKlGPsdxDJP7spcwC6PcgvkMbxG0/iYPb004aQ9dDLa8ncjsJ5CwtD8E5De08OXQxtpgm UnaiS7lbOmM18a7IPIMyE0fFQcY/8paJZVXoR9c3ymtG5l+L00Rz2qfRvu0EnVBFk1TJln86JSMl 0Lzvfmez/J0zsZi3YawSr7X2cdIIDEalOVtQIae4YYLcjHOSfHnPNGZQ+am0l2KQql0LVyrRVCvc 0ktYgzkSV5c2AsAyW6wMrqOyx+aCvtPBn2GsPl6RZWAOeaKkP24bVsuVull9NygbZLPgJuBIrDnB +IJLRBm+qJSEU8cLoAhOrAp+7DQqeQv88m309/2hQbdZt/3HIVPeKGD7i72jug+cwcDkiFcpuBfF f+K+0tg61Ir495eBptEDpNkdb1eDxbNg0VCmqY4Ae2UbbooBIPcJsJcO64cCP6vET1anygIUI4vP YzER8DcRlxV2v139K+1Jk10nv05NPvdWhtNPuJ+1kCSyFYvYKnPsE951R3RhCZFYtanKQp56SpUM Tg9MyE1Y8wR9n/dbjD+BU/hjdt9mwfasoI8UJ0v8dCwoYPX4oJpzslRHA52DzQaqey22rQbk4nfv UhgH3DX+r5utBSKXqr++Y4dqpUYoOn5ay4kjsgbi9mQatrG879wMrpSsHgiDFDkfi+hINln26NLz oKsvxaoS+ckJea4E5XuumXXKWZzds0/XnazR2wNe/YP7yFN1duRvPOjsO+8Cusxv63dGQuIhKCf4 EwQ5TmVInDPFdaKHaK7DUXwI1bvIkOyxx2jPfdbH5FEPIuPc+p42AxBDSzk71FKpb/Uqhu+sjTZU DaeNHfLCm3qhauLsOwLnYZoqgoMPM4plmZuq0dxM6bkW5lZrpuCbaH9JSspKZl/Aa8yn2VBHI62k Ib/DPZplJ/Gy2P5r6hts9PypnY6etTTpVJTB5MhB7WA5Jt7q4M9njsLBdXX3JBxkPKl7pHbsXv5q TRDnYAeCU7GNvJce8meGcd/0FdecRX9v8fEcvufYeAJ6ay62/bd9DNZrTz+uMozttKUtqUddTA3R mrIpiAgZ7wbiq0oYTDqt2Cop1N/bN2Zw3giNQnIEdI9HatRqsYAxZa4vXqc0g6MdPlyFinUDPi2j rpG2SlQBW5j03NdszOWrI5ftH5WzEtIVIL3F7mc17tHMjdqTd/svG5lgsze2vV2B4w4R734t0Uos OX5B4iDc2JQHDfEIUv42WwF1cP6C4UNWs2cI0F+bt/CC50y4DVTHwQjum0xh25lCi1XSMflYi6F6 6GzqzLuqJKVYRrgBDBwPXBHP2PUq1xxXamhIx3LCUbS9ipuJ1GdKs1WfsWb6purRsawM+gilgmwi w3+nJyeXs2lIAtrhwepIgAY8CyqV0qSl7zrYk0nhOU5tqgs/NttaZ5iSza0OceZthA0VOhWkug9v Q4aVnyF2mH4jfo/lfW2uc32m+VjUodZCQJCiM2g1vw+Uz1x4hqmLGsArwmZqt+Smmu9edaUabJGh hhSTUUbdneR9MOtAXhXxHADF0HDqyiWclZQQWwnk9HEglsnS7fndybE8rYyOQPGPaMV9MRKZxgNk iARjVJIXDm9Nn2TxabYZ5A0DXu5t5hUqKbcJGKrqlUtME5Uzhj7XEEpFcpTERU8HU+6q048gOIZc HvWZhbBzbqPYBrEVAJOiXzO7XN9VxDr/BGXMPYJ042FVccrOa13TIoogLCWAElMUimtk9bzntnET 837Nxhix6SQQ/yBFUniHi8/55xn/Clr3EihOgCKumXRKVCwyS0zRePlk/gFJz5P4ADGHymcNoxm8 ioLf6pRcKPbDxsqHnoaxtOjlpyVnjuYbYqV8RnbgpH2NDdBds2vOnYEXTPLcyEiG6qH5STP0MNJ1 j+vUmH7L1gdwkE88HSfcriIkGXxA0JPSx2tROcWdVbcNwlC108u5nI+3K/dxnUBunBkDsEB/2yo4 QoN0/UIN043sqN7KMtve1pLrHBP86Mnu9hnwKT7BL0ElZsgzkxIV6r5vS9vWhKJJiwpAQvM/Zr0V 6tEBMhzFeV8fnWYpj0BklX6j5dz6Yokt+zMo1p6rBGuLUqAGJwE5pVRqvp6JraXyCBIFkHpzsblp DaCvwogj6f5NpyEZY3t5TvqjIQq3nwLpcf4VlPTM/FPlbEYjfgL7DbR/A8tFfCUAl9V08zhy8ZIk 2rIUgSYWXD4RKsGbXFl/Zl228jo6EHCTX1tFHPpHvkPiEr+rDsyFwPikgzRp37xjymWJ/jlBQ6tr EKnFwpU2ONl5Jpq76dtnmkzFP6hs2iaRtWv8G4QXunPb7xeoLMi5JdjmgW7sRm0ijLI3XKYOvSuJ yILYXJBpqxVDrWKU+emPCX5tSoyOaL+s8OBbTaV9hBtcsnNp+NyZGmn+DgrA68PZ+nQQrAGSUQCC rA+NEyku3QzjCLosOCgxRDWTNwGs6oChiv/exr77UII+NQpt6T8EoMuEyrpZ8djK/e7qKDS49Es5 sRaP5ukkr3jQsjiXpMjq7WmUH1IfyJcyG3rG+7EbJ87q/WiClzGCT01QQjq5ZonET+GHvVwrJaQs wYtKzFhwfQzvkfMc7bJksz/vFpLHv0axFMNu7iOpl4k0qHEv6RKIjRtKDfOFZTGNNh32r9mkwQlP QByci0l75OxaelS4+OQvGuxmp92O5HvpU5dCLwr11CcRcYeV0ZGC6yYywCn+FP0kTC1z+wtzrtQG xgA4b8pqtv5XIEa7kyalV2zu06gUP4v8Jg+8OwadZVqL/voSHdWqDYFgnJt3Z+KiRzuC51ff8GXh FlYLSTBIs1s7zbrlR9ioRleeGdo3/Tej3QkFE9ym1Fx7nFzg6dizTFtfihNHqSYlGlcDghDV6oZc JXMKRNd6PgCtDwWrRcIoxa5+vZx5W/854pMFEx0Y60YiaPUP2U23/Wj0yjNyTqDoLkaFtBfdLdjC Nl1bQ8ASBUsGt5Oxb2hlLF+xJiHhnHcRiIL4nz9a36liGmBjnr+Gy2/v80In8KU/SRJ7kIwe0NB4 w9YS9AaUo6WcCp2Dv1aI131ZarIG++dwNEGudfJqqu3i1lvN+uweVtr2ksjV/fMZBHrvUUhvRxo5 ctdMqvzFPyiQ3JA5cxbjGTJaOLejlOjacuMPSpDGNC1xBhvPJ/QkgsD3uQSWFQVwcWQBUrF2k5Fp w/4a2YMS7nWcvBdvmIZlMO7WWHKONbTrlt1s90USgjxDgmQE04g7luzSkZ3NlkvkxFQHhNrBBzuy Ux0nFn2eQTeYIUv3QwnkjIDsG6DOKkSNF2/BzHWpyJHWO6UDv8/BvfXlMEUXFXaKJjPpuQytr8CP P0krLQrTNPNCRix4VWusyH5gJeNtsh5mHsETKhCwZo/8x/uMEFXmkgcayz9lyMvDml1xs+c9UcnO VVYB3bYxUybtSnKomcM55lT5p+mrOT5HkIHU16bh3daTu8ijVvzys+pVOaPF1Imr5PlcSB44X5St kcvREqYW6IeIMfLGI93bhrgyIFwySk2KIT3rvVGfd8O0MsOksq6xc5xeMUK/tOH9HRiGEs3okA1B dJfL8QTKW/UJ+ZWMMB70qNQYYFl0Q/FcEgZYmZkWoTieTQiuK+txEEQmyW/RZ4NbMpC64AdDzbJf mHlWjQZTICwjkh5MmIb7GKKvfgP56BhZ1IQEIPBsFh0tbn5KuKp7tclPcAGqdgnXQvnXhbib3RLV CNgNMhQQ4c4lyS+qnsKBNhfStzWRUOpTWAYvcTYGTdRJ8hRJede+zu9JouPrcoQ45fhZ0dhTnZEs HNQCA3keMkYnSHf2JsfTgGEROWtB49Xi2pycWLT8XM86/Udip/GAjmJsYeRm4KrLzb46Gp2Zy5wc KrjR3gYAtLG4ZX6YZwK/RJQkDxy4WIZ2tefzEjRn4Vw/HbOYpIg7uf8CYh9QeRMWdMnIwGjbqGc5 z0dy/bd7NZJrL2wbcwKdEKXwdYZf4VU33H7B/kmX5AHubRya7LzIiKjmw64wKqyDDa037f1wIoVk ejy/fWZDt299g/0s7iiMsxy9AS6XlKLQu7da2Y+WHdWdaLEbXpBPIMDj69v9hmG879Pp+MPqgY7N w3U7FbBN58QkG/ut9g4Wl5fi5J1ykrMeanLwqpQSmtPzd+Ys2pzhUl32fWxI2ft0Boa5mc/DcTYA 5m2WS9jJroicJMaQlWbSW220qj732iuBKKq+0YJ3pHEtMz5P1w/w8l9nZgKRc8/YIjW+m5UYkfO8 j1i9GSAHp5WhjXEfFaN386tWcJDZ/Kv2EVxZKRrCn+9ZXsJ/osC/ixEVOeSdFlwlWZoOop06SvZe SBXIwCW3cozbesVUyhyUL3qYHHDq3ms8nSqch7WmjXiZNb7qYhYKEZ5zbVW2mj+fGkXch3VrX9Ro bYXPUfvtCbtNeUd6RXIRGnXh9tDQZvFlBvTgzvn5CG25HDPHs+9qIUqz5u9+xpu7jCFJuFcU9r5j kjoljYJJ4qJUk/y6FSYDuNUYU/259aMyBBhSg4uKT9avX3eOg353zpwHmFZm+Y3sYOWX1HecWzoM lKc57mQN86++jP6jdedJ5n8wwuFSkNTZpS1JhRaq+9kZB3ouYPyLaUlO/ZSGykd2vNtFgj3Nk7yM FzjUVMUSWy+uNtaHvpUkI+FBF1ov11EfObpXxkALmDHRFuK314MluhbnjdJl/Po9WXdjtZaXQbCm kgkxqKkhFfTjUu1fnxLq2ZnZ6FoxwT35e2VZfvoAMU1MQHiyL26A7bPq71gCsphkpCmQjgOqCXyp IE1IakAiJ7CGnKVtIJuK5jkk6FrHr75LAEx9liiX3OvK7LsEiUfy3oMAXxmzM85phwW9w9CeovC/ BuskqXrGynx5kC9+hPJf1TdAE742o8rIE6pGoFrQvngLZg5uKWHsEkVAacKh7GVoU7R7+EXML6ej k+iWvKT98v4pDGWTd90He6KfT2eiiHa1E2GBjDALx0lnc42sYu+5eJDHKmolTxGqPCPntAgCQbUD 1fmHw8P1P4XuVfL3p6xaBJpbPr8A41PJNi/q8rwsxVmsSLVhT3AxanQmsziQyaAg80JYIybmU86l wFOs/tUF/jHGtBTM1Pmx1H/KpposAlom+Xa3i3k7PD75UispsDm/4QA9DkBQKsDzcJWSo46/smuW 0/s3ZqK2rQUqUZd/hNjS2VxOoCiYZCioskWMbgQ+9NcK2BHM9syxKNjwXFeF0nm4s7uZOvOfZfaU 4qNT6//r76dbJ+QbbzLU7Pr4IjM4ijhwoXiB/BkPIm1ih+PSqPbKl3v8EO6/geqI9CG7zgFCAW3s B/EsINfMBHuFqzKMLICqhz5yhZBboNZaN8wP4uWl0XInegQLuci2JUXKWLNYg0S4Wy9Ja1hwFDbY 5xbn008SMOPmsy7MmipSv1jUZ9oKe+9FhfJUJI2cUM4cP1zgMccfZE/zn8fGkq9GZdj1+4+bgfXl xmAjDm9lQl2tDr8bvbeOex/8Ym1kZLH2QY4qNADgAfvbLQ+2pwPhHwN9jNofFp2hePoB6bbtvxN0 BocVEY9ws9kPJVB4xLOHxJfkpaxArcYaQKnlrq4ZMjA9P1vnTWOwYuzsFrtDtxVaYdzVu/hUevHJ oGGabpm2l0dG2pHZsSgIzkjVOvxCeOiVskfNcW+0b+NCBoUDAEXSXI8rhZJuWMJdD+WgudZC9gSQ mXCPGlPRIdDjx71o+XuHh726eLHodzlSbXfYw7xVmNBK2SvMw77ILnjfq4JSXPnAkik3o6vGtv3q P0H5oyI5TIdZpne+1wH/u9QjV9pPVH6oYm214Fi3kZdbIf//Mqiy2aU8aOkQl0ksEkN6Uhl6IhDN NZfO6IjuYgeY6eFtecVJLRmRxJe815LUhYpcmWZoiyNiKZZ0rgndSLFcbnHCIGQju4ZRNdpWZC6t uOWMLAQr1/vryKGCOdVF4JGPra9VXRWix4i9Gy+ebKvb3Y0WF3kOM41qHYJn9t5WcxESJ6MUS/WS ySaZ2Qd6MAj/4DPQU2MREhCZhtAMnCQ0NxhHTKseIltn28rolom9gVPpZim/MM5GpY+7kAIswjHp apDIMX81pyayMlBSXBWv1yGhtq+Wo3KE46SSwSC+NpXlzdHWuGMgJX0eGQSIqx1bDsiH6qHK45/J 5PXiri1k60geBxtf9Pohsb2GJSDeJoTW/zkUmYy0PRqn05XNW1+fROa/EQ/fm0+r5Xuugz7LR8Jz 4xC3aAKVfwIm61onrEgcguvNjxFW0uLNYhKrRpC3K9/qVFuefBlaYCZOkPyzeskjt2k+9gNluj4d Wlaoaew2tLQ95HDV1HDsatZtggDxGvm/93+m+AcxI+6ZYnJdgEscBnohA7monuHKoK2zRQvf7+nN 7KJaceOlpJqM9N1o6W9+KvANXQhXyrqZJaliWJFVfsBqk4tH2WhjdxB7xVGICPNeViUmEr/VfRc3 V3i/rrb1Iy0v/gRavvP11eK2j4yWAzjooEoVt3J1RJNvXD0mQwU0/2LTgw1G5SiRGmejYd6K2y/B N9+u0jebII58c72p2kY9RXmeYSLd+E9VJrOXX0auh+3+ekeUsydaPYJ+nsP/zcEOneK7VkAvpcQp G21PVIG01cQONMlFO0h+nqGtS/6cElLiL5758IKHMDuke414Jl+xVBsEkdpAVfWDg7Xx3HhGQa8c PEjrdImlSmuVFn9DNs+b5SSx85zZ6Bw7zG3EFDXHZdU8Ya+nQLg/9lNw3/a4YAyT+MdAva/nCQ7e otlBjCz+t/bwZrvctoX15uIj2nGIMpY4H6zZI9TH3NnDrVrnsRFu+AOqIuKN7OlB/paOy/BBo9hu me1ENJ5t6ZbQ7llETW4UJ7+dt2toa2tbdc7MpBemLHwhRrBEq5nPy/pYwkPGfEYagQ0w4lVUBDK/ ZPd4o4UjH3BZrvxHAZYrzKEZyZhR4fKf5yOLyB8rY+Q9cP7MfHkPXsS8tqS+Atv5hi5XdFaT6gtf ckZeh0DqeBqW8ENVH6Mj31F84H0VKagaTBDjmtXXl8Z4yrR+PAadQYdM1nmr9a6xFs8Uew+mU47f 9eOtii0GAnK6DzcTHJ3LHspgELWRIM5jhUs6dbiYFVMWJPK/5oLJHXuMo9NjwdYEnb0szeWRkfTQ t4KtkT9DNcuEdHmCqO2Vfdx+MY5uRCHBOFSz4Emo7QUAmr7FFU7ihP/08iAfgegb3a0Rwjp44lXp epq70yJ+7jclQzqDA7Meaf9zL5TL+Um0E17juYUDAptP/j8p1Ugwb2GZyfPqLUmlDr2lADQ5nJh+ i0hpW+OoiDEnlTqsZRdyOSRRsmXHRyh+lZ6yTwRRM3+hJ2FrInHNBik3yvPQa/JeDBf2wPUavcoJ 3Ar3p9W7fZESvMsIehgBJFU6n+iuVMY0vkPY55KCAyYjP6yYxVDUzT6bzTuC3c7EGo+lCnNnvpPL Jtrrvf+oSkfpkpxzpEfG146FtGBF8ZVG0aHCjTkuO6XcslbIEw4Nybd88hnb27hnn+uLrIkj6/an nirpWZvLWPxpuWw/3kQqcEduu7yNelJXhQK3mTMPUbHGR+3WsIuVzFgRQjPJyhrChyepLmF8qcPp kwiHD5LDh1b0y5HaYHJD65MghS+BvD9d1njcpfMmiCB9BmImgbfZavzfmaqcML6hRtiSz4d3q6dm O34wOw10svO3JMypM0hPL/P7YUlVbCl1ilFXX81TXju+GL0iQC76WitvN2AJonXsWyRBi4chbmYI ahLAlmDjs2wyq4KidPA7aDY77iy8RaKO7w9DHXE/JuDj9nN+26Z4jE9+FM/7QOx/M2F6R2wqm6ew vmZNtob9Xg3JmblpNMS15YuvSts0uZpf/0FX73wjbbxgOvsbcPsQGI1xyCj8GUGo1NvCL+xJRC+6 f5bAgRY/qDx/zo8x0lDhJMUfOE+vpTAiOT+bBOemmLXMfap6UNvpYa5pSDo31wMyjc9jx+cPWTV5 DMvPJkIvFYDs31QAjs4qvfcnAn2TCR7s4w9hcdlHoePjcImamUSwQUmmB8eU4QFY+7l0rKifqG1B z5a/Xb/5WrvuG2dPGKrCV6woFB/7ZBGqZTiEmUY4t6MibFwjLu99LMW3XCDjocLzyHOhFbkBalIZ XbS5Br/gXCDDEpiRSn5bmfc+laL1y24+gZbipmRfHQhi+RYnI6fEnj04kqFRTQ9BwXZ9UmbJrM3I 3xY1/Z5w1ZMH7XosSPiI/PErh3ZpQb1ooqy6VGLisaIEvjqK9GQLxTsfGw+J/NzNubB5k+FaawTE rX7tiRVKd89H2RSGNpJW1uLIvv2dKfD5so/LBhMDIpDh1/2kdptxYwQBFVWo71zCYuDrLUbQssox nXUvmuc9nxJN5hs41vvIPlRVNgvfRmZ2n6N29jy7kAHjTHyLgL4zZc5s6brdk69o1KMfg7ERcQqi Q9i+sFa8Bdv8DnuoOs31mNjR1VyH6N/DxJ5eWqlBGX/J12ezBZurHurkpq8xOXvAr0RQXR7TvSoX jLgj+kwRHtYEKcUgWHEbNut2sPxDgJWxa7UyNlykH2X0bLNQZoAk6aDqMivf5fJyaPfE5/JJdihm 5tDJ/orS4buseRXpI2RCsJ4TCXPvgbXmu59rW3ocQnpopVqFapmhtAVg10JDHiitLY9KeJq+b53s 5tDTKiNyMVRged8KVKexOogmcTIeoT4jgG+SahNCjv4ba5drrwMSfQPXaMy1jbu+tZ137RfWwUnc kb4aVZwC5OK91rhfxYQUXsH6rE5/tdjG26/WkllDTM9LUa2TMAOp9OGbLLPzki+cWzb46sRwh+HG JHRsbjp/iw1xvwBWAEnENVIZ6PJVL4ABtp5b0iwNWU1xQcY0dQr/JVYWr8d3bNBaguYV9hUz8CZ2 Ew1lfjKEQZpGWn9yz4QOidur7iBCJrGqwADV6FEn7joPK7ohVErFenYFfNrwzkTaYEy4CflRCLxm KHEEIQkvEtAJY4wBbk8uAJw79S+Ee5zTCeMAnW+peDxZph/5tQyaCYE+zIV1T5OB4vjPpzVbUj9r wXL9HCEAEzazx7DUH4No3hXpg9NxCGd0LhdcFsLV0uUMSjg3aB8KFN+kslcaQF/o2N+zKrksRVXa qIagEWpQT1PjT2teuZVna4fAKki7rSy6hBdVPgA1yXEE5o7d90oqR3d0wM8gQw6oMHfSRYWOvIJd 2+zgL9x8HfRc5u+ilqGXPE7mOiK915fbLSpvb16x4GHzBHGmpaNklTztOYqg3OAH/79+SKuFg3gb /mx2pih086//+BW6tffZ2EYqvTqnxNlA7bZrTxDyQWCbdCRqykOMzmpBgQE97LQ8q9xxNfKV1tsC U7WxhIqAgmkuLf8z1d7oPFWJfTLJbWO/Y/sdUz5ORq0pHa5t2NB6h/GdwRiKm2MOaM8LCJ6eiCqG clFz//XLYqTkm0cOdvof2Qa1f0rWFCbayrRyBi6WJd5L/FTgJz0bRURlfh1oJuUU1Sf1onzokrIn ZZnS9ogKryQFsUjflvT6bzoFcA16idrgT95zzluzJDJkIM2oEnD2pxXR4mGf0++HVnScYlxi4gQj Ftm7GWs/K1Zpq3SOXSm+8Ly/dZ+BQz27RTwVvuO9Jggd/q7gLtU8224lnwvqYV1UK9wzWAScZt9Q ys6E+SgJKy5HxcAuGoxlYLyB94VRP8E1TRpNmJrJE59eQu7DvXQow8TLCULGAN06ZKkw++R/Fd8h htvgUijUQtNsNUGg5XT5hm7RVvmKBmzrFelalFArDtKZ5VDlZXRxUAqr8EZR3Zsip2ElsfHDpLzd 1QrT0w3OnuncAtAUanN9vdZVc+2pW/Z0ScBgJFEn6T55NPJ08RoE+7nq/FOnyzHglrDU8W83IuiI X42tBhJUWAsQFTh6i6KK+7Q8+38K8osIRAcbx5I/PfZJt/WV1fZJvday0UvfEcBVqVYO8elhjo1W bH+kfvBmkFAn3M3IU5y7TpQluckF6IAEjDcgGAZLw16wHnWAD8dd3z641F0C+niR7Cm5OOzJbgf0 HYeygbTnUaxafd2b/ZugA/GWdPPGx/MaT0IZ5poIxUhuGnNpYxWgPVgRoRpLtw3WSMCXxqkBvdK/ CNoqNwPqRx8ElP4VcWEJLU6ze4Bdf7g9VYZ/tIp9qxBt4mIhskYUni9ccdm9HECgd2hRmrkZVyIK mZqWnml8DphxPzQZHcmFvpovlZffNMpYr2ojnTZxme/59+x+W6LufwBrxi6go+EnuxPMt1wpDGbu rTF5tFFkpkJpGJ+U1XUsqFLjX+bv9fMcTrDcMwvbIUbrGuu027nbHC9kI9UiDbDHe1p5JFWXlO4F xVfOSmRNJGDWPZp0CMAVVRoX+q5J+yW9Og7Fq9G3aBSlPJ0yTQkX03hfGpzbeUW63cgj+de1bbuz ZTM9hgwnbpRustQjIYOa+CqtSQiFO8CKt2XhaKJ+6lUACn7quYNIEEKZy6xCkfOsS41OzxX3pNY6 ZE2XzEZZLoqaG0Vkxoc7V9ITbLy+Ue8IW9iNDLL0C+1aMN7mWfEy1VdNE8r0Ko/8ITwMIvG+crM0 KguM13C9N4lGP3B2eH6aLm2MOeIyB/7q2py7HbrsIDDbjvjoO5b/W82xl5Yh+2NS1NBxkTR/wIHc LRfOVchkpgdIrM0J3XjoIYpAfoPsxDeIWdqLrXrvJpkS2UGgX5qrC59JVCYhGlQQ4K5Z6DbRoTJ6 nJrppIfXbJDzNDtM8g5iI6ztDWTwuPDzVEo54A9KpZzW1/FUPYxs+1RzidHkeml1qKPejrEJu02u dCYiOX1azOZ7z1eSbelikgh7mkpeF+emGaRsm8BMejoYKjeHh6vvdQHUp+j0CGc5oWjKlsWebgIX lRoX5/BCZUyN/+SHUYT3NaWLLJPaUE1kiLo2zZzyKwTmAWsKiwIwcah21via3ElnRDshEjG7kQKG IIP8aq8W+rUEqLYF+06JtVAAq4qgZvgqif8Ocx85b+J36iFyju2WG4yDiIBwE8iTekdS6dXE/0yt atElaD4s4TO8IGOkWWhbn4TivNt5zTKRxQSuwnjUCJ1TKCM1iLbnyyupHDrRdsnLgfio/nxUBKhc CpRC6kXahtO2vp3qfTJ0oinE/4kpg8tu7470NS33fmBoXELixdi7fvPxRMZ9GtbJYkhUrHMTvsfg tLebU3TUCADFZ6WTgtLrdWwY77neIczT17s/O1oRyYUq2ilG8PwOuOZRpk33CYE4R40dpQTgilP1 jPqOWtcFZykwIvoYyOZsspquq/Va0EuMJaA9t97d3IU2Rdnvob4hIBpRXJLAZBW00t3W3XN+Tkqh WalWF8nlIlljDcBt14L3rmqcQj+px2Yw8ylZfLU2p980sJUXll7P/VOa1yJ7+3Q/gOq7YN5wsJCF DNrZzbucj8daoaFrpoATcR7F2vUSs28U/aUGpL9CkY0wtiqhomj9ze4eGjAxs/4l/b/siRg+Et5i jV/LoEajIbIct9j/7ZwDSpaEBD2hESxOah6Gvqk4pblRqc7pnmy6//CdpzgJWFsNOn1PGgw0qDaC zhve2Lq3LDsrj0WE50Avg+B0zisH8GIy/G4wtzayXrwLayR3y+FJnrDeb2AcF3bAoO41dzo9y07Q 3Qk/LgHm/guENrmmkYczlrOdZfdDBjYIlSni/PN/kG8fDSWFrKgovPOSEwQnyVtqHj+uAQy7I8M1 Sfk+LJd8ODomWF+mrxjV32H4nqnPUBu5BL3h+l9G4gd2q1PmCMrXnw3+SF+YR2lSU/qPJKEh9624 51I3UmvYWqMzoqbhEPcBv9qfwUWDxl+wm1jwHoteHkneOq2yNJjxsBWHWMagV4grNTKQMvqGClFg if4Lp6SiPh2WQW2Spk2NQABxis646nz2CKMYlHM8UL5pS80eZH1C/VoN1csKTVhsPfmJpRpAZzIV aEYlvTdSxuetQHz5q+bOs5/MRrtA0kIFJAm9SnZxIta718DYXZV7xYmTT4iv1jbEqyADuwBBx+5F K8PTCPBOzrlOYDMghvz8yS/bhtb4NrPyElKgFvlUJHEQ89kEFwyarwoEutj7ggVTFQIC8ztEw8Nt +BsAMSV20CmFJXVftvycDNG9scwtEyy6glWZDGbgj6wYvq8+AwkMnbMCXej++1jHNPaPieGq5FCY 4uKR+jq06mEiA06ccVxhNPCm0OR5j7mpSOYv/2WxrAj9ReosOBXwVvf27vhJWAQx92CAR1W5rSjU gTbFphalq6w8jFMEcEPERLWl5bzSUpN8Z0mcD3q0u1OS4LVVsdbES9bQ5WDySRhmeLQMRHDPIQPW Hj9AmbpBxaVn5imPMfGux7/A8GC5gCg50jT+ejWYE+uccOXxWe81C/ka0iXnU6P5eyDFSfX6Qvrh 1COCHfuK4vOgWt+6ACYNTVh7JIcjEGwavORV72JcEsf4+Hip8z+HHFItqOhfRFKvT8oRAvAW6vcd RsVNibP/StIuJuyItoFuxLk1Sxwhs9ExH1rCgEPudcOGE9a2fAhOe+05uHcTCBXmj4u7PCf6pDPg qzCF5zfwihlkyw8f1BvFmdaQJ/xvakPthIX2wOLBS8aNC7a0mgRk4DfRB2ytCey49dCLHNyH4epb dE4sWsMVthc3H1ogckiI4TmZ4NIAnB4aosTNyQMT2kt+rMgMl7FBg6Y9fwMbCiTCIxYQlUihBGR1 hDF1rn+ts5IFbCh4ORePoW71s0ef+gqkh+krQvWaO2fV3q/DUOurl4+Yea9gLgJ75/+Uwc+E+S1G /TZGTj5kQ8PJx9hFpDps6/7Rf2HOc9cVXQHGCwt9/0L7Vwv0bnbrJB97Rs4BmAzrun1dE/2xON3e llmIKjHHi76dF7n3Y1133luRWJiLAv0ab9d20rVC3PVRYVyRa8imlKOWJiZk9pNf5PR3BrfCqezg tX2eUQzoqVnkb5/hQXMbmVAJ7e6QgxU/pElnJPkqW3jK3qJHR4ZL9MkHFe8G+rXgTWLN+JiwXBSd XB7SSkIkbnYTXRaMMIJ/pIH8dMnfW0flR8adWW8MzoUVoc+o5uxL7jjB4hAIyD3b8IAHWQ+WqVTb vQs+vyGqppuz/ralVyUUqJeEnTqhy9O2ntlyqM60b1yiC5z6i3W1GRrF9zSDWPZa4sV0PfofZ9LG 2VH/g5tNHLFlUX9Qgf6p25SC/gVgwtoJE9GJuK4479DIFXmz/ejDO9UNpSVu/zWoakgS9VpbEGBc uLiu0I3v38GOXmfxt/nschQEd8EUF3K+MjAMhoCdma0is5m7+OsQMLpQ5gj9Zbj0ZK5CP04lvHsq uBW+hD5mnum0q0hQlv+YELkXCdV025HxJKoxhirdUXM5WjiurYA5I2ZR+gO8K0jZP59vxhA1TBTw NxcYJHz/SobQhuHLKr/EaKaUyz6mcS5exkSW8OqDO/3thyBdW6BsL9o0BBqEhmIObju20cGJg3J8 idjdLFS754EslhMmneLKAprKWF72aPO2fhd2FlPjESymt871k8pWZjMarv9lSNKW7FcFRGFVNoOy bvD8/gg11fvz2QKhQLYFVTqfTjTmztJ0v0gdvn1QIf4GTpYS5c3qR8YxEZFw09r95AtK7irERMYz 3cs0FQ3SL9lTYIqc6eV5Q3QC6NqxiBP0Bf8pCOlCkitO+yk+uxGHBX0zlY1wMIiFjjtj1V5kLq60 Kb42+rDpZ4QlL81kmubIKbTCMzUkjgHUu8UKL8TQ61jPnCkjHsI5b6Q3po20j8Q+3Iv1688mDGhh qHOCmxseEP5QhsDsFzJ7sRF+I0pift6mVT1TQKXw81VoSN0LPDjIJafuQKCJLRmT30aCwTMBeTkH Xuo36i+jBz2G/ywtuoSsnuwD/bRhFITNQbmyelkMv72aWyEfcTXjli683WGqyN3XowFr/eLfd78e BT0bru3ywUafZBPB/VTgTro6qoRbDxVjWna/vjY6obhMXnrWDHYArs1klEj297plCiAJHmD8fPYI 5IfcLN/67+h5ONraEBhV44K+eLsekqYKVLzBSxniKIDzC+vZsLitJA9xNH47dq/iT9Bbxt+Md/US 8v9VRMKiUtx6TVGitggaFW1gt0ZLX+oM2l8y43yJsrZzTR/soyjaiM26jk0Z5z38rcq4MOGpuGIQ K4q9dFWAq6fy2A3TW78QFU1fLL3giCC/vvZoZdAG8dCIPwXfQvFqnI2cXiG+2kzC0Op7qTcMtu9V 0F5JYpmboQQli013AF9E3LIAeGsg3dEuEOolfOi9G71Fn03Fx3ampQ8AHg2T0wFvnuOlCnieL/0N 2lVYgDxlFADS4cqkEXpopCi32BjBTQpghr66OgF/EcjHs0cbearyryt7vHjfS2JEOZQ0Xi/DFB4v iaptXOLK4lcbFqarVr0MM3PwPlJ1lR+9cbAT6pqbD34Y2byAdKde1cEYfu3QVl/2Zv9ctWG2Q8uo Q0pi5Xi/jXPGAKROBvEvXpZ/7MBQFCi2an45DNDloehSD5eZYFVW1oQXnq62dQxjN2Zo8ztL5ZVP gfd5dUqUxYXfXPXYhM9cQYIHNHdCnCd28N8cvbB7eMGTeGfa9RTYWUyyt11aD+JKD1zeQ6NS2VTG 8IFbRy4BS2eAcgn0zABSMoTiF5SY8t4ydkOKqR/hKCMHdSr87OxygJqVXq3x3ZT0Pqr7QewaMGzX FIyfZpZgKom8pyfDwWEVVuoVpi1d58l3XP6XnW2Zsm2gJgEbzSMaPzXaqHD8dn0bTKuKznXD5qtl T2Yq+ZEMpCGTnIbdRgN3aj4hNTHmoSklnC6JithtZzBmN8zeImBYeE13LGIZYoIQXYa93tS8amJP onKUrGx6kd+/DAcUM3RAmF8P7wPr1ixjcz1PMH0AdW0LdqMmP27jX8/U56+r0AKufwgFRCUi8+wB EOAiRMwzcuGaAF3v1032/ufcyIiWpJ14Y8LP07WsN5ySabHL8dS9HMujQXaPaQYU9eHOXGrj3Rtx hxVJaW6iQEMR+pc07B3g47oOSIr9LbLU+W+rb1qyIDvoAfjvdXOShBNvizePAT7hMNEdYwLrVFNi 1ugNJcgGuX9mQBrxpfxK+ERl6U8r8k8GY1VJH/jeUfD6A17qweR8yUUje9ibsZGFQl9FOEjxCMzc I7dY5GZKk5+qlRcp+GEAitCtVrS4f2I3SFwzHZK72Y5z0Hv3ydqS6kNj/5yLeGStsOPS2RPOlZKL h5ppb5k51Xksl/xksyYnztPLZmCwwn1iVATFXfdg5D3/a4hvEjhKfaeVgGmVYpkJJtm/UzIjfVHN RhiOQDE2B47UcqiNFUq3JDzb/MhpmWclzu65uVFA9rNAgPYUekHLZ15c7A6LioYeO+zKz5QfCB5e gQHcKuSLcJMwPKIlvBGOZPZlD7aZPzWtM+AbMC8eeHWdzoXJMOoteQdRmBcH+/f+JVFd9I4t7KWL 7cSbs3KUCBEdQd+oyluVmO/OL6M4IBEQMbick8Z1OgBKSkZupKDwjkqEW1waMEqERPhE9AeUgMBA vYvzeH5b7WDy4Dw/LqlLdMpRQWDtwfpUyhhBvMVLh8hxvXpUqt4Uh6McBYxTTyTlKWA8aHGLEjZT FMYKKhe4te51UDulvFLH+J45FiCFl+mYHZuL8990KKxXnyQuOmeELkVKdVVb9ZHnPe4yFuSPeES3 4gLGkE+Y1jh0kVLlDvWcGMvCO60oc0OElLriW1wGxkZ4WTjPhEx2DLV1HVaSrYVdHI7vOLUNGQWe GlD9B3McilhdN+L99ftCaMVDESYWYfXdzKjP05Oyc1eUhFjzgahqoiqc4jSzQcNQ3cw2I4DYISdY lS0o/1hYLhui3hHX775Obzt2xgmGSOryrpG5+ajmwP2E0tO5o31NmrVEVH7CeJB+k3cW7ah04Ds8 PMaLvElqyr7txky978w57xhmiyMy6CZXbznScd1Ceq7aWnfBTjSNDYQ1NHUgu2ISKC6WvYsPO31e obJbsXvecuWZyNR1O1Ud/9F9tC3Im1iyCJoulWGM6tHfojgLWWOgGZ+u/miQB2EF5US3F1U/fk82 b/ZpHlh+9LT4S0VBipb+QZhje9lfZMF4tPtMPaKa2sNQzPDRgp8O3BTLRuI/7g6A0ErMdfRBLnGz 2hYiZFSYAWUREDbp0c7+WeT2rIOiO5k0ZQNErzvB7uUHZWQdjEUIUhb/geZXGu0WlzO2ifW5SPyl +9lgMrX1pkrlLjaMBnajoeo453RgrIky3iJmyb3/5KmPSbB2SbYJNARmqabfhkbN/zuwmaglL5qZ 8vJofiArLbRtdTjL8qe8uIJxxrtWIgD5hsaapxd2K4BLRuQuKnU15m37y2iJVsx/77g1cFl5jb8E tHtSGa0uBi15JUuZ1uKwf5qaxPZtL+A6MuadgLRfJZegA9GJNmELoCriCCet5ReJphqRPVswAs3L RW7cLbCqEhWd5mjdii5ZK7Sb6cjx64ud35w3anxo8tTCn5lNxUDd+VDycqLLxRoauIvar5cHGZZ+ Yg3F0bzUIcCU8y8jX2FqvmEA7utS3LDpJNrfPhhCSgbEjft7IUF0P1ZE83z5AaB5x3XBH8KO75KV IZX7vR3N5kUXWRPqU6GyiAer3rQyVjjWULzOmvgkw6xjq2krr24YrxZM+lwL2JslKs42UyWN8PPn UNrAvG2Y7nWMN/s5vlXuhG0AOKFxOJL2dn0/vHQeqt0kMpO64Ih0aTSFoaRvinLC9Wy9UL+5t/29 RFk44+oyTeKPR92EVhlEck7NRT+4wTd8cf9itwclsABlrYMNSoEp+9XYJ+uORbBHLc4YoSN7ffW2 5z2E7nwdhTK8PfsLJQmOyjIdOWcQAopyZT4HZPBrc+3qmiKETq54ubj7xve7pVIrwuX6AZO4hsO+ m/3vwV3QP82FtnlOYSOBUHAma0Ou998WyeWmrEOvKtoXzOOS/PKXxLsUxKaDMkxxULYfK6BoGvM9 59RwdCJKWbyeq8Oukr3eWJceDxI29/GbpYJYB7nY+3fHkSu+AV7OIU4DyN2kJUK/uQS3VxgfJuxJ WjQL1LhdT1+veT2jTRL/4ffDcjaF8DOjazldgs0NvHIKQopUfRnX9PTaDm2ZU365y62RnTd45vnb /GmSGahlgjeqUhpPVOK2xE5udiPKcCVACk1CiSk1vnbBtoSHkibgwT2fyjrjC/46OYUY83VOvFFH 204luhkyLAFU9ZvGG5hvJafAWBUSkzko8b07Be8h6oL9mdlyz9u9YtsiiNeQ7fRRtjZPsvRk4b3R WdKc1uI64E3DOLd/LAR+hw6QP6yVEcoFYwpZ9TwUbRkx9zqXgcURLFDqf9eNVn+58Mn1T3CgG54x jFyZRHlX6N6zIzL0NNGJ9k+HxEScQbi50M5fXSam7iLc6MFTuahi3DcGWs8vRgD0e8tDU6DMLNQ0 oHg3Ua07m0wjO1XsroB8GjN/Ip98sLxLfNiAM1hX7g6BvgD1ePUEjWhjreWDYxN4bqGRSUYwT8Fj vEObpXGwv7Q3/HvGUYqG7Tlx5Nx6TU9lkoZe+cVbrfIejV9kPKpNRMw4rbjvVYJZfiFfTupfHN2G 92GSImsUaYouf/kojuRDxdBoqQJVbWAyV06L6ZI2xiYLCYoqa0QASxnO8hJVBTaqgHjmOBPzJXN5 qCL/6Ccd8dbdqZCkN9BOaV/tRrYZSB2WodLn8xjBv7MeB7pz7uBEeXSx2yMhKcmP8hnhuMYDNlvs TJfRJ7dy6KHTXtVhref9V+X7hnZi5c27QWOHkTtqLxtkMZshto/U36uexg8RVjkNRqW3IILzZD5e MHz46jNAwXgEv3/5VOI67n8e7OnWgseTzOBt73bkkSfmGEOIhpMrf11h2PYUDJGXWpnVUN8pFGwz 6gd+HIYbxXDv/mE8jd0hWK8MgrSbDixyjgwis3mf6A4/raCCBpex51s0nvIigIafZPIAmb02GpFa biiZZbBKv2MoyGO+8tBf4R1xKl5XLkHixsBOawqy7ay7F7Ir2EebIU44RgSMtq9OhBGR5Esu8GOq MsKxTWpBW552QteOt25CNIhLzrGaKNmWep+Kt8l+JvgW5kIVeBfJKvb+rdcW/fbAiXwM6Mvdhlpa uAGfNX0CIS9Ldtn5FgeFK5uCjdkXGXww3P7xAO16wfqoFn31Ot8nDMCDD/tpIbID+yY1Eq+P1TfU 3Xb+nOzI8yfe7OgW2YprkMdyRUVzbnOdyXqS0UtH9xqhJ2KoIM6Yc988lFstcOUiwYyGUGwEmaW/ 9qWyKcC6yDGRt08Pds+8xI2eiO0i+CfwxY63wbG8czI8lZmGADWwSqsQu7Klv8uAGsjX0ivJWdtN 20v0Is6nhacdo5GrHIvud6IimLLSUtEf6qXq5uEc5cuePzgrFF6Hwq1Zb1PRR83IXchNQIulOrCn D9+hZceRE9tG7UuI+CnUVsqYgBZ7d8ABS+P8nL6V7unW6tyjHiqjlBOSKQNZ4tpK3ARBQv8jHN9R nECHhPtPDSkqAD20XhNth0eB3oU8untuPLSk6dIECAKLgLicfUiUrjVqIOBZynss/jyixYAW9QRg eyX0CDZQSYD2VLdJEkW84iZiRIOWUSiuGrNSbtJtIcGccbWL5xjHG7aWTl7N3OU0vBUJp+Xbr+x/ k79mxqAGhy/dQtFWq/rCqh3uQ709TRq23Aj9G3CDYce/qc4/rOuw9jqlGNzwjFjvZqZncoDHKxFe I4SNPR0A0pMJxrC+62pefU1H+Rria5Wy/AD6Y9l3ulujc4XoH/nmNS6vkmWaiAHb/v2kpKjztjN4 QaxJ/MY5SN3R7MWyTaIW+PdHOVWxOJ1OwZcCY5XIpf8rY80pjKUSlRJZAnSlhDJ3ilnTNOcj4sxt d0G+NSizMtoJyKbyPM7wUMlkaUKYxa5uGyiX+wUeg6SOhh1sagihpMdmIgcMw5iP4qcNErzAf2YV NGxGKx+xVZRdW9M8mFgp82FLeeR0szIFizKUW1jBFu494XEyRYeer17EnHsQd0XkBR3nvgKDPuGR g7SXRP0BX+an2cpKR4+6+RqYNkID9yHz+YmxfTtHOefUxY5h61FuhVB/rhW3mQJ75RHwQQRvaQ8I PIEqTAeRRbnFR+dynhiXIbZzHwjyFQDYWhiUkZL5l/w6ThCu5I7Wf7oZ+jl16EI6FkKA8Jdt6kIx MTDM87C9h8rxHpCLgvAsvI8gIPi+fCVSekOig7b/u+FNJJyy72b6wYuk5/g8g/BsuVSi4pSOq4h9 L44k4a6zXxlTf9ftDMYFIagqE9LzAnAkqM7xwKxxxJqM75IMiTrRw4b7c6hLe5dMpMzoMtzW7Deo IBM0uZwqix9llfSGAh4IScRYj5uVE22W3x+4X19fL3UlMr3dkKGqsjmbEUsAAiC2rvXD2RkIyo14 VUsU+2t079pUAIcPJpuHufNIK7+Gxf47gtdNy6FrnCHEucE48KDc5f3KD8FJmUMxydqQMwAbn3Me K1+rOrm11zp8h76EK8hajcZnvdo4XaPvYuVRUVTTD3Eign91U1NVdAzfGlFgy/1Tsle5HfHSK7aG FTThWPnbmgvK0Cvd3z3+p6xoSDzNURNJ2ZD/Pdr1AQ0kfKFLxBeZfEOjDmapZktBbGzGmFWx6df4 +5GAb2SZz8jlU6jd7lL51btQR+Q69ncvkVz3zUE2Vyjsq/J7AXjVDgG4VbLSdXxU84Dq9DAmNbYa xkqRrKFEyFGf30EB1sjOCkmOX+J9y/HSelM4f18TpS5ZVfIYf7fOdW45VNq+4j/eIxQ40W/lrTWE EZ8GrKBhnBm/Pf64Ns8CR6TlIjNhFdc9NRkx8BzwE68qKiySgD+khUUQ3pfHIO3gQFOMRN4Ndu/L dmBoqmCIj76eIdpIFaghIsvXc8nP9DkvHqZw3CEuJxQEWOlPpEu7Po5pYLxMudZjGR1HuV92BFKB vZ/M2pXubAgekMVfb28s2l+izkVBbQF+U6di5zoAcwYSSXg/1UO1dBX+qMAXyQ30gnkN4bmgm2+Z hENqdGgxFUwnCFGVYcGKZHp16/7rc9jm8+/wHCnjcPpN3/uCEi+dFEC+BZK1OJ6GJHnNtiqnSM+V 89KjhpQm4cj06Hgcl9lJdpSuzD8eklwrEVzAad2jS1lshxa6at852OLusg6JXwQHWuNbgAQkRFxZ BNRFhliq6W8T4VtKcUQjc04g01rBmO1LWQOspb5HFr8/zCAN1Zp2YsF549p57Z34nXpvQIVgQ89S RFl4YlURMw4XHkUOcEXIBeInktJAOgfh7GklCbIjfDP/yiCSzBU0yxVP6KLXPc0gp3M8AUr5h/Xf Aa4MBaTsGu7mS07fCX+pOWQ1I1rATocCgWZeTbamzKq4N2/aE9/AK8KMymHOTUF01Tu0r3gpbKsv 4GLWwvMiWSu3AOhxi98dVTlIMXkN4FqSg6jo1+Q2noCoJ4QsZCu0fp6ltqT3kH9gA97UmkHd8Du5 surHDXIfs2ZKqvu8v3ZyhR5Qz3ksZanJ8N8jbWbqmjYIQQ/kto2pWOEY3/oUO1uvMccFREwHRtAT W6srprJGjUvKgZt43HjlWfTs6Z6MmRdhB8eZtVIyOXiWwv2fj8N1xd4p3kmEofzSUL0nE3YxvTbD 5cfAhG+s4cHgcLv9e4CsjjmMw5MwiJHpXCKX4idUpAN3OaXb9/gzdp8zrV1qPuBl/oq+DzrNcqoi X4SaAJkpnEmvSHHCJJbduhx7Bo8R6yYGZr/rXUe5KWIiykcpln2f0FiZYKCRkv2JKustdvdJGnqC +UTwKjlloa3+/rcxLxEXq21xlLDF5s0u5iDS/xRyjWXMyIvs+iC4fKl7AcAl3z9rAjUQMwG8pCer /5iCk8kh2gJkwmlC76xzsIAjaei3+rx0hfEiLggYGxsRXytTV64BMFZ4jQNmuGzj1HJMOurCzK8e GBSQYjofqTJ+g5DP8vBozKakA6KPVqdApbEtt4lRm5zg1um48XylYrmOC8Q560FPwJhzuyQsxYBo V/zANBzLuQF4WTDebXstKswzfRDgQ5N5OcOMtsbnbFUEFGikURmYKNaNSnwPQN0YV02ObFcKv7SP Lx1hlivoVEUkidIFX1EZaWpAf8Wg4fgIUnkpu+PArJhSZBCqFToEjyx0PRTT/2rWvXTHgq00nwid 3JJ0XoE4L3HnuPXoeAYZNKESfKbYQZ7hgzT9eEJXRXl565fOCe8Ck5+S8yGhjsRF0rNbcz7drkKp 5W/o4BNzlCCgylAgY4IK7322hHW8wak7CVgJrrMGYDAR3Z4Sy7xg9YZfkk5rNn9vd2I7WOgJ26jU jQxSEQM0/Vl527ircmi3SkQDTY9JaXbuouGZascu/ZdgwDq+O2faPgJ366OlUK5fTBNczWrTWWKG sMWDDLuu2ha+mlN5eZBQTCW55ySm+1flnuw4215XDhMJoBPg70kNGlN4Oxch9eUXQmRzxIbzW0j3 hBVxEw0pVCaDvqawhzUM9oR1UhkXQka5zVFZJDJ0jj1RhCcuJXRKp7Nk1ASJAplWsKsuExvNVrl5 qmyivb34JKnpD2glKMvvZEUOTxk3Kcql7LrSBF0iu44YKee7s1dJVjAHVAbD6RHMMb6RQLmPhInu q7MfZEY3dS6I3PZf4D6blsoZ4V6zeci05QQpRpV6GlqEWHiejI6/btQFj8xUypzrrl0JWLCHAF/y AnmD+EpceSwJseWhGYTkNSaXDVXVRso2B6qnn7n9ZR1nKjEUm6ofXtjD85UzK2TmDnGj1NJjBT82 66mtjT0oVtzGK1jTwwUpCKg6GlWkdqRJ5LQiBSfvOf9Ebdn4ohxgPlMHzhl58SMkRelFcCJefr3j EFylZzx5eaWMKW36T+uD1472h1mbeIlkzFr5GRpo4egFwqJLPlEMCwGXSfbaNX2ZLt+TXO6lvm3e RKyXMVs4boC+bCyl7QnaNSyz8Iymo0Lr1HhABoCdisTJXbkjpyfA1mYDuLtis9abrrWs/6sBPyh8 h4gzqs7LcUXtbFXSlwe1XRaVXsy7BhnaIC03zrDmFW6fGQSexkbVnSG2AB0DX5gBUA1MXA448p3C RKVP4GYaWIelvdpKqTpCV3+JgzLCCgtmT5j+hg/ecRNOGqpgA5IolkDq1n/llqq/BTfcjKly2rAj vPfZ31lBSoeYWaGq76s783ovKcCs2BeVV+OC47nG9CvbIf8BVAGJZtGq3PZFy1eQwHM7j6wC527T EIq3+ddAMa4Ez6I8r5oypkzzFswE6UL533NKJSSbUeGQwT2B/pJHkVVz4vEPDzDGM94naiUPkRu7 09vug7Pbnvs3epapzm6GCu9biuKss9n6pjkX8qaqioMJRvKbrCJ580e+JdN1KyI12lQfwQBz6xGS CDI26z4LoiXRGowGeP0lq7XAOxoicGdiRGDJWEZ3vW/ez7A1BYJQA8LhuqmaH88R0AMSs10qOGhu cvp3RXKexmdl4k1tOOTCVKRvtyvEgATH/jd8C+VV+zEOn1Cc7O1XfYm5o7m5q5LnNHUV8yYVTKxk zhmE22IaEZ3GaMAt+INbj4OI8TdYX3MHOH/IC2qMNQBziml+nLm4Qb5A52A+vzKLO/ZdVmoSunFU bQyX7NISYoou8CxqNwxnqSe6JhXuVxUBghCS2HiTmrG6yQjQq9WH2D34Y1UCwRKliEhgT1yfBXnf R5K8tWiqqGQjJWnUGKxb5IAzLz3s7y4ov95ZgHHIsWqe9LlED2yziwhqA/wM99WnBqlZ81vQ0/nG 6Jlgaub4v6wOmwucPg7G45X4/6+9rC9HgdD3RqntGj62HCbJkNG33qvhB2E+7AfijTDLPfr/DSQa JEigZvHwG6DKMMHgB+TLADKrAhqd+3uOrKLSutTkxM++SKRWt/47rZyy+ytcuAFn6S0WcQSSFGjz IVLBQZZix/O1y7MMt1E2Mu3KKcYj0JWs708olwdvErCyqgY1ivvdsghy+OD0t8rEZyv2YSRJH7x2 cABbjSf0FgSYrq/WJ5Zj4ytgceMJzxESWqG2n4iI8IOVBAi22ESrwCtZ56WT4dfpG4lxw6KpdRDy gunSQTqesld/vO7QgufcqZFBJWT0EIRlj5F5hTYKFCuserNhGIw8Qbka8g9DfL4nxjCKk+nFweh3 wyqiHJMrqc2PxJTgNvHkD3mU/fFlXuyQIRmRYFzh6w1q5O5hYwsek/o9O0Cmq2k2FbCtvZ1ghApZ 6m6A+gwq0r/MRwQxkUE0KIpcDA9Q4c2vPm0T4nQkjBbYb32pYBLLoehnPU6eJz3wruM8eQ/65+MA H0824Jk2FqI3gK+da15lqlblLTlASX5wkwi0uy6XwFnwbGGLK/aydsMUZQGwGuQf6dAntmHhPhXm ghiZNIsSq6KX2dQtc47y6yKtEM2xZ5VXRcVn/OmLUE9+AqD+gdGYJwXRfU7gfi4NClR/hnT6SqGO /ir4qswEMKcR6PfqI/b2ZhA4z8o9kvxVHBEK8cJqpb42xc0LGYy4EIzu2SFOEK9sTCbq1Ww0bsZA M3TIf6MGJdaWkBtPd/uIq1YlPhDvzZ5jgbgrelxD3TmFdj9ZGghF/Mz6mKeILAutBmUOG1DbHTNR 83RsOH57Q31dwkIBk6VhfA5eL1oX6Het8tzP5XKQY8Px1BHETBOhKOlv67tahV2DEcQ3EQPUqwPE nYEyhVroccEs/YLb6gC7oOTO7ZC1ckoAIbTLM62+6VvwhBEvuGJVm4EO3Xl+5vRv8pVGqZLwexOr 3N9ipkzhxKF0TCMMdZH6t3hjE6GN2a3Dfa0P9UI14nRgOizVlThhEa9Xv6Ga1lW/TxZg4GmYd8H2 mCX2hdTWmp6BA0shrz93vU63oCvjfCFjPvC3KEBE+NAwxgN02xTJhA0gbiUM3KNJGnWHJlEsr6Do +DWsKlG3+l1WN1TXzQFLOAqSLUq1CksqLQu40bZ4pwXOHuuVVHZ0IJj0APS/mtJhQ8fdrgAJxfQd UFyFfXQlAfn5hkvI2oPQE75AGJDKvXLCmvZ2/oXcWQGqSwCczwoxuINudP89k8/CRhJgztH18H10 iJjmOWw74ZOMgo+eDv6aeQbSgflxD64ZGyp8o9j3QjcVpIehtCw6gZ7ZXZaWjtOTcc59+53U1zBn wfyDQGNXRpqeAPYYDH7WRCXRVYZeOXjDWBJuolg5VNvr10O+KuiSawsW1L83T8MhcP2WaKSdXW/K u+VqG7FIOZI6HdxS2evlY8OY3o+KergFUYVH9IZ1GWAthInZU3tWWEkalkxA+3ky/jhTopeP6XCK VCOFITyliyeQHNZ4SxXuKoAdNuBjoD7Mtxb5M9e8ruVAje58g/RFN5U+1R5rSfi/zZpaNtx7pM6m 4hN/V6DH5rK5JiQJZ+ZQiZcmLVelqlpJ4Y+Gj8kHzVbW6qS/eXBk0wx2EYM56Q/45Jh4oNgcOd0O ii7DRjfOrWGUODzVq0O5F8BQuvC/2efv9sR2hq3N0ChabV5bxiykYNGIZ+c7oUG21YQ8wlYTtoSY dGy/nA/8Pex985IUwGo4ysmoN3+LILUr4bnbXqdFYjwwMHM3rd7cUTOpoj35cGqb613iooHzj+yo d/8E8MxyrzHq8OAL7k00Zt/X3TMHpUrHThnSlJ2BwULir0KQ90SGEcLKcnUx4hAQXsYcBWB/uWNZ ZToJp38RbRgU8xgFqooDfng7JGTaJE6Q+oaTtWJB5c+b1+DApNzPsfOV7XxH/ojdSwN43O0LdbsC i9i+weDfP5JfzrQL8gkIEnEFO/4Ln6JC28/nwqft4hvFDac4ylC8OIJ/xZCmLjRlSfOzRUPWRsll SDQWPhobHIEndasVgtRMOrWcrQC+2b3ePkUx+Au0qeugWQzGgPRP10m8Xa8VxziKqAIEDddmJpDg R1VQv7YNbi5olBLQOgIbTi1LsEQjhTgblNE4O5PfMe5YpfbLTwmRmLaCUplwb+/f/arMIQ5CgZ93 e9wyxSPso89vKpDizn773vIn46UIaadGR7AhWE8nzCX22/0I6jqGrS/NpL2vYqiWUL17w/Q4be3D ght/YpBwsY0c6tgpZOau0FALT62ZYP5vSPIOjwgW/HQVmVwdcLJEPwScBP2b2KRnQN3KwS0rVCTc CW/F065QRmKS4s8FnDVA7Vi14b7QJBkoQI7gKFJ0+09EHBuFU/z6sH81yFzaeCmodJw5ifwvCYY2 bX72kuso+biFtEFZ/GkXH/H31f37IcVod5mrhoj6rzX8MCEoFqB6wCJ9CJGArbKuyMEZjsGrLJro X/8zIB4ODYJJmU9BnMcf32jnDgCOeMnXTY3cJW9MDdchtVZMK29pHLOWTHJWbMWpmdcjyFxgbE32 CIfOTH4udGhJ/IKhtWgHWYneCwwWPteHN7TSdK0xe2Z8rThzce8C9JcKE9LjUv6vf7yymshTIn6S iAM0XCXitDVDBUWomGebJfMps7OSI4+8ZZMHhjFKFx59FDWyhrQf7S5qEjSX9k/nxoqxFCmWGleN RCNQqZTXOqMWqHnlpMWTIQA3AKHFIYn2HE3qi5zonQjsScA4PBQ6gxUiAfabBKgSBwCk95iZy0JH sSh4eHsYoGui4Ds4ELC3PCFeQv0bRXInqBSquFbB/xazBmmoAE20x9iJeYjp/RWqYOElYmQfFCHZ 82z8cxCHPUZUfv2c8XqSYzWwJg/YM2l+BShEY0JfSLph9gTeD3g9bL9JttzHvvp18n7LnpbltkwU oTngS3dk5PftzIOFjdn/1/pTlMRVBmBkWdH4Xw95VSMe+07KUehFg5D0kBEcISeshTP9ChvR7Cp1 9NOv2sSG2FMhbmLuc5vBER5UPQIoKX2aUlyYs494gIe2UH/kJtFYII13iuwHYLDi5cbFJDE1GBiL y6QnCqd/NSQm2UDSR5Y7nV8EXuZwqRxl5NOsjYhsQ1CISJpOvFGaaVw0Onsmp+uylshhY243AAG8 f2YJtHTexeILvE9TwrgDxy5/Ga4n41+vvkdk/RDZFE/gtIcasQtC8PXd/i6BFePYPnpJmrqEa29M aGQ70j30Wl5uRHNSj0NcM3BOCjj9+qvAPjBXLIvcOJjsw5RaeMUFRcXy3HUjttT/ckX9ohNu0aZz lb3SzqZHum+zZUk9juJSyA1VLACijoLQ9K5WevIYisfUJjVS5CRkThLrjXH8/oWrkSlu0BLk/VJF s+l8SuDVi/o5MBLhHqSajdeFjoq9x+UNBQU18lTnMpeCGjLzGm5i0BMnsexi3ep37rA3t5IzPP0U 06aDT1vKM85FPc5k0npXrdi/jKfL0SEutj5Srle2MwkCYobws98eeYs75a91WyemmfiFVA8eDFJc 8Pw+h/RlxgDhWPlfheSZr/GEDgzp/MuVIS2CccJcRq8vBfS+kHlz/kFeYBExb8aF9bEIhZR1tuub tXz9c6Z2JfoOEhxiCW8LjMlIRaMCMtXeBeE/nQXNVJkP4DmhF6w0cySfyjlxQRSZurkoV2b7KZDM QDXbBoq4alcWPacLFBRPYuu7yMXL1SF82c2DWKT3gq5+h4/lx7XZN/d2RkaZ0jEe7j4dLwdFTIgD +XXE25I0LUPjeUe6jVsXH1mWudFqLBtCip8IYMSck12wP5laC3pDeh1vOxd5xNRLNGNXRgvjM/w+ PR1gM/ukXDOrpOyt8UhsgqZks7clbnd42LoA+EAqpdxCGQoOc4xiAtfoffscTLPcjNa3m2FfF5uR sy6HaG2x8mDAWhVvEYCawJ4FF87oIetPpvwMeuutNZCRJ7iNUMybI9aEpIfmc7DiahKTYcn9NbO7 3JC7GYw8pQ65OcUL8Rkf4XxC96BTOUfpLaeM8I4/fSJE0+kGkn75Y79k4uV6juUnmPgQlNnx8ZTE tzJJCEFL+nq6p9GxqTTMCb6LE31vZbMkM+C+0dVVx3iTlu23tMXJdpMpEfPI9GbqAjE63vjErakz 4Jt7YTdDKMxTqgvca1EJdg/t8H7amiC+Jf0ASHRVikhx1S/L8nqszClS7A+oClmdO5gKTT/NA27p VrNw801do+2FYu1KVFSIUtvkUs2FAilcALc6e8wXdrKizxaaLNdO9iLsLhrAoVhZzLcA92n95VDi ts3Xz0m+GDnc8vCFvnyGWYkhKVfHHOhXSGZ7AZoivA9yKGiLYCYIjaCsV+7kNd5/37xaSi7lb2Ry /dhyKWk6hZmuHHg+4HXA56w8SEtUHxt8Lo6JtrA0NTxUBFXjSpThioUEs3Gq1DY3g6hbYqbnK5Lh 7SjuVDvY2VzqaSXVob+u8rztK55pQqn3e8XKWVYMkE69UUuYPgsUI0f3UVBk7EcV1jgVoIjqcwg0 EZHVwahl1qUqTepkSI2P1GKTf9OGHqRJsvYs7UnniAqq5kDyqT3flnI0LfTZqVlGhuMBttkuNlef QdwY7De0EMB6nDE9fbfBO12ytHn9Tuyx0g+4a7A1ERDa9a90JzwZacnsdIX8Ue4nLIoncOeT5SBk MC4W027xYrsirjDuagUr0wif188mSEMKExpyhqnIfMyRfO0603I7NKqmVHZKg5q96yN9P5zXMHT/ NrxRjXOsyuufkDDBGCCtFG4J7LAm5XKSxTnDqyYcfbb8IYm3UAITHaM9sOzTNEwozJyvWF/JSOAZ 3FTil6HZKy1LI6aC1kq5lD9YXIsGlHHhi03rxbNmqmz5yfHpvvT96cBZ2+EJ/eZgngJy1625N/tm ihcowAgW7WlWGpt5Xz3d+LMjVKq7LZ0OhjtiB8IhlqrdIYODNUSy8BC4Gc3s7chJUDBZ7t/jyUgr h2T+n3DU0Jmn0foH/dBFsFzgwt0j6U+0YpufpYZldG8mIc6FJpRFh8LCJ2sHrNyFmKDQIHVamAVA LjfsvoXdqf7XDcRGjZOXv/fMbFnWQKXJ7JX8nwBaIk/0gC5cz6qNZgHzqKc2VuPYRdunX1DOY198 9yvjWxEMZHrbxOLZCo1KQsZ7LhdI1KhJr+rGtYH6rlfhC3FbUskepZeIOrAov7LFt4hvHQRYdSar kfQnghTi+Rt1lZ5Inv2ITzr43cOYJUBzWijn/v1N9i6v3G9ull1pItgCthe9VFrptSDXgNh9PiiF fipHtb9Kty+p+VY+iNldGzY4Ctd8SQCxyZ6TS7FnEs5C4plA4RlQYWB5CLy9+/pQGgSZ1pmVkJmn 1prsC7N0mrE4KIuMBa08dtg5smJYGnKAWfRSkOf7tgBv32oQ4G1k79SorxK/7aIbKP4mk2mR89Sm u3TXY7hNkptdSHaVZMu6jGFBj5G4d9mRUByvgiqdWrV/vAg1TuUwHOUD5SG7+vta4VKwO3lXI/Jy ihk3Gk7c93Au64S4PKoVctTPUMfXKcwoODwjapVKJ4XZQAMNhRr1KzgGbNed5r4oB2i0+0eeg0Z0 Tncm82oSrKyPAkK4rRtYYgqQ2YscWM0sFYyzuZSPduRHpSNjGVNf+ouNj462LBRKFtdArvssFPa3 2eOh0+mCjmpiknh3EM0aMXkR8U5KdvspE98qCEU0scozAxYNKlQRN5PoGNGFxigk1h6ADoR5tPQO w7r1vBOHzR3/ymRWv1udHSbdvGUO54YLvLzPelpPLiOcMM1hRyD+i7G44BVigbhPQnSSrN6EqbzQ qFwcU9R6Qi1z+0od0UMF87U7sxGw8xURBbeUTyFmdJnGzpWHS5Dl5qhaG90nfDSEWyTeHdBh62Rn KqomYUWGkOar+8cSJ8cRLhYibMlGBwIWZ1UoYobYIhlmlwi73P1kutFHDX5qVBWTv+id88XsT2Uw 9HR1L/6yJ6d6iRqX+Lwk+K+e7gahd0F4sfhfS6wdEe0vMSkjR4s62RGO9NmR7SYt9GZoa1PXc+We d4B9HEM5yd7be17w8g7hs1aAA0PgOkf+fLi/+HwIXONWQT52sBQC8Jq4EEW+2/7Fo1bUO1PE1F2a Fg9ZDvp2IUIC8P934BpqlWiXHqvrsCslfse2uGgl0/Ql4iy+hgUGAKN2sVLUh0H5fcsL+VkM74QR LgPtPdZLcuNFVMZxbSJdLWf0QaEX6IMwWxyLrk7QXnOA+QLKqr6Ds8F4KMbyDH8qSH6Fwbq/14Q4 /FUnB0aJAMg1CWtHDx0pRxFCx1Eaga9KIy91UBbTi/azinin2qqVgoEQV2vjr5ovVLjSzuxuwe7F ODc006Q/7FGNbOjLKWMAN2pOheaGvuz/HwNDtn/QtsX7/F772ElWyBy5gSpuvoULQUE3/XCJCl31 3zjd9j/D+VKGVPXPCfP/2FJXiAeoUq0KsZKy9hvSlgUs3+2nNEuvKRbaCA1Z7LIvObHotiP/mM1e 2O9q1VSCRWfGYi8hmzIlrriuIxT9z+Kec3qxEmPZmSYFkj40SEid3nZGUhTxZQH0vUgvNhiT7DUS VEtF0wxE0t0uQG3nG9+V+P9h7IaF2tbX+JP8k0m8NUO4ph4ONbKenJkvZanRqZeHkFzY68jPpre2 g0lOjH4ImyxMSWPhKvETD1sfBxpRpnypZ9Qf0NkcwM3oy3sm4AEboi/dcRe4UylRJZyW7C5dn282 jxhtwrRApcsOQ9HECtckn8Q7xaShW3YHLBcdvphbDZsmWr4N5lGZ0e/qtJE3DU7PjR888yahk+F1 4skOnXMLjOQxjG6UH9bogGx2MLbtWoQfCIEeFhg6t/BDAtm0sODaPb4+qOYijFg2yhEHPJvBAiOu fjREnry+48IKFAex0vvm5bXPhvUoJ3rhldcooOYIYvDzfhGE6tWomS3Pu5WMkTre7q00Ud4IvAGs Ya0gdrP/O72MdbS9ozqq61ny3QQzFbQTlzUZlzTFQ8Zy+omvG6z+OXG70IXRwDP5ey8ZijKY6D5S cwlx7s94K3adt5zh2wyD/XakxOT70c99+joQj1lMwRO9DahA2GnjNYb2t6UNgfBQaPW/9vx0Qyew wR8zYnLVtGOimPK6ksSQeMez2F7bt3dbxiaHdGyA7vNLQoVBLbZT9dI4t9Kxg3rbAht2PzJe3iM9 oc42BMQ25ELMkL7NPrGkutynq+x9xA/JoOeyIcqdMOLEuELmZbqbKZ1/nYpIZzwqpiXnlUCfQy5Z frtYrbfzQ+BpdBmzsN4Aoflw7ybf+jXazrIFWSdIrq/jfVrojZ0FfXkulNtQrwxmwj2ae/Pi/lm0 ilu5/qK170rV1gQpIsp7IPgsilHecV6IpJyMSud9N0xwj2BthHTJ3qP5TxePSIhjOTlMw7sU90qA EQ43TpnrqIpTJzyNJ7OOkpmVuBgBHb5SaOjqs8w/xiLk/KSXFXCdEl0RK253ipvmIMk9MH0d/Mrh 4HqgskaCHKCxBN239xYatAWR/MVfSr6rrrXYHkFhrJDzlbMFp0vpNcydgVE3JmGv2gkq0ttZ/rL8 NHp8z6EBousFw0Qtc6EKQUlo5ZXFDc2368tQOqLzdd58pPY1G0gk3Akc5AyX2AO6SQy7IYbsOHG1 JHNiEBqPtbg4JMkMLEdFIdyzxImD4duGiSW7i3TRWZVLcKVjRXsobCY0ugn4eIbwRSL6NQfeFhDx sfKo8t7d8bklotyzrZYBtrjbmsXWoD3G0YJ7GGZjJ3nKKVzP/eybJM561pKQ6jmvLiX4VoxU1JpH elPknNOzsvqjRlY7bJ7FdkbTSYWSV6Xi+PulgHpbapTSyTP6z4T3U6iakCxSbjFtwI7jbiJNqHtN mN2ZzGMtKgyrSbO/HKfmhSqdyXl1lxBKnWFXVpqky5KQPYr87RfRwqy2z9r/r5BlwPpk2OdfJNvN l02E70VeBMU1vbnE6LaMfKA8YzstXhpkgtfmL35vq8BrFFEAi02j52UBnCrggqcG0ueTe8vecoDN qTgiFNCfmy1XTOZUm+4kDol8LDLwdVLR6gBW5ZdroCdtA87Wn2Epux52xY5wEd5BlR51I+2THxHD eJhJWbglSYnIEbPCk417H93p0SBjJ18tds9EhVmFvSbgAuSqpeS0pFn2TuCIEHwK8WR1N9dkDUgK 1yaH7k6EjVne6zcQ0DC/PpP/iiDG2g1QBCsPkzu4lynRYK1uOXeQPLWVz4SYFGAXabN6nFqmwy/Y Ns2SObqSm5a/h/0YD4hssGwZ/jnNlTcvgBFEZEjiVXAKibFBZm1XG6RzThpxsxBfBrDIg8ODPnBF Rcr3ThautMN5gmpyETNIkXTKPfGFKSmrzqHji2rISuVeyvJNzKhSG6Ebxa1fd8yxgvk4ptUDa1m9 lpPXIq13iytHZmboFI/Lw9iD2aSFkae08ckjnESEOZHmL7f1c9dTHVr5BzYcqE/R0algqN0Udw2+ MSkhQaGz7j/+kQl4b/IIhRT/5Hfc4/5N2ysYAbANeMtXEgTWtBm7F5Yh2LWN8nC969vK647QDMN1 VJHPLTi57NCZcj+LeifDxT/GImxo1+ho6QxpwreShYm4eHzUpa0jQFdvE0f2RwOPghhBZQ3qsso7 V0JA1Muuhfam9Ck1WJXKEU48XwqskJh+Wmi0temQ3xd4hPA4PDrCztUfjzOtyXBCamZuVkrA/ikF vbZwQK+jqPx/VpMog4pI7q/VZU+QLrOnIT7OMsG7F1n2XnTdWh0N+kcVTRJ88dlJamHCqlLAb0jO HsbV43oCo/vCuhvyskJBBDWqYyVl2IsB3i1nUZhTCcnVLmP+XWiFkLGXJ31R/Uvn2d3sR8/n5R73 UswIHFIUJdT6rm30qdj1Ic2Nvcp8aWtdiXDE0Y4ke4/TI+B14kVaiOeOW05T6aQrTrdWR5Sd9P+K vxI+3D2RBlDokvFwCaiXjxGUdNSxkJg3ayAfjGBU38d0zE5rNcnaZxqQlX4/Nsf7c3mQX0yIi/tE Vod8m4yPF9zF21cSEjtjfA5hlfqiap6UmoQNg3at0BiceXPdWIZX5N47gQMfTVq0Ch7edRJ5iwhq ugq8zs/DT2yx81ZRuhFO8aC52hZDVEZY3Tkf3BjTth80/r+tRckIUKcA62Psw0zIsxo5kzIjC2E1 D3vvADKSYaFRwCeb9g5ZL3VmI6j1geKZvI7QgRdZLVjVMmHuFT9CP4wogOce3P1KHOggCeODdnWm ICZ2Ay4rBzMQDF4CW/XG6zMcbbW8oS9KA68XJIk6Yu8elwd3HPKRJE6RxTAr4HXGdJobBsMRs/7O gLqJG8JoHXA1hBHQ8XCVjYVaqO4yXaV5Vu678twV60s0QVHFjdhsmwtGKWY1x2GAZvi/d3xFb7UL 7fS0FzJesiP5MLZ6fnb3rnV8YXoLBuwaaYajZmnvIljzk06yXjOJUOrDuyAkVtOKVAX6hotY2zLe p1enJ0GUTXvjksq5jVj9rt2RhYLS5FBbZTt/yM8l+94uv5WyXxQxc85F1dog0q4Pe9H74J4MCP6C sG8uDlpQzMDvXa4adDXvY/nabMD4DQ669j7PMSoeR0Qay55j0NffxLfGkCZTVJWwMfxv/tT2kHWD GEn0V5acXLzWi9WDiSOCRvA5Kq93UHwC+NED4olrDa/ccDswEvX5VQgMefRpMDFrfYF4zeJxP3w/ +PyW+o0kplnypYjEzwE4bmvEgyy0EfivLvJ4Wj5NHzIhQdvH5rS0OK/LqetRo/H9KUZVdvOaL9II zy9fUeLbXlhbp592tle+CpTKG/2BtXBpj+8kTFKceor6YdYz27uGyn95cQMVmvI/+yA5RxUXA+5l VtzGlsbiIcq+RIn5w3ereTCxAIR6wnSLgEeOFe5Dqrvq0Ku6eKzMFOgo37vJkiyq0242usOxdQjg w3JYuWa/CuyTj3Y5GQFo+2grnIT9gmeU8tbTVy6veRi24IrV/95zCGFnU8QlwOo9bIpn19Ef4cCe QnjqgGCwUEN578K3R8/jOB8DtlqghPiMMcUdEF634qr36/Jxg9ICsJ8c/FBfMcFaBppkCSzKa5/U Cao412ANb59ULvaE1nc7DnYm4Po8wnnT1RMtjIPukp3Wepob1uYlig/95H3hkEEI73iLQVWlMLRl CeOsf40TIXwndBhyRSvAeUKtuQM8RukoDTk1wzr+5oCP2tf1GUyT6GaRSlG73yFLuggfeAoP8t0p FxlKdOibV/vM9pNRMj4mRqj0D5FDr/kY3la5xBJ6W45nBCTDDF/yE2tkFCYXrrUFD5Q56czO8SK0 b5G9cOVEGUHOKbnTN+Wl4235a2wq/VqSuE7ZWbnvutAM0bI7SGrxmhzXQOovtm17iKzMH0ezFZxG l6EFyhEViCeUmMvLU9HIc1pUvHfWL7HD6GqU36RS76tprhUEqBSdCv5P6Tr7BrBXWl6uQZpJ7XNr LosByPqu21Z2eant4NLN/YyfMj/DI803W7aPEqp2Q3GFBNVQOTMb7h1GnZYnGMaXaW9b3FHMX6UW oNThY7/XdANKNIfW/47Pa5IKIho6BgDmuZPIheqWFzeHk3T8snCypSdT1BmlpukZTykpQEI7NXRl jYdwfWG6CM7/Js06Q0ZTrQ0IYR/4DNMDrAOhxRgizh8/mIyF8Tv97EjDV6tiHgFblD8m4cyCHvBT zPFXM/vVIrtvlWnLWLR9vhHGT1BhR6tvY3DMiE0HYPxVY1Q9IXqxo3bDaogS5Xj7cnKztHBKF4gn s6+poI7axDscfbuBB5ppAjuPn7/voZuDL8s+Y5JTWKtGXMIaxw8IjDnTLLPn5NWxKICsd2glA32S E8H8/Eeje7weWkaHo5EaTsnH0crKVUWzRBqcm8saL9WdztSbw14BdCGU4fk5vheHSkDWdrkOh6Xz Mnxlqp+ep4s+VmuYH6WDTXKsuGy67KSoRN8XIwhYmZwcSYpEYJEDWGKenezce6PKeOEXMs5eEIFz ERfMyEVgZuQgGUlJFXExFkb9O+/0/kuFQCOyT2MAFrLfmAbpL4JjMOMZQbM9sbCkT+qSNcmFU9cl 5V0EhZzW8gHsdNFOWbd6+y4hOiPnqREphr3k594JNsmbnlQFvkGy7JYs9JCTk6DI6UpmJ2A2Y46D 3V5X2aSQmbnzptlallkrlT7f65VKj4kdSS6JtIxC6sFV1VYmhp/BZi6S0lQ1kFaobpwBePOAyvfw o0p2NL0ACA/Py6YN62L1xw7pu4q3AMO66r4tAMwT15KHVpIcbcFDdGj3XudB7IqO3TF+FVUllpgs TPv6TafDP1Az+HqbXXTJ67wW8bBftDv55FVBT03AbBUp5atEcVvCmZFtirZcB/x5njVYMyBhsFFB DwjlVrGMlMOziZJX6aHXYdmrYddwe9A42m1rwyCFfS5ZYnihBXz3oamKR0kA4ARJXqVzEu3a0b+E UMKD0MOe5yC11sZiaEGtbBtYW0gevLKbL7cExHWWvxPvIaWhYDLad/C+28oVg8diBQtMBFtaZqq1 4OvM2vTu6IyciLlOOwk7PunoNy81WQMEIbdV/g3Ha9Z3logyfjVdp4lZviE+Uj5vZ50rwdkofWpl 5Y27DNiZoApdS16Cl9R87hi5HrqCdngVr0F33gysWqmiinh6N3OHwVRmi0uuAzO1TPm8FU62++1d yQZy5NKIrf4ZIORhSyS52PUUrDY7j5xLdkEH+zWtzq1cY/ctb7+CgFgIz4wePr+eA3rnvJgyWN7K wJzqaIRr/AKy+X7tKHuS7KPrsa8HKkBwzTAnt0fvjV6D3hEmLb1PsC0wgNOhg9GHNgp624A+v9aS hrqF2VXGbRh24Uz5UVaaPo7+pmix650fAjRh8/HqexGzp5K6TzJvSqpitxw0Qo+hCD/eCorOS6/Z 4yM5WxWLKbMwwpWU5QwHlNJQR7WIbV/PbtktgWKaNbP5VNbGcNuvQ0oNAv0ubRVELkMwT7v7IH/S sPSN20KFjNc228nTEuTFp1HnI2bH2bCYd+lrvDDO9rvIhhPVj8Ey+l1nIjDMX0sWxz1inrorr8Sa RcukkZxStWR6sdS7h7diu0ffVmF8EX657gkDh0rCSDjWOpjGiGYYydzMWGr2xq6IEm2UaQXskAGw MKD1vcvzu27nAx9RZqARhtoF1f38sTIntwXul/s/v4jkBNXiGbsUuo/U8SyxS9bGdJMcJCuTmR3B pvpKUam/AmDDOpiPG/eeIYM0FaxYZt63PA4ubpT2shNe4kgiTckzyU2lnkHYx0d1KpIrRDnBuvMK OonMaeRLsozj8Z7VoXar0QpbLtcUEgE4KbltLY4HhGpVx/g+6g8mr/13ONN9SR7tNIYc4Jvfcc3+ JxuA3/B/3c5bp58Fae1+Kg8qOqLxEiZdbCPljMBYDtZaxwljcPFhseorq4OWoNqjAmLHzhdYyBri WmayBIobA1HTLO7EkxTpgW6Z8QaktZFLEEZ62kHC1cMgs+AQpa+uY4fERQaYJ9bYWkWRycOhbSww KFl7h9bTZozvTHz2+L3Q2HnzwQVtMfWM3i8plFr2ljHHgkka/H3qDcX8RbgDhm/f1w6bTEGNBaRr CGnnrNJU8566KQGjzqxX+vu98blkNjZRB4cxQkPsgUuZaLOtIubPqe+KTZIs+s9Ehfe9JzeR8a1a e4rvyf9ie8mm3pCe8PThdlqymSr8RgAw9bfiSXBTU+5P/WUeLA/ZnRn2ezHnWIUnUXayyri/9iYe 565iJ4J/xjFud0rGRvJXiA0fmBaZ9dKEBSVWkwhAp4iI+M1Lxxann067b7/MgV/SwgznQU6QbWnO ADgo4Itjr9/7xVDx+qbgjeaXt6Y2FKr0EChTF6G/niXTCJDfEPua/cxMj/3/z8m2xjA0W/ukSBQ4 ylyhZxUF1Hgl02fe8nLBfXBTR9invBscNCaxWg0AWtlMm2VECMaH7kNVjT/xWRobODSVZZSIYGkv WH3DgoWam8fMxDxHpu4MiQLybYvwI61aJoiKJb0PVIBih9wbHaFH5uH4fw4ehCO38oGfO8CZ892P SAVt1weXN8nzRcgXaa4N+N+5nDPoJ8Ms2BsS9UYNdfgJOfzI+9K5pFi0LJ4pAkxXUikGt1DL4M7p QhA9sRw8HBtnJiCyK4BHnpI6bltljgCxtALyOVYOItkWv3v5FtSbq1br2zAV1avAoi7O0Q3W2w+g jZ6GlddFlQbqJFFDoYgu2HTSOiqK1BBj+R1trmax5T5Jw5+b4W+Rq/oYI/ZIkV6qozSNvxTuY/Ij GEtw0axVuXAWntzKuChzyIVoLRzT7hRybfF+2jEmtoUfzfi0hzSi0FANpjtRfkTgWlU23ZfGHp1Q i1aJyvR26JDEyHw5h/VTg0Q6s025wCAZNUbwZtNChA8OONvacFr/rm1A8VapqbmZvT/XU2M1QK7l rWfa1Anq+fNgkdowr/91gSWV1c/IzJNhzIbP21qNYRPxFB599AY5RlDZhZY/2bkm0BGWiXl0cjG2 vRJsZ5ZBOqZL4mvlfwGjHDDRx4j8aFdz8J7XR3Z0hQcvwwKEiqNCL2lZbaB0JXCHtk2vK9tTs360 N+qKmYMEABJ0BGC3uzAYBehpcmYGpVSZ7hOtcMSbSCFDlUDdYD01P+8uxeEaWtL/3FtURgA5hCod aMKMJo9M1Ao1mllKgN2AijJ4/fuvynlHldN+JUcabCj4osT6nWgwssAq/JOgjYl1xVV+ljqGIKZ2 hLYWcDPVOZQJ4czkLZ03wgj5pogAFqmX/lanHYoGCRa7IfNSX0Mast/0XZ7hZRheH2L59H4S1huP jkmJ3H0aHzOVaeSKWW657JwuSTBmT5D7ngiK6/eumUtkD/5Zw9CheY4gp5J+6O5IV9d+p3LmHz5Y X772wjMX1dl7wGYq7wX4MVIYHpZTb99FRNuRoIYlqhdWAMpI5N8es05IAdAlHjn5jLCvu6gNixaa UA0rXvNleR2eKkgZIhk+LdRdxFqgumdDyx+E0uIKRV9xptEjI2k/rvtfzFlGK+WrUQpt2A+wbBw1 HvMKG+V6KkByx0e0W499injRrTJjSqTO3+pnbQoSPJTUC20T0QJpRsXYHc1/+bTa01QSJ0/QKww9 adw6NzmlEtrY+ACWpr6MqunPMTRb3AmkjlbEPYvE25IfOqCgWKgb3nAWTWJoKGLGfcJ+rER7voZq tHSKpuVlW6EA/vz9NRyawTGVMSqc9QbIRygAgRr1vs+ukaHUdmf+nyaLnHLfHaXJzDFSvQEbW0pQ pNkNRI3zMjcITTR6d8jUdGH66hNnPxm5Ejm5xkc8RsZoQdHtzKqtH+X+VGGC01EALtxoFfJYDHcQ JWHUfQKLUszlO9EjsqtIeB7MxDVb37y5OuO6S2MYmCGohVsu65GnktqNBPdMogm6M119l0ZihoJi TO66pNrqg9pDzM3sh2Oaoj/b+AdDNraNBFOwhYRM7RSH+X6GhK0q4BPU7nu+NCg3/4WP74DYsZ9E H43NACEUhUWVqgo1rbJiJ5vMjqtPNORNGcoxG52G+zOin7C2sJvvsg9uaV79AIQQWokXsdOgY//x JvhPfr6RmZQPzCUI2Y0oB0ZJdmWmNYBjZF+40utPczf/p7FWJb/ULTuafkfZlf6Ytu2sNdvsyxeq 3IAN9pdCVcNQaBQWQh1WPmlm0WiBBqnqsWG5rPoBWpGpi5wGuwpjpynN7y7p5sS0V+4tzeL5ws+O DMfbICupKofSwRivnQ99+68hU6RoK7hr5va0ypQyXlx2YRssLqZzdkNkVbcP34cGg00VYl6llxog H+5TPUc26Zh1l5t121CyBY+PcSGPR/Er1Xo3haucaMH2c0S9hGzlC8HHtjvT08R2HyER8jpZiLwj d+ykQA9BfdqS02yu4TuNi6DCbppJGZQSEA7/bIwrHBG/1mJ8YsM3pr0MM0mX4oAlba0FlPLUUFua 7l/LLnUzOLNOh6h6a7Cp1IVLsqxBAcNsAJGAYmVwh5M3xWzj9QilKpudpQqG5NJqaBj3RsfNA307 cgRiKC5R5zPLOa3OqRa0HeNbgQcLhprAIv3Wfer8fBqnsn7wTxMWesyxZVbm7VQs8DQuegbKS6FN 6zRIETtliMmgH+XdymVjqhkbscuC7TpHHiPSqcmVj2u2aEZrIrxMAXooh0dYR0OcugSaay9YSFjR cgzvrRfET6qGmMAw3+bT16IZ7531qMhOfPZU+zEj34lNxgJ8YR1y1MS0fzT2UpjeRpUAI+G58pcO hH50J088slSokZAx39XtMBaokXtFEWC3fZ6Fk+peqIGUuFVxCO+53swTFaMKvTkcbhXPsCdoNNfZ jGYNrQVGpwefw63+S0QKxhgjt1kV6GTj/SYXuWToJ5D17mpcaaUQNh0pgK80FWOeWBW7kDiZRcWB VNwMn4FYN/yf7V/o0Cs16Zt2ZXiN9SfQq3SZ8db/wXwzBxl2pujgzcKDg35wPDApHi3wOPt0BzT5 hd3nmmPXfGTdudiHd0EpXsaogFr8KdsbRhlgAN9oPwCbc9MQUv6pamJwcxAEZaFkPI2+P4ffVEjD VH0iD+wdN+7yOa8dpAk/v5518xslH1EkbmKqGOukHsyu8ZhqHlbi08M+hkfUXspK/GxTbueiEGkx lCQC8rVE9WjbMUHLUQ8Uqj/3hMBhlSPwnFI6YJInjcX59mZTAdcRyG+B20wTJ0kqqGrks2cmMEZ6 2Eny9/53ZPA7+ploU0OJjtykizVNL/RT/ctYaFB1OWvQOTEA8n+FfWN3ZRPmWIoYISckdDMcx8W+ 6jnJsm8dxH+XLwPL6JybRNiMPQs2P0GzhAkuEy48khB3PBv7JPAP7U50Xn3SFb+6F7B7cYLqXPMF vB2+YwumXjUPxTrUFVfAbOTGpv3/sxwbkgCCIDe4aIjt5HdSJwoHBo46Mn8dxvtAJsBrSlvtpAJ8 QkXlNIb2/Z8maA5XozD8u7gO6Ds44gRcS7Z4tC80Dt7+VcZUohX+IdKVX9znY7+oiunaa3kSy7oL dti7A60oOGaapnRriVtEFhx+EDXTWaynXq3QBu2VW22rdmZp9Dai4yggtoP3fiExRwpgHzq6B2sG mKw2mE4A/axuyBMstdc+HKO8vSqFu7DW5EaH7wpPaUWScY5HBKrvKjQQGpCGfOYcpBqePLvC3CsX mvtaKnpt8M5TGCSqvp19+EpftRhq+CECdOWBOZPw/wAUM5UfK7WCa3iMvqX43wimD3zcsfm6/Su5 3On1I9+RavQ26iHy1tay8H6zJd+KWJYbFFsGoHr3OnXZklcrshyVJacjsWP1b5rVrnXqRQBchN96 3jhe4Xw+5q/AgydRovHuF3LBYE6tsJ6XEEVTgkrgVRy5heWk5B8eW3HVxeMc27O0oE/USxuiQL8N pufMM5Ul8cvPwyRJkx4bsxTsytvaQS9uzPzY/4YJNyw8C+/5Q5wZmg8psWpnpDzlPy96qDImPZf1 VAzUWkukFukpebyBExNtKNEIfpma8cThN88/rwiTOe8jy2jq+xr+oPSRA8K5rr+aeyf4jdhwkhLw j1f399os/mfDKEftfwQvyNgwNL/q8PUSC+6smZnVKBpHOp10gimX6jAms1Uxs5efO9kJ2vchUCi6 xi65jjO6zB5B/j9jeDCoLkj9aq5Luj8dWauCXj22sGLGRKE8OovTNi/EMELZeWTydK6m359G1IF8 O/mNQEkfg2APd0kRi0EkBmSdvNxMKOaaR+FiM1pUqKSWJocQlzUB3nfiaDxi+R/TWQXj4nOqZaob tkC9LwefpwVQIZqyL292IOr43nQFUa5Ik6TzvPjdZrjHk0lQJ/pMMpa0PvQeqsEzLi31Gqhf0Hzk LSW0MDIirJyoPU9NmAGS04FsjLeORmOKUq7DgCoKtQiRGgegNwAEYBEnEIxIyhVw9bSfhTeQfEep cbEEikN0Djj4LllLdB80iq72I/TExWqIvsPP9u/DAII/FS5NJNitzqvURsnRV6qxue3fPJC1C5RZ Lu4xbxdXbn3EWVsDbc9Pb0f+1J+Na1m6IOXHt/Ag/jjcwZyt0SKsafm7M1iy+Z4s/HDF17aYAU3a uBxOGLo1wBX+/CCxUqE//SMUaoTSb/yBwxp7s6Ryp6Z5sTYs2r6FPBVCYRTnNXGKOA03B0Z4LDFA qOyqBmLAoi2WpytIEXxsLbPjwVShnUpqn+YalPsMdeHaBHyTrFLTD7ToAK+svBStXfEX6DQpK3KP oLA0Fzu5ilnjW+0cmy/7wdG62b3Em0mnuyH0c1M9fxqeZRQF6iEnUIl+lLu2Km/j2TjvZ22J3fhU k5YgTJFjWxwiqcuzGXzijYTjui4Awwa+lnekCqU19ttSd5lqCFI8x9tzsAtIt+AlwdnKyEvBR0HR 74DjixBYdDKz0rSwSLrBUsgAu412jHzX9NxbD7KsgioqtzAQJTmEnQVdbj+NDb1JTuOwZmxP/xGG cXoqdxm9aQhnTOz7cqCTto3FTlwb6LIqF00Y+09DwBfPNdJwe0N3g0i8lwG8FX+6+ociEJFA2Vhn v5BUaCt2FgzE9DfJdUv9wYev/K3lb3eYFNGqa61q0k2pegrOKDfmCNIyUqLmBCED1CUXhv3T5dMw 9HBYPvT/+2Hoqu1eqfx9F627/muxxWTfKi/Luy2ac18KluN9ww52i3it3+j4kyVYAoqOCtchgJkZ wOmE2l1zSQH9e0xM7+9jLarDqeod+0MU+tVCIleimPTj/SleTpOVri2ELZbRMoD5kbBkbGYsnF9e 2ORrsvVWKcU0iXxMJv4chen4UIDriDdnTSqoDE6FNJXJ6RvxCiYJ152jspawshCYlBU78557M7wO fHHV3P9nLlsFsKwqUnmjkiIQE+dSEvuz0iMZ9GpgnJXp43OG4Hwc9RCvKunZQAS2gagmrLlKdqDW Ts/JEuPXm7Dt+EDNQdIahuO5qeYQTejooB93+jN9KHwW1KyayVW6YSVjF/wMfrXGqI6LY4cQIbP6 GlnnwT2AcMQNG+bcwDnRdGiedXJpVDBu4137MlR6SqHGr4c/D5m5Q+9depRRXbxj9pLu31VOH1Fx pVufsQ56nOOft6Cn2V0gVrYEPid0x+4I+MeWxKQ3mmHGSvVPtcwG0SS0QCccR6TA0PzkUOOsUX7h rwglLxMVvwfXejqIwcSFjEwXX0driyiD4yvo3z1iifSYgrdyKwErjiAOCGijlrXJRDPkNmmwL/33 B2Kk5M+RnfqnZ21s7Ztdqd1pUaOFTWCONJS8t8mXNM4CAlVN2XLp9FTWTHm9c70aeNOduvFLjkW0 Nuu3J6UVq/73KUvWBWNhUms7ex4UHIQPfxSGBdJeWvxo3AVPcZbYbK8DXTs2FixtD0HWQUBi6gLq sLpBj8Opt8yd0sdOEma7zLLrXiPur72JRGTN8O2GluaH3W2+Mmm9O5/UBZ3ZmC5RK6aSytFnFrFt VcEbwUJYlEeFOc38TIG6IrrVPE2T+x1L7HiHQC6ZyNDRypZn8ZMt1JpF+gKH1M7O3rEmNM3vwl6f 8WVrgCQcpUSg5pe4ykE9L4KfW+dxwyuiUJyvWFe3EKWQpi3bayGBwWWltv1k8hs2TRXrD36w0PoW tn0/CzCVNXoy9b9mhMYHE80Bp8NcYZ6xuvNpQSd9HcG1fWHSbjXoH2bgwfsSf+eWIOrbi4zM4c3A yeBa34OMfOvrwxQSfdbH2bMNeGkqaC9R5vEebtKSUgGV1x2PqjSfKPNseNz/HvO4HxPD/BS74KsX q89UlVzWlPtDFPJfwIni37VN830Cf3FaEIwjABwdgSSrWE9kd+XYItoPFUxnf4QmXlYRPZwCSsYe mZOZAFa9ISol/bjtA5bUYjOjBfCGjK2LGPhdy85AIjQfvq0eC9NZsx2omK8oGZEpr+t5IECPTM9O 0Qg927ix7j/QpuuneLyE332RRqBYUuZp7TM9IF6fQVEzmgtQ2VEDzhQDFL7Hj/ps7kYFmv/q0wU4 haFdsfEBX1ibyD9pBq9UUasNCZTcCHE+CpmYjZosaq/6nZ94cCX1il/QP5ZUDNNclLNS9IhkXzfe U+8W5VgawRSjaEnmTX4yAFCSwMzSMJqqJ+bQKdzHzMetQpkG7IDhlKfPjmAHvoej4hSjpxSaeiel 29L4WABhuJ/12HIzQ68OEhRgNYzHRTild1MVnLQ1gbaNfjzM5Ng6hPDKUDe517Dk5QM5wkjDOBDt /0H8La6n8mUvIWHodrqclfKypBTJsglWjgDF+WSY0LFk9mVvm6SIyczd5aA0kCHPX+K0jDQuwoHO SGRbRZRlwyvyb8J1STjLlwoFa4F8U6NfrhPBJCKGs2x0Wf0373PbsdBh9SrEZ5Lytu240FCBKeLp kM/R2EWRDuTg9UhG7OJU7d1OH9CROTkziwLbJ4KMS0hN6TtbV/jpzAs/uEWKfUu66IwAsD1WuILR YifcYKCiF+zGjh9nNAjHmsPBHXw5pNZ5/BW5CYBsSc5q01PA5LyrSK4Ca6OOLVT+CrfSwbGXOPi6 XfBxi+paPERaYf9Kwj83jniZ/4gI6XafTGrVaVd+ksyEDgvVGr++q1Wz9n38nfqVKG9B+SMVtaP2 /5vqir87hHZy8pKE6mKtPPvGFf4taswX5CO2SOfxz+uKS3p0ZYtcLyJM3Rl8oNagN7ZMOEQLbhh/ jjTt7dbY+Iiz3vIxLCxECNdSAOsclFrJ/sY1OibbF1wpX3AedHJjxxvnit0+QH4Mc2hTlQktvCeN 8hoA+95qncgARuxfnwNFuF603ITxfzl55XS2CvrhkfC2tOJu1SXzom1yx0ASBIREniWHF0/39WOa a9HN33AajtBD7Zn0RMRcCSUfzl6MwElbuNjFwzuV/on8DLvtLGaiAX3YulI9PnqIesoIuofoMhHX jhthJcSwDhHSYFt4d0dwaAjOitRHuT9k1JPvDQlUGl46u6lveG0oUhMwkom+BydfzXCDR53wsHlg brHbjACB8l8Tn5zZWKmShGhP1xLiyjYHfL2nNS3pjQMFHu2wgfn8xalLvKYe4bnlcFO2ug/RrHrb yYm466B9j0buT0iJF5qZJhkQDnP3yTm2Iz2jB0OV6ZB6Y0pyBAXJ4HLWSeRSPtVBCwdBKPZPSlRI sDzbbeie0Fwwlxwmc2r0Q+MWfX5ACj7l6eB/pgie90nw38UoHN6NoE2sPh7P6ujsewaECUyKJ3KD AHCvAQg1c/I1BNAx4QXbPa7PKhKaBrxgX0LV8Ls9JxobnBhJ/2GYNccxccYxXEotqZDHt8XNzRci lHh/XgvjZbONGABRcaOlhqiD22eSrcyERgEFQV4T0Enl4C5c5MJheTE8doGomt8JJ+KUELUo7ORh 4vODFDemjAAs10rH7MPqU2NXxKfZpIdfml/7lfyGSn1Vwj4vRd1+Ow+JMPzpE1zgXO9AwzVh4ekl KX2FSnILVkNQhov0rp7QTYmecZzKAlj0oxdWQZsvzgSQzVmSd1qqGMBUfZ2XdYjsjAKu2lanoNTd UNMlrkzKkrHaIuAodML9RajFoiYhx/UD+/N6dJEJ/ejD5wsHvpQC7Bl1DbmH+F6Mtrh92UMwgMQ2 qbsbFrqWV2L70krW62ndoSRWrSSxQxs2uaBqKWz6HCsY0VgskiEbOHNdxW+VMPoY5FsbwURrp/SC HiCsntxn93gaqGu35bdDIYYKCaFeOFP6kxEZ9vcvg4VL0hIwdAYzp5l9vRoAqQI5dObum4vL1DtH FO5w9OjMaoQ0r3S8pvJc4GxInijx/EN0czBRhLdGzP63OVwxPeEt8T1o3OO8zFWC7RwPb19bty6U iwncppGqyUsg60Jf6OiGeJ7SlF4IOXjn7ze3A1ZLXmRREFEkP3ISDxRySBmN87VVeZFVXSGO+HNQ 6ftgS9Aoc00kQNBbtDDBJFlWdNS79OLvAFyIU8pnArLiTK5jBf0euNTEtW6fdIjYbahIU71w4Ejn sZhRlKYbCaWbMK0H6VyCPSf9A9Azujs2Z0SX6H850oNkd0UQGJElN7DR+qctp5FLmT5fr1ugYrIm Np/RceRGP34Rb5TNaOXdh63RBGUDJ1ZycTij355LsiEXSpN2YfhqxuUIgqDmDOikkCqa4QPupdhK EEvK2H3jWHxnl2qWci02CkVPZUsYIwRzzn3r84e1RoK78SJxeRrb1BtCvlwdcyDrXLVBUW+2lw86 8iUZjVOriehWYeGvuKKz7x2+7+WsDBk+kMgcz/WsHyvnPp4HFz8IBuAJW97Zimmi+FVlPeTUnr7s GaYwXf4iPxu6aHJmDYQ+il5ITtl7e6vXVi6dhUPlrahCipRuLb0Nz+A/6bY7CJFt5M1yoxQwVpov wB3ndO0LwmESOG+qpi7C0dTgIyYyH7WZ/HcyUsD0BLvTpzBxe3jURUXH6ji+AORopPfsp4EEA3AG 2jObNxcj/ah9YtlxB0MCB4zbuPgWQ40Zxuoyl2fhfmWwJ+JvOyMGvvj4n7EEDNp8ejcmMCjuXHk9 oj8zaCwWpvdi4uriDFudnKkDXoNFPflwfKRMSyFCUnCEn7Bm0d2yHO3OMi73GDI6htDGzQiojnha 3e926aWeUZotdjzAr0TNKzEZ3fhCkvMhAMHUBBk7lafHrIhFV5b/pvg1NaB3Fiw3wX9e2m5vLhQ1 NNh1LUatZ0nYfA0nc34hK3ms6Mi4sLySUMu5i9RrhI8ReYjrJL63Z6D88iu4iynx+VLise4yUVle glUMnVhyZC1s3HZbr5iQjACklDuRMfcK7QOcfZp7pINID0OcK/1qnI2fmqrOpBFfWS4sFjhZ0MrH uswWrogTs377qcNS5GMo5tYzYk5RgQJKiIalMLbiK5KY0d/an8uyG5RXhLvbiiNLB1mulqNNwL/u C/R1mSMUecx8ln52uhHHQESmuExoC3+RCKnYGCf4f/8LlPOOoOIhMkCgiFCSIlHxHWtTJLKXFPmV oQrWgsQdjZqcxmoKB1eewr+RlE0pL62OSGZjclT9Cbr2YvKC+WwZOCDVp73ch9uEaSw9yWLlWMJ0 tRKWB8nV4UVj+Sjj0+c5pQKJ+iOZVKkVmdQRzGIcTADKTIbwYgIs3BMjHnuFWb84QFN4DRidZTH0 HFLDBXtH3HTlIIAD3Q7rqIYDAkXF6xG9CqNBZjzZi1kXY2SF1EhVCkYrC+46gRgTBwMA4J+8926p MvYFb49aa3Ab8xgM62sBZU+s87Sz05Qbr2cLEBzX3+w290r0Ww8Jj/zuy9fEu/t8CULGU+fzxgJD JX+1itQpT1XFVkx4V/sVuVpODK4UKFKQXQLfEshNQEhaalcgfnr+tHou8qOKDGy4adl7MnkmxELD G7zJihapmlow4ZAX6CniNOpreaK164pwpNZiNxhdCvJvjZNFRuLjneeWVntkLuCQQvEbsR8AHoYR fSQttY8ycR528t3VG8iQ+5nboSx6nLRSNv2kZ36GBwnA7O7uVbMiN1BoS92J6zuEJmtHLxnAmg6v Be5UGOg/kihh4AOpmTqF87e615WCizVEzCw9dGdwCBSuxCmIkMnVsL9dMhBtzP1QzyyA/jau413N 5W/e+nwS7M3fozlUYyHi7SExX6MRzvbWcjhA1Jt7QPu+8UhnnhFMNwpfmsji56lifaeulEa6Hkkt wMrpp/vgfXUsizlhb/V6xDOfBAC0ecosrU84+KhquaVR+YJcpZIKfjFpEr3cWS8oX6LZsiWgkn/t wlvIJFJgiamgjv1GIO1FYpYWwfbfOuytaus+A8LMbupNV9Gp8DFtnbrW5I+QusFZFkzFrZbkKvrZ GlapIWn7y4Br36f+nTvRVQuibNW+eiRGzk0PrgjrJnFYsoBMLWwl+x3BxYsVpmU4D2P8BqnP9s9h 0p77glAHeoWSAJcqYwnTGSoNVq4FZNgQ0bkQPrJWaEqTi9tlgKXTiVmPWZY1cITTHpLKuyIQwQA/ oWRTG8yzU9oUmRvAlXemXa40/C2h2xWPFuHcFxRaQMNnyTZZ3nHkimM6RVaT52aU6LvqH7uR5AzD vZbX04PMEyxqL/7ftRyOxf9iUDn642/Ru+wyfg29NTHqJONozs66QUqERB0yy2rXbCqDNegOnMcd IZlZsUrEN7NvMTui9XqaSafMmRRravQX89EFAL0oMy3tPQ0F6rUteT1K0vk2boyLvDEJOgUpkOjA 2bZ2mrcmzEusBjDj3ouPL0oNEXkTpw7VUNnVSxEpXXB2x8YDdp0+bY8qPTiO6wcoH5/ASl+V1OXI NkJ2QvtryJI6wuU3bGT5D+S9PglMksc0r5DRN/Y2MliL7O6M5i7B8UBSbcN4pG1tLvJOMZIaj3wB SjW2Mo1t8ciZe82L77nsIOlkFyLJ2j9NgbqfxGseR8GPhw3cojXP6IL1meMmxkWisi9tHAtCrIDO JacpjJXHP1cb+nfQmJjM4in0YH60iANuP/Vw5NpEwYufGANYAU6JMT6dTMK3drhyc/TRhDQp4wIb uR80UbGtcKUzlDFy+0qP9KLTVIpVkJqPgHjXFmU/C9SKPhk4NHBUxCUn1wB5zQgcfRA5vuJRu99+ 46TKHXvd78ngFSuMeff23rpA2EUh05f29Hn7SVVBsUlIh5ybUpyurnyf9kjXrGZOCMWESkngelYD Hfwdjs/u+Q1Z6AXJ2XyHj64ED7K/O91SpUyJjkRuEa6dFQJOYH4XYNOOwwoFDYmInBZauhkbYD4O EpFymj3q8PJ3ldSGL1eQd6a+pqkyRKfsAZMVuQXRuvYFyjM7opTrN7gjVmLAzgrGhqwMlTGqRXVl ccBlvvORrIwsjWyD4oM6PPJPXyHIfy7bJ5eCmaHonoeLTv3ap+ItVxOE38GSFfO7ChLXpTfpgD2j sDU3yOQ5H5tSnt2xJXm9Wedx9WymuGVoRmKqq4uEeBz82+M+U36qG25+gK1pWeHKOYF5MXzObQ2t PEvnrn8qRBnfGhjRt8JDnXGC97qeK9CwDMf27GNQkuMx1shruc7KlLyLKPxVDXdlo0B28p+Yts37 TKJqH80yzbh/cYNi+1N2o7F03BHBHO7zOmznsGF19hGOqqRBajFZTJwMULdbeOw3ura83Ygf5v5w ZRqRgskUlKuMOrQ6M3r7O0V+3Gzjs035pKPtFEACJncGht8dqPotuN5K0R5QSMEEnQbs6GFpTbeN hH0UKUElLNlWn7lXroyxid+9e6hYwUPcALxbPVEKX1CGej0jGsLbw4NBBtGuI46Gmd+meCsB5q04 evAH6fGrFFyqR88HX4OjCmyKpRq+jRgV6Ri+DZM+z53TmlNi3uukSOrQZWch6g2lbUx/lr+BiVuJ cZ4C2u4n+++otwnhGUTbPUbRqCD4IMOWSKBd8BWpHJ0HJl2fPdFoS0v1oJNDP3zrAUayS25kt6kr Qsoo5fprSkEfkHA9gKbq0RpUqoNX4ow9NbZzIZE3QbTmADU7w0S4P1cRlcwWN6TSRkQtTMe1IwxM rDzogpYN3t0zhk9H7VA36sxhTB5J9a/0n+1QT19bFGK+gON5iGW1yN80gQrnfKEM4vRmuUXyfIPr ehQG1fvolBGzCrGTcOKymsd7XJ9dLSEOedJgudhzshDMRftf4GTuENJWBSUB/8YuetYUIiled8yT KVxgoSwLJZjVMS92wlbG1cylQyNTJ3R+WMBHhde2AgwxEJlN+yY5aQTXN0rsPzZr3x3aMrtVvzgl sxeS1ydI/WLBuhXnTSGXSgL+Mq3MNPBdJej5Z1JmyFR9rS9KYgC/I2OI6CqDn4TFSPo5yVpgWDpL rJwzkr+c1qzW0qY2mbMLL+lcdfq1fG6nZVWD2GWW5cCplEB3OPKk4BIWdVtvI8pm8pVH5A6w18/g LW33Wgx8utyW/z0qDqrEEzt47RoOkhwUprNnGYVXft3Vw1ct0MqydMZXLJiGamT181df11v8hngK g3j8uMmIY8Vs6XvgjFR7QPoT+/P1lzgrMshpgoil+o8r8ESMKg6nVgtl2Bl/oK5piVWCCNEMWcyA 6j8S0fI08+Rq7d19KM6OOQsHmmVp7fHB0JwjA69Gw+TwFufSuG9L0rjd+i4cYzM7sU/HzRJ6Ar/X xRnEjiHQMoQe+COYI9/f15wUQYZlrkq99yXOnDzclhHgdYfbxVKcCT8fMeMNkJlMmYl5xUXJ7nCw HhXR3QzawO8pEdb4ejc+SIru0uPcKfSH/3DM+rsF6SJSlKlq/P/O1WW25lARsxB5KISL0MZR2Rdq chtIzMNz8rd6RkFHuEtjXaKSfiUZH2C/cUGgeZdB/4Rl9uE1518aQQPhGNBhxXqvNAhQbsTxPbve +4q58N0jLr74aHitzuAKL0Oa2uU1241PiFMl07+P/lys/KOyIyPp82f5nAiycT2Vv0SmTDszwxU7 tbyRGFymhQN/808wFa1sJzcTM6BUSGFmDtbsakbp4DRzhvx/XyGfBX3mniQPbVj6+TPL+cfquuDc 722zArDsbwXKvoPMTf+rwSYjRDIsvXInktcqFTxzYA2Sok4YFW4uuCbSTvooECnTFSyRgWT5Ezeq NBZAPwUzXy50WhCk5uKCcxrnMeSxu3r3lX77foM0Z9YqnIU6FhRSkW/pSwi7O5MSYlaCYMbgNCvp atqlx4kbITa8eevZhEnRP7rjBxUf8v3+83urY/ZfedYXiVLGYQEs9vQvh2kU+ARhZQpQZec2Owiq eEE2kdnkWfhhweHCMueMvlX5AEtHGGoPrDcpzRB75RVcokhx7j6tw65UgzW1jDvHPvKjrr3Inwp5 SXSleRpFzW/6UeTW2cbd3DXmnKZNiLwaze0ijwd1XvPb047l8D/ir66ICECzEB7oC9KpkSr6kgC+ lvzRa7Ja7gpoef6szczQy3obJwlLiBZU98LZ2rQbdr8pZaxz1gE/ksabYBCFY7yyp28QKKZdOt0k kccCd9qqZw9XHvBZbAqRaK4QVMZIw9rdOymzNntRWtVzJ5XxePJb2XIYnOsMn5WAOnWSI8+c35Zc jKOpk/AkyMlH2sv9B1SWQ3xD5UXABFiHMbAmruSa7lZNVyr2pMrqFQqD7DHkvnhXuf8j1v+cJrbv vpw+Bokl69l4o2vGnNIhRe0IF3h8VEB3hYpEypiXLT3OV3kvvq5Z8GqhpZ5RCL49YDmPliXrvsIw 4sbIH+4fPpGLlXf8jjM5z7y7h5RA1t5j5xSAXQ6nd+KciQ2wk8TxNA7PvOF0XneYPpq6qynnp6c/ OfF9FWsnHYHT5R6GCVjGFFkWNvsWByXfyFtOcj+FA4TeAQ+Cs+uYuCesgZuDX3Ad9myVyOguCY6t aCusLAxAj/QtqrDS2Z8f7IY5SY4Dp2t8tyAGZUuMk2PPhFQqx4s2aofH5lLibBhVHwa3PbYgSZap /UyzIelwWZGC0/4JsQNcmS7Ui4yIXInnIQZZ7QqgFa7xgSoiU4OXiwGS7DTB8PhyTy61pb+S9sIJ p9QqzR9uuUuWxWr4EsQUtp0zrcvGlQxz5W5Wj9Pcyg4LOi0UVDlDADoTEP6/NAg/unh7gIFZUdMu 5Ggu6iF+YAu08q5RPjwKIV2cnDA+n4gjxs8NrtR+jIK3Ybd3Zv8kqU0dZEXDEJC/FesAVzip/UtJ 3HAGVtpOtQ+X17JTGftPsEeiR+GeKPSMAkp2UMlBLK7J5/UJpghY7aBRY9zdIALcNqitzIkMJkqS ulUW3zGTxFhhDKxSx3/kOKF9iVQzShC1zvV3ok6RQLfpBJgTqetUE+ZQx7fBVbLghK/msdhGr/9z JkaDawc87h0m/WglzmTySCtDCAdqMVDgMqXbFN2Yjv8drniuLBxEbDTNesPZd3RwOrEKUho+KNi6 j5/BY/7LIKfsIBM04LWVjS2vcEwajW0cDykzhNYGqJieF/NXNeq+DRi22qF8jZ77oyObYKhQ43DV e0/upx2BAleAUzG58PD0fd/+C84eJt/eELOTEJGfv401Ox+qEheCtzUqpzcc4qfzAbGBV7QXp9Ps sXQ9t2+Yywdq8TEFQlAyx0jhYwCGN6K5MUiMI3jxAt6vrricagLcI7UmaAmVj6ZrbnkGnFYZxCjd Xo3OaTd5U55G+iX3cnzVxOJiMMqzocQCb8tyicyLb7cqlMkiKJNY9aqfb3ljVaVmEnWF6eRKFbTl XE90IpJnzSS3KUF/JySjGTJWEq7R436u1L75ZKlKG53cwSNJZn2SF06AlGYCxyoh18LfeVk7x3EK RYN6MFkrcsKeke49fPlau2AYHV6iVmTzMlMy1gY3XkBmYk8R5cXlpjuGG0GXl03F+PVOIhBOv2Hv 7y9C5qI4ilbnOmfqF2lY+csM9kNWOym268twUZ/uAxLaMHQ1WesDe0ULt3h39hJbDFGSmXEZtmWa P1GBV4QzDbo+nUk2PZwHDzO/HM/2mpF0jBLrw4gjffGX9QvgCA6xIsA+aZmHFI5zzEmbP+jPi5jQ yAvx3K8/n/+azlHZ5vsJpAZPVXpRPFR+2UsofYgGStRWZE8ajUq5/RxF587Vot0dk0vMgfaw3Er6 GRtVsXyA9/l9Z7L7IyICJKXIi4X2h3zKouLNxzi+AQLX9213BdlsgFF134bKX0G+6JAETocjgX5A TTvG+/2rpnkzL/qPNm8+MJFtygRCVnEGAxn7Qt3QPkY2+6Tc1h6kkgo5PpW6pNhcQv5w15p5FBMu EflPTBLws0zg/pBWtcJOnNMrnbT2ntCXg7EzXypE2MZV/f9U/llzLfbBTbvAJ53okMSdB6hIE11P ue+TecXXuTVv1Oq00E3Uwfhchz8Tnimhb4vGCBglLyPMlxk04i03n8hUHZRmgjZOm8ythXqbwR8L +ruxqt4DNxdnSsQXGXOZqLY2ZO2YMeBqrQigBKLRAoAoy8oeBJv0VAVEbOTlBqax4ly8sSM/h7J9 qJ8GI5oYOanle8aMl46lgixPt6G0pzdovm3abKIMxBHo2/exvrhUZIabcNPQLxJS8vjsWxD7Aez2 Qq1i+clDvzI8wED6+K5tD13XFGOo3xHgAS5zNvHGlslOlalUWti1I2LHsj3fhAiL7EdBw8jw7MLR XJcAQOikBJXpBpHVKjDGqY/MktnChbREnPjY8bmsZ0KRs0PiBQ5f3BOsmugyzz1shhVpzsor+Ui+ 5E9R7r5ztMS45QbKRU0+Q6Jck/2jnRk+EDzJ+l8IB74JnIz7Y8SYEiGCm9T+JIIags6/Bd0ibKNG 10IN88nC1+C0wdFbE0AMU1Fb2W0LBfS8O9WJkqYOhzvIeFmDUS1noRnkV56EOmz09fPu4rIHgssr MKmkXHijKsxdJRg1Z8oSMi8jLyTqtkc++Z5ojmKGMQ5Q8H9JhD2dwbKudhMi9h+XDKrDnXr2xxIu dyr+EHfg3RCy6zX9jOxO3sNiyXxhsvAeKlWHTprsQMrgNWfP7fadYjfpp+hRF8HnDNK728oElHRq ho2BznRo/NbK2bkn0ogd0ijjym8YxC3lkJ8cwkbEXQjm1crDmnWBOt0s5rvNrhGJc9gmugZ1+Yl9 2ww5NLl5xvgECXSDsVy08boBx9o2r5o8rWFnWsD1SES8rzNRWNtFzbdBGcdrTScBVWchnD+W3pjN wN6o5x8g6D9xmUKIp0klj6CoFDkTlqkmCAql0uvz6vEF7ip0RVnYJheFPOyV4+SjAUuxjQuHoCFF x0wSII0fDRfpSY/NnZoyUtPlQ/IntkZGADRn3tN/EjEl8BYUaaCtariQCe+LA7RehnJk+cVIEByz BwHImtv/HA2QBNd8dt705Y1qciet8G0oHuzp9mW6hhpSBNr9DM4K2FkYo/oc9VGGdp2f1qChKe9Y bGKlHtHgeOEpnte3dGuZCia0qS+SdKKXakWWSHm8yC7kKKn+NmkLlCWb+LLzg2nJTbjlaGzIdR3w Z6DFGnpRl8YSFU1yvrfzMhxp/54ZUDRsElkjukTauUA/13CrDAWmXQ7ppxIOgb2jmyGObvb37G8i X/Jof3DJFOFC0+vC1tX6NaOJ0CIr6KqYc3u+xR38B776x7YeuEP0FR+Fn1XGJddfsiIy6PJ6wcNN k5TYEcIKnebaDRFy7a2NSdOm2TFrbL178DUC7Z/dvyOgTkziCSYhn8SoewwLnDk+nEzTS2Hw4Q7h V8oFH8pXmZLmLx+lUi6z/55Md+9jE1lmUry19rKf06koATfMYwZbs3B3ti+PUC0g3LXQPBHv9Y7/ QlwGt2bmaV/OQYz6xTHSkIKibqeVHaBkrci86rPLK7fO9D7bXHO5lrsn4Syn+JMD1c0YdnQzRe3S VWbsplJ9vlO2M6/H0vPXR6iOC481lAv7Zu22TKrV7763lqFTlVnuriZTbV25/Z48CPSAJ/eAjCEq KRxGQzi7veXHFJytpWsiwvaXTnbKXxKhE5egKMJXQq6xszs+DCcBb7UNQnqXLsbd+12Fusm5Cu2Z 0i4VYgYgo6aMvjtZ5RLL2JNe+ngKwU3eELwGrltd6XZE850IepzroPGLy9k9GesE4uw5Nc0frL8u v9h+Pl0Als9nColy5Epdf3vNbPqCiLDsqvEn1FEKuaKoq7JPiem13qslCAHONGSOV/am91/QOc32 CEzxVRUOETqJ59K5p1Nny8vd4yc7GRFhRPJuiooU6bhGxFpsSQ2mxMvY4G+o1GL/IAuLJ+hCs1ka nzfAx92FrmHyT0LpsEi7CjYmHhJtYFjxNwUEeF3fCnPEaEh9zMSN6XctzdkqkO+c1YOPaaftxNnH lVJiyWOSlZ8PgAQ4As2OG3letJPzalnfK5wZI4NQv5k2dvQkV+pehEwXlryOWoyhJ2EuYr8I5+Dh aBYl82G4owoVbSQAwwMEQyQ3w0nARzs+qbrBod0qnOonlhpPZzQsQlgp4TpmohLBcjGCv+0LlQrw oy13lhq1XKfIETcBnOz2eq5XkzBexg7om+jtPW0UamdGGb73xjL/1jXBmlpMgaZoHumul9Vv7fUb CPUYlfhJWW5C7ySFfuvA8/icn7KCQNul1EWxaO8aYTt6imwAH6leZv8f/3K7Yi8W3V8FCn0njkcd FP5DBQ5IgzE8wys09SVJwsiTJ5QrZJy9i7Da6fgqmIKznFer0feRQza6LDGi4hBcPbh9M40WWDL2 8c6rVaUGfIfkBddSpSaeNqOPvD2CnwKY9heXCivvD2sUi25+9ZpcKcCNdfWxA1cxTPdd+y45t1Sc 3pCE8nlQFIp9tXBcjvXkYc+Z5wwSw9qLbA42oSLH7IJbNd7Za3pQn/Cgiuv7GL+KCdGAAxLv8uLT VxfLgn3Pxteb61nKGfL2Qsga7JI+NjcJFKP9m6zef/hgzby8AYkLNYH8oDNWna/sNGEEMh4l/zSG LZU0qx0ZiJR88X+9NcMBKcbMskPL9wHkU1QuTD3bi+NkLi4zW04ea++UzmCy1RBOIGSFYF8h6vhv y5+bIDyr2xf25AWlqtDKVwsGEq+S7rtael4MI1m4N1PkbYWiu6rL20m8NLzlKG1ncQw5G+2SJInY 3zpEnBKNL7WHCtg7oHL4qFdTgHUKbwPETZ0MirVP1Nza8CeDHOU0L5HIRXI7b37BLt5iTJTiECqD DUuRrGqQuVDjcePw4y6andX+BGU86NkSAurJbiPcOFcp+86gXEHA6QFn6BF/M5xqXhAzqKawyuOH ly+bWfb3bfzrd42AbyM8Z8Pc8CK98Y/uD9LPFJbGmd2nHr3cZu+DQ7sh2uHTvxbL2RFHORSCqJdG cZutWkH4UY2CMkhWX34rh/1SLJkxnPpZxcq5KnAOMa2tRDfC+WDViJPVbAMd2MbRzJ4KoTLq86hp fBmCE7JiEO69YyI7jO5F3+a+YWt6Wki6o4B3H5lxqFqJ66OalEpVNtkd2grfc6KGFfqHq0eb0pkY jwLhnAqO8fxkHt5CthaPVOsGd3MYUCFMXBILFuP2RN91Ls61USMA90KY+PBLZ6ltTaKfD2M+n6UP Zr5KXu36EOme87c7e6YwcWqoU/EWgWLCMoowWf4MbpXbBE0A2ztklB3ibrWClezBAp2/TQSLHyHY +n+XjXMbhO8osYbpJZTbqv1JcslY11e9elVFe3FIjqLEo0IYugzUSuNBNoTOUrM8MsZMvgBXvJDj jCTpNVv/ATzTbEVoXkGf/+oSRWiQX6e6vyYBWkQySTEZ1awnR41bRKrcyvfnVstEgBK1fJXI7K7P YI/fqrMktQ6CV4fGuPHzZM2DIGZTgkRk1b/lPmugJeWCv+w8ixe8CkOB3RFMKSjMO2Wh2VuZx0xS f11croChUlmawqDMaowd5k700+TzWjL8YDNQRoU7bKnBYgefSqy7IniDhFVGiYAjiYmXIIZrc/pX t4GBVX1ALLmLVqnJbEYm9ThyJu1/FV3keq8c1Bihv3bJF7TNiSMk4C0pBO3rJgDcfNX4ZaFFhJOd s33otGgZ472GThEJIhFDO6MsHg4tTsV7v5NxCyM4fs2EWBfT2/7sF3MKZKcL4m6yZiee9ZptpeOM 0QJPfNImVnNg7x74rglVJZYHFdKWYfckGpaJ09GC5R5kluwfgSFUdtEhL3ifAnHwHhJGnctKW2M3 BZuHlNRLjOuMCyWR3Gb8PpF5nMdC2oDtmbYAKmCNA2PO9Rvuqdein3OuPurPYFUqtMfbJIhnWukn L6BTmJ6NbHQC8q8mO4BY3ULOLzEq5yVyY2jkCeiRccsgYrXay9GbP8gr60BRnCsA2BAI/rhmgkoi YoyuDwONTeP60Rh92l3vC/LL46gZdB9pbIkeSoCRW1TXnoEUKAv9x230z6JJTz+lamVi3glUR6HG QCGj4ApXc2Z0gm/uyv1R4GW9S3Xpa6PP+3eJw3zbZ2BLkn/uQe6/VApZ45160Bl0YXRITQHEQZrX kzsPw5WgiSFjSm4DHcbOsZvu/3kb68WT27KRlBktYzLxso9Yh0gbgwgy1fNfD4hfNkRe4ebGaa1V wju4NIN863AW8MiAAxq35GCOOT1IRpna1Px7fzLEfvAZKfYhjQQ5vU50KP/nsFcZ08gLrMVBDO3+ JZWQoQW31oGM5VvQ5GCj+chU3hK6F0g6KX73T9C8U/VFtbbwWgIAfaOqsMPcpkuMU3TH3/DYfnYj ZdMLMxkX+6OsMnahlFOXaN8Q1ZF5Sd0LjjypcDCuKQSuYMiWnwPNb1N8Rvnu6v8mA184vQ0nxMgT 2sXxgpL9t1nv2ahV/T6ninFVGAfxRqXsqRsaW3+4v7+ky2hSsaXeu5JzEwuCMY4jJO37MNNa1E2a 8Tq98F4AlOH/LkQd9VOPbwQ3Rn7X3JGHNRV98o6pUHylXsFgMjQplracaaxa3d0JyVjT0INQJnSv yviCcxrXrAUa075A1DQam1f4wyTQaJ6/oCPJ20TltHiPFisBcHZB9ehJpsU6o1pzdQjJaqeSM062 pmaYpqbX/qPgqwFB8NQX2NZAf26iItmrI51Dgpdatiy+fjAdnrk1O2i6zejbSEJBf8nSs4xEHaqY CdOST6pQST41C1GRW7aBOqlBQtQ/phyQ/RLRucL9ztuBW+MwmZkkfb+1nU8GLKmsCiCYJtpDIvCM Ik2jQIFTd2qtYnDtgu4CwgjABeH/TM4RNfNIDlTQ1Ug4RFKdYQCNLD9PGJP9miWmANlVPrw2zTz8 AEfNoA1OfG2upkMVXzPHW4bqghYibFrKeLZYmgRRyAyF4RWVGTivsmOGVj+IFTNNuN2vOoFRPDrj /erXdmplB7eBkZQd0AM67qo47AeprYt8acxVE0r/vPjRmMq0naEub9vYOFX5/4LAw+fH1Tjzm9Rk hZOMRBg5DCHIcFPcdY6zLgQ0Kh7/hTsqj3Zl4NHhKElroAUGx1IhxamBCiWEEfV1zadJ2Ly1pvJs DgRVpkFUr8ThmEy/+02kRovxiFCAjbp9opt2XoJfcbzsaOAIP6e3am6aa2ReacKZChQEegeIiOHM oqOgC1hL7B+rzRDeKWLSmCK34fWUaUpRtBhAo8KNBpQwiv6okhN6xCwtYKTcb1sg7nuWXVjIUZ6I NK9oUcuhHqOM/4en34FY6PPXxoOpah7CU/ubvNjjsj3NUPinJuZxrvTApC3J8+0JLJ3PG/BeNvxW k2lgeQi9Qv4vWonLoVa42kZHuqf3Fcf3csux2tbQEiTzLfGwPNaGmwW67LQQnXVuszwX4HKPoK1r F+qnomjiZnBLPMMlcwQDAh0mhv9byiv3GZtVMn7VgFAEfh1de+2C5YBZK4wpW1jrKOuCk9aMgwzT d+CU4rwcJn3x3/Z7DhCclemy3EPJck9AmrT13XNvAOWZsXTp2XcNmZEJiz9NvHdMyXGY+UyLZcZY ymkjXUWTg1rYSMic9NfZdoUYpisawv0MQMN3aaQrRjizsinP0nXATbjgvhpEM5A/pG/SvurKscJN jzmFoa5jZ1fA4JHKwYDfda671P2XpFuiQEM6xnMe2KFqU8teOMpyO/aDQlmVaPG+5wwCh2CUPQfO +WjF8Pl8upQLQHLPZPMQrcdOlyJu `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BSpTtCx1jRSdgUJwf9qJ0rqUp7iy5J/lZ9ylTqBeb0yv8XkaZW/Lz5KFhz5NI+dll1cQDokZ4QeM fNGZTtBPww== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MUjHss6UMjtXs52VMMhv/kabHRIjA3SzTDtq9Z5XS+io7Yhlno5BzqFo6b/bIZBJb1mBQ/qHNgUN FRYqWW8KX5fQee9vcZCApXVWQ/98V7B0+/OIye0ZmXEKiKW61pZezEnIam3RFI4bsxGgcN6rG3MA y72RWULALY+cNWqaOxE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 4JoDDF2qyLQ4mfprAFQLyKVDb4pWD0kUEsWqNVsDR7tVEED3O4vBynjpIgVbBmj/rvIuEZfDRJPv zLgDlFYgAZd9id8cf4z9J+hFIu7HM9L7m0LODkWigjqIjlYp8F/PuvfNRACdJ9EviiE33ztme8EX LOL0IUGi/zKsypU7ztEvaAhs5W7qv7OGH6qn5WbEb6OvYs81hpoYfSg2ZSwMcBSesMlfkXN2wI8Z GskFIF4v0aMJHnrxVV3ZCjawWL5grvW1hR/d2IK4VrrA/v64L71EAj99G+yzvWvTiNNv6TpvSKQ7 goQKm1z4gZAE0Enp7egIVm6xQVjGso4OJxAu+Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FBmRRCW6WL/e2jui3x9s0KdlLRp8Iu5bpJla9iPJfWco47CF3kirP1sM+3kQCA+AOr2Uw0PCE5OU DqKCXizw5QyktzGvuGBu66buTEwaezquzy/cnABPtnIYeNJd7oLiHkc3czbWZ8pzA99K8McWY9as pgh8symlkXOGCuT9LIc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ifFyXjiwaHUpjXKS864MalkR5Z5N28Fjy0MOY1mqCpKsYvLCmT8IUT7gH6BGKsLu0fTF5SwT7zHf 6Cv9GBkZR3vdemWRL0+httsOZ+aL2sAllPH5YpC5rw3kx4CRuGyWMBQYn98yTyNasH3J2rTDuaCo EmsgbhvJirsoWG1/ti9f6fQFu1x16rbdqTvhInG5r4tOYnJ+0fq91agpNQgG5n4cxNHd8DwxE5c1 OIuUjL04HzizwBw82nZOM9cN2NtC++afWJcJnFsJbwezrjM3+xgYkex6+cQ+JchYsERzbqAHOs43 djNKM9xRhYw4VjAuwHRFepg7SC7PhvN8BFOkAQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 63120) `protect data_block VdmEYRU8nknXa+U0SiZfw7FAJ5K95R9AbdCrT/yB4Wbd1m3UfkB8bszEaVdKWEq1Jhh68uFtmYnh c8tYOsnIBCrxFUMvVSh4jrbhMKWabF5JG3+Ob3tDhZY+327ynNHN6umqltj55yYYzBzIwnq90NSp ntJ83rN+RGoXWhXn/8EoaRcVMZ9BU+JP7SibYo8GWonuPUR67kly/RH0lh2fhkP9BOb9krwvLmcA 3G+hM1EAwIpiW5OINJUXV4aIHqaOelz86B9G6jQpUyQnXagEuWYHw++5Q3v1RtPggMz7vqbQuf88 LDVZaio6uGGvm+LLJIs+mM70YZTPkUOSebzMGPQ2ECw9jM+ZKaDu4ofvKajX/gIZg1sEov3J39qa tT6NWoQl9d76COPRP+MABNTORFCygK7HxncG5MDKftmEYc+RQDR+Kjba+jkW4qsK6k7yWutqzZaT 4A8GZt2cqBNWz+L7ySqoqIOEwvZNxGZM+WqNwXp+Chzp6ON9SNKsq5NLMQwfkaNoQ6Mam5c8OfuB EhrGTY897FWxpOG8XJ4EHVHqrWJuf0UMhYAK90pYrZeVCY0AaeXXetHMVJxuZLhLNBfSUOAR1/VI S/1ZdvsGDV0Qw9jnEGWPQG4i13wWZkNMDL5bW6Kqyv12wBl0+79DMHiOx3/ja/JWaeOH8VOLzKzr OGyZBWYjVD8GkoYZU9jGCHfGd+0NZljwcPt+0Eenm69APYc7YAgymP8JAlSqDCXAwVlkaNa2nWrj gRsugEFrAMlYmjd+CYN9WeTN5K0huUgx6bveWKxwiuDYwwehB7XwaYloBgs+c/VA9cx+EOaS7rfj 0i2Z7jC0vN2XerYjRnybC+MoZJQVSzfm3B9NPuMytarlONzTWLFScZRkc6jSWlQ/W0Dz24+hJD07 UQdanGoh94K6Q9NYzF0BLiaScTANRMgCd2+c3eVEYjmCZsKuD2ZB7YvCgIRWxvRaIX7wMKt8lYln WOGSW6i3jnZBIdha3jwzPwB9VvshoFm/tezczr/UMR4RJFCE6SigDMovseTX2bzSISr3wwarzlE2 +vpe9M4fxrcrWLcxUy/apQWiLgs7CptnzrOl1wIL2EvgtL6qx9bpkqI48zC6eIM0QGgTARR0yGgL e9jtX23Xx3EnAVy+hNCwGOHW/DtCEek6m8BHMRh5t/1wwYQGtgbwD6cVjcqAg1BhVjomou8H9K1h qvgA/d+sXrYSmmK2zww9Pw/E6iiIK4Qwr58bdNCZTUZLVLv6JgkwkltEWn+RVzLKgTL3NTlSGe3m e3W8srGX6C3J6IWjNb35XNtJ3FImyJWFmhMUBs+NGCuwBeNgT43//avV838rQVmF8ynJGDmHttgE yitbsNf16E1hMwHhME8auExX/PMy1uaI4F8uHRzE41cRBU5qNjL8xTKB8QOoE0ogo845B+87zQyn Z64y/A2ozjTI8gYok5AK+fV7OGEXp9ZXn5RXaqjagqxXbuYQaqvSagz7N9fCZ1TkEZKtFW1cAvLQ AWiV5f1flro42lgal/7hyZHW8PkFeb/solpL+rw8UjLhNnmv4hM/EygRVHNbRLEa/SdSM7pAFopL nMEyDX6yzDdNCW52Y9GctVBrpuO2aJsXfRbRwWZQMoDGrypuvpGiFb5w7A8d3nHG22kd6skWsS9l 7+DNiXoVBfrBoS+aOSwH2fE4XaIo0h9ohT5fFyYImkU8a58LQk3JldGXK7Kx3L2od/v7d03eIld6 E8YJJNpb/6degE8DPWzdh7hBYV32lNnZ2wkekjab/h46+ZHzcS3I29PLSClTHyvkhkqJQbNR+Pop fYQJZ/us34f0X2qEAfgmzZEsLQQH3zxOMGmhI0qtVu5L7Tb9/1s1oTJgfIlQ0R3XYtpQBKG6KJRJ SXb/247dF9oPDNp9LSrEHWnNxOwH5MFl2Q+wYpfUJXcLnoLxY1V9NKjUU5Zw98b7T6UyA9M05Cr4 eJgTLT1JldjBxPlFDf0rjHtyxkazbtLSXkOjprtOQgbIqFHkRZ+D9wrODvamtP+XsDFdwMmZqykH 6/f6N+H52tGp2goXvplH67LxkapXptQpWvnRzXYMRYWbf3iaefDQsrLB3AJbP3T3eTF+0AqcFCDh 2JHz6jNGzGaB8wdN9HpKmEOvyN4HdcRXFDAushxGdOpplj0+u5hYflJbSpQRmC8+ck7SC/dfGdAJ CBTTASefHtFYqzRDxkz9Ilzt1BvoFo0DK0Wwy58gxJwSaYoPf2YTSiOohBRtVoPfGjmRdkQbVH6U p92WTPcJ58PpsTCKIH1L0ODnF+jUeAkEC3nJqP51Gow8GlLdwOzl5fwr43rYLg9HlChSKwi+oito 4mGH0uOCO+Z/DOUpZmIFdH+/Y9+jdPgM0vWIg6H9dfr5KqJ+bMSVucFIkq5xZuicuJDoMzTOL9MK EO348md7cZqYRojZaNIGB2nialf/muXJ9K8N7zxl8E7vfp0n5y8Lu3ptZnBghtn9e9n6QcAocUWg Zazw/y4umL2TP3ms2vCo5wYEPp5t61vVvzr9yDmT3/3RKu6srN6cj2klMFQZF5ZWONePE+DGyyOd kfY/7Fw+nlEpGbkUw3iRnYzBbDmd6eHE3mcbBuNdLpRGTTdON5KlmxmyL9r79P7v6o5vYkJo+VHM W/cT6nB6Gvo5lxEk6UQWFPhnCb6/w/dibu+sjj7zpreAj+wcs6TaPEj/D1IcpAbcI8wBBWYM6jru UwNaGR7oAeiu9xv63+uqPfGWmkEGLtUKFDWTbBiApxExSfhm8m2b0F/L3fSEsT9YkmDtXTvbeOY/ M1zCXcG4NQjSrAWK23EaPfYSD1+bUYSBSNMjQY6bLRgB0RX3YPz8hhxCFMIwAqRdKDZm6aLkOccx e+weJNOz8ohNU42Qc7RA6IXViQrwH6JXQR4wUOFGQS60vKxZVlEp9cJpNd+o9g2AjCko5UG8y31R mps67k+8j+vXOcppg4xQ03i8O1/8MYauuYsjtRyMquC8jbjNcShcQ1rE0di2wEFKEmLkwqPoFqIa EU483EGGhyZ/zReVE8T3DCDklPVr6F/uepWP6nfYP1NLKKBvsrnNaLIzhfm9mFeGxDwwXU9PL2zO PD9p2/bIvBqpeO02wwk/C4gSUVuJjHveZM+uZvvALTDGLBaOF86lDuXqF4yHyiTuX6lh1hCma+wO RcmB+DetLw05groxbM6+iIPWbOpJxa3wCWgZ+S+qls+Pg73nA23f+4cuN2MZWAQf1WtVVD/qxTyW Xq/52jtsrIVumPklVytcuhn33n+POZBRRhDCmgJUPyRjBICRs1XvJhpmKAtRXhI5LZZb/+D862/f CKxoXgl63qO1/2xsvb8OFfI4DsV0iuxsM/8w6/cm/dRyW6Y1caw0mlNIR/MSdSd8k458G89ungUb JLNH+TEFVprm0r3cSkJqnVrnl140Fok/KqVhLLy+28EjJePm4q1WjlI6GrAZTHL6pqyZ27Je2FQh 4H2E4BjwYndK+KbyP/hkbt1Q2Z/lTCD+LmpnOYVROzImtZTPG9dGnzQ2h9MtQE2AvsHcjOAgDcck sBYF0vUYYWVl9x56YT+MKoAXqoHHZ297dMNpKncyXDGgYuyMDCBsirvp6mz0m/LH2dedIdjIBpKv U4CB9YPM970t+3WTvbBYUIKF6ax3by3l8dutl5EnvJAHkJA6QI2fuFbqFvHT/ReCHPfV8MgWH6mH 40q7rTHkBVwpefOXzDfhEypMd2RJkMsP6j3kSNramvkVcpoHe+I1hio++W3tCiahZMvpWehrkebu w24BEbMuYGM8GLEcxSrkHHc/YDeWUGMbxPgsgQUIUnnZ+VAXjC+YKHWBmBRlhlL4gmUPo8/T/wYK zUnf6lZg+3FFV/Lpm8uif7HrL09dN+9Fjpphx9TTOHsEM3vFRhcSyh1WRvJ0+3pQ+46OdeZUsUC/ YghhwVqvCYqwdc9KjFOvPSoQBS0aKKn4lyCXz0vJbClI5EouA1EjoOpg6KqfCYBSvM177v97WZ6i o9AbUpsz4Z95fIr1CXUkhm0/e9cjUhycqYO/MXwITBuBEMkRrpIXb6AAQkvU6ww0jgAyoO1cKFn6 ciSnauaIleWtGBEb1LX9KBDhNWxz6E0Lyr1opifun2xK8cyOeP6MApHm3OBoLSZGdU8kNUJKwSJN 2rN36cjElmUr8OGausm6TBShLlLZijs2BsuAqdvO7bUZiT2y86bBStdjvjST6Wh9GFnEze1DDr4b B73NiCZFQ+DGiY3jI4lyoVICe9cCvAuulmswmC9J53K7rw+BqpVCxJ2FARtijC4/DGxPF2TUodiB VxutiQVsikw+mKBAz4yvVfUfmFdV8aBdXkTABMvIMfjp2h5tTjAqayTYVGpv7xgNTHsbfArVu48N ojuPfnIuoXdWlnF5kkzluwOgNOVXAZf3qjQRj3DzGsbVjAHjwi4PutTw8SCOgAcvWFl2G6On96jX SbJLCezaErwClRb7/U8TGikkluYzWafIKngpgVBIiq2ZBeDVIxbyuhtm6llOTwO5xslWONIzOWLn OlmhwIqwXEDETI/WRbcZJBc19UpPw9cumpgRcCg7cyGagrJbe9p1oJAxMvmY5IXtEf+lfuS+weH5 b17n1/0nrgH7JfRGgWkW1Kz2kzZOcAwONzuyMba6FHFfC2v3Ztf3aaIuqGHk88qNRBFaDTFTpR/r Vde3PJaHJv8c4xPb8U1Kf4i1+0+qj+IE2WexHykyS1e+Lzugvhigukd++hPUvpEXLiFVKFCwsCFy Bzf5B952STZPxR7x/lqa2SKs8aJn4NGcDBebN/N7yGtpyYuF/bYFZFWRNkkJrP552vJGcSBBatE4 1BXz+fviNs2L9cMM7uUssSsTB3uSQZgfwNWI24KAcJv6P3UxFgELKLYgHA2txwGGGFBNA3JBdIVb 3MpT3BiIr9X8Mh7J33loHLCgaV9WGMsIX6h+T474+1R1yi2ej01RkC56jxEQWBXt+5RYyyd6kZYp MTDyC2xzrFMxZHU+BgVKGs4kYHQzX4mqPY/gOt0jjVfWyQzWZGLZtzOpcr3qv1dPegTqgd3rcznq V122AqW+miGei5k6ygik8GriLKENEEWIyB3mXFyqvolyG1/Vr4Gf1zqMHTLaGQ0TBFdgdNvv3U9M 21ydNYfiAqpYMvzb0vdQwXSlHQO/qj+0gkWrYv5KS5J8rLMmy9lKARfssL/V3n0ZDLN0t4WQRXGJ PchmaLvjfNN0NytPiyjB+S18rR06+99TQCFNuTGidLAmUitMggsHOheNH7krKuQII44UxHhPUoIW gl98o6eFDy/p0LcbfnK+k5wbLcgw8uwy4aR25Jsp/sqnbK7hXlX14eWkGQDXQJk2NkPaPn5oBPcD 9ZwIXFSAQoDMzyLYOb7NLGyL5KEE3Mb0OPkrN/bauPWrlkwdArBdG8CJORUxIikVWE2N+1d14kQj D+xjiLc9CK4iihnjZ9Y+U4Wx4zn43NUzs9r+32FSA8cb1lbWWGh/eqMmgZDADtvPZzyDA83FfvEX Kak2t6PAUoXJr/g+SRdR6If8c0dHirvHaRz1O3cwdB00P/9oDrE7XnzrDB8T8vodLgY7eTlXZn/E SCJuDHQavY6OJGbQRyH+zT3RMzjJgtD57obKnFwIMLOloSVXx+rxXEWzxJW5cRhjcROKV6Ulyj+X yCt+BywHDK/u0E9HTvbP1gmBEfxQefq5EYsu3rg3irTuo7bna+yPyN4tRq8P7dfbobt97UnQjVTN bbUWrSqomEZUd094v1gAB0FQwQTVcPYYgrhr5ykQDDDNELOdseDHE3fWzpdMmSFOb5eun9ps8syL 6oML0vDtltE30Q2d+6SGZ2ps2g2dJ20KcBziiXYIhCrQlUR9tDIdigNr4W8K6GzR1F1XmkbEoPwL //y3FSOyA7jndtKAn5736/WxtY7wpy7JpW5C0BR222wfVR2lmzUbRFKhHJEdJkCuhArWStrG+TRJ mJIgqeTAkRWXSndJrqRCXnjO7+wB7YOLVW0GT5y5we5o0VJQusXzK4PhM1z0lMT3N+tc5U5DH9hV wM3/9cHDkiQDy4rnklD1/wE9ig02RfsVEeIkloczAQT7TYo0plHq8gjl6q3gMqA0SQyHHVSAqYjy fHyyncyuw++fUIsIryBOrVe+1SAHCyRsKuJiE9NvadnLWLxnwjor6+0skNxn1Ka4PnZCxr0JIC1M 5ALTk3NSWIwrCd5IuvdSfWjUSybLHTLeEacHLtRCNK9tF+n7ydUr8+KtJxKU5krEVUNSxjhw7Zq3 wIv/fCRIYDNetJ73LV65OwtAp/mR2vnvzJ0wrxAuQi/oYtGuTypbluVD3QH541VM3GlFJuUpDmEO m3YY5aPU8ZzRyLKu/rLFsXcCfuiAkBiyyenwWB6Qd2o/aB5zkWOzbx2tz3SyxfJzm9cK6XuzFfg5 3yXrGgSWuvwAcuBDfjOY66cZLJmNfeAEDx+QEw1PnmYnKBUWsb61s9a032aXG/lm0IYbNeb7WwFq Yy72FgsHl0v3eHtNSuJoKVMnS1PMsg7P1jNZL9s/T+0NN3Deh8+evL3yZzP7r6C9nUQpMv0geEul pT67CfBSjCAdcpCGPBBFahcdclbEc1fL3tVI+/ZzfZr/VPvq7KlwYTwvpmK9GQxpSd9KCkcu+D3p 48KEJw4uod3b8xKErQmjeTQkVs26RnCQF0E0H3mouYMaWMUstueYIbscnMhXFrK1U+5xMyWhzfzH M5b0IVNsa2u0cT94hZyfaShqxPtPW0Ej6qq21FWtc3MrUp5BO/bCRfFScfRlDj5PvAI0kwZiKW1Q /q5DOi7YT74zQvADSsmcZvsh/8SW/jUeQXPFjAjyfxx6iFELReZII0se3BLNJBMTxzQGNgyO+MiF RHBa/KPhBi+HaEByZbkQg7ZAeUBuXpiximMUs+7itKZkZgBSaJXVecRzCZTQLbIBLkn+QgdCAK0U /bt2MJKodqSVx8hFgh9BLe2vIEcZLOOwMyaoC6OeBlfUPEbeCoeHAM7K1fIELspCvCkDtzYd/m3Q lFA7WG9gQZOeb+IVEOVF1DN3zJjGNCtqKNE3QgMPeofJoC7GVPqbcet+IRzBjuxITzk694m0zd0A /WonWjKqb+41cWTvZ0X/XZCwvccQqaQISUzikZ7MIoBoKZMwU3SZrW3yaBHtTvcMYeuY76lQTRe6 vAOSlagL5H0b5uagg7C1k1w9cLzO+cjWAdfYlBZclHbS/DkMZdRD5253tqziZU0xu1cRpSGyBKA+ xf6bKS1OA81X1JSk3mffxm5A9NWcTb3lHnrB53r7KNLqGSa7XNcuNDvZD35HoGnWJSWT1bX/5EFS BHS9HUOsPspIJxAMvqOy0983vZhBl1BGYxmCtVC5Zp6xkKcpDu3Ea+JqNlqjdb9f36ja0Uo1Zkuo qBTWNQd9iuuKIvDJBx81ifsDtgb8EWeZg3ABJwzW0mESKOMjWp/YRjqjlL7MonBlDen8sECCBBiA MFDzcSrIPmrPsljgwoCE2GuUd7wdk9LJqBVigvk2jciMwJK6EHfFEKVUBdFDLu0KzXxGeynfP5vM 7urmSfU+ROYnYlXf3Eeb65+PtRtkc7UQ6CGI8Cu8TqVEm9oElA96x/pRiz33zk+4mrco41qLUshK cDLWkyU3KLACoz2HdHUKdNEu0BG2vNqIppCnjv1x6S94dimUzaD4slZx/ZfiSMyMSkPKu3W9fnXq A9VELybBWshuHwZXIdKA4iRoUynbOuGXS7aOfneHlhEM5fm/T3FnPqwjI9Dd6trSKcc/axdY4v9H W+oy6qwI/PQoZDb0cWDQPSDuFB1KMNCTOKPgOu6oEAJPjVw1YeEu9mdsnriZpKiN8Kl+nPkruxKo xsMet5fBtF81z4Rf1BDJcO9ZErUrV4O1wG+c2O6nq27S5yLbdkk0Z970nCpQ6sE6xWPZX1k/bJ4k HG8Z4IANTMLnGJcGva22i2IIopYAtRuG//b2x8jm6OZ4sfhDpKO7zHxwC12/na2DfFQpBTCIgwun YXS+zHyr+njVy7bN5yiQJj4cFGJKelc7fKe8yOMbWCPN6OjUERxB5TeJRrU5rEOp1fu3YkBbhp4Q N0eBQOY1I2R+DIhyHWkxdDuG3gR7LtPeL65MC959P0kTg/H8za2vBY7+y8qivrWpBeA4odYXf7KY c7tPg+9DtSOT23ueJFv9g6woSIhFtiAJHyOO1wlIvHIhKFYk+ueX9/mrZxnIjUje9Zi0/FXrzKbO AbpP8qEBUhhKZYfD9zm/Qp4cf/GpJKfwZ4pWnZn8D/U79gB+cjF4NDlb8q+GAiAi4UX0ueGiM+t8 Wl2XGZr6n1KHTud1CFNKZAkddzXFcepOBiJGqG085TKODPze9QM7Cl0uH1Rfk/ExS4n4PgGcLiYN 1qrRQT4YyovMaII1occPHqrlJRw2EmbJvU7OG9oaqp0z0ryjH2n7TuSXmTg//vFZIAQzTTBBMzzg hbBzacw7ZSdVzYO2MdCQ11Ea8uVpe78WuQ1vk/hOVTWBR58oA5YZAD4QkPk0UojE49+b/l0nAzo8 wqrkfgBjF8KAZNWW92oCC3cVnPcaBDaRd9WJyat9eCvrG/IYnQf+MlwjoTANit7xWhp6TsNTBjdB BWwAFCFvQZPiKgywkpu4R+uzyORfzGOrmx3iVym1G8WPDr3cWsJaOxtwNuAkDOA0VctMEjGAOD5f oLdCxw3G1J8ZupMmGSeXTswE9nabzfHbJwr3ZqAGTuMBDvFEBwjcRG4Yaxgn6hrLZ3GPQOA+7pOq RWz18H+4Ir5uC6KldywAaunDHL91ZGu/A/Cu+YcHiDXAkfWGCl4o2kMZxtTicOpkGo8ok1dW7sYp K22XYB5uCDOuOkQfoy4F1gMggyvb5a9e+g+HdB6Qwi11OCBVd0UcguqcQijc361T2oV0IutJJbK6 uRCWgyxuFiYTFbnLvSlM/d9X7P8mnZiI1mKkjjbe5gfGWquHNxpBM0LCc6tgFvkuenmihRlbyLSe WVEON6JGw+7SB1NUDA6IV7TABLLZ9BS5evSEIXPPo3LzHqCw8XMgikOurrR/UKz+SPEMAeWjBSWe IQg31Ncdb9ftaQGv3M3EkomjkB7Mh6yVU3JT48Kr6xpGi1E2WwYh//4RGWZ4Gq0p9U5q8/t3xMWQ PjjQXQXccJrSYqoEN9j7crjz1oVMvVLK93gQQqzsJ55i0tXp5PmXVn1/HYUb/+z0bto39V47qL3x 0f6pyrS3aXW3YWWGoH0clRquBQfS+fA+bovh6GW8OhTahLCvj/oCMEutNW0xRG0Q0vHEhdz79jpz QoxHqfHwy91DDDd32fID4NmrvUKDCBx1LkgU8MCbgniBmkfJ81y1zWKR5CKX8+3qaRzI+bCXoN97 u+ISxa77eYwos6L8YelQoHO2MA0l+X11z0dtnqiqffkmBYyond8O1jPDIMdrDA9a2/7kn3if4ie9 53u5kljdixjy2UL4sUhBhr03OlnzWsuSDB9+2ZI3EC9pkw0buvNgWTBM1pVN9k0gZha7CjQdWOnq F+N1pK0RXk8e/9CkyNfWAqjpUc8Y0fKJHcSdqUfnkhC02X6zQr2oGFtCUFJhdPxX8zZ7EZX+9ZLO Iqu4BgGgaIY+SQGtf41S9c4/rOgjEPeSAh3IkcVwgm4Inkp7n0Sagt7wQlh+r/gsP8hDUKSeZcTb GNCUtKSQ+WmmAmWdr0lbrWqz9JhoqRqw4Uu69BptjijmmaQLe0lsWnvpRJ3ZvCYa8qCTAMa6vzxO 3LerAEt+J8lBUIcHjO3UJHPsQd2PJjoZHlRXXL+OWk/JCebMmeqAGs32ePBEmVQ/GTc42z9NHEEv UJoM8Y7NESMHQIrTqeoDPSy6mhaMXzxDoi2KTSmENR8SBjSthZ4dd2FZwNDfCoY3Oo/FRs3trHjB SSxrQGfhb7RvZU4LmtCODmaKCclN+ZCad9p1wmllNzG8CQMoCWqCT5ZlfJQIcRZbo6bK8CjoFgRW ayLzbUwYNJFUuDsYdb3d66sy1CjtCOszPlomaqFCXhRYRH0hU8buLh78cOU2tX2p1TwHbSUXtHl8 CCApSJlXHcBw0b6TFpGUZ7i/GxptAOm6Y5y2afsWN0Tye6Vf+kFYl30nNxne8I5bCgl+84fd5oco tvU72kcS/MHVQrTuqaIuPl9yN3C1k6v+5NHZBHTXZoWDWWbpiWvAf3YcMba+4S2GicDBnfyLX+xF c4R8shC66DAT8HNbUtlWvO5+k60IAy8mp/5vfpGg7o9RZz2aXd/74OvVnN2DxpDRVwqO4ZLJh73A kMiT54OW6nNuY0zzP8mlovSn3g9r0vPji3NXi1MMsVUNTu+8omf8iArG4HW76pJz1IWRtRS9n3ro AtkwE33oaspg64BL+uf4rcWnE6NaF6b8rs+CKAr77ooffn5u0o/QfzDHBp0rKH7j3yDmIM+lH8QH 2JV13/Nl6SmUMBfXRaK/8e47GpjCmX0132udlx/C4WmdZZVKBIEr1fuacOfaelpNpCIF+deKt0d1 OGgvNO2JXyXKFIZXjNlFyPJOyW4aYwJWAhU1PewEhw3ilFEwO3LeLOH0oJTfZW+nKjZWGyzshvkS 2EsMh33zP2yMbjHaR5XM6B4MP6LS4mUWrCqvy5PSIWLirGSs9nDR9+KMyHqBkdsOXpXL+smREX+v 79u91ji1BPTAlX93MLCZeIuv/z6LN5YvVdA+wahUaXZRRgAp5qgynbhLIoZ0Ihwx5V2nQ75aOa5l Vriw1GmHf3RiCui7erxsyMMBEKzKALv9tB8H6LVCNtSx0bk+kx5jtwWvMJyGHuW62rBA6lRjgM7c ZMCfAXndNjllVtDMnDuTvRfrxN8rGg9p5aJwdp9wvp+jWfhN+uQ/U+c27GWcQ5yGqm7m5w4zAafh ohTGqMzlVYGgxoDgXQUMIFN9wwGTIIqm09gEWQp1urn9L/xUktHnB0avXYk8SBbw/eO9/4cg/WKu b1mV2IcUyxwR1horULtMMc7DFotaqsmvj0BHRAGcGkDIp06vYQKGnlfywCuHv/QXvQk/cm7c61Wh CLKNvzqmy2uJDFZz7C1Jqeb0tjFrJ5+pZtcRSQ8vaTq/MbDtiHxFxnwbaaGcSIh7jPvp7BdOc7Ya lQ/s9yDjf9+A//qZQR28k6uo2vsc6laPIK7VgQCnSlc1gWMw8JuLRLZAMet/Ogk27c7ZuL5VJG0m xwg5lPa9aqKXN1DZCNfwLeR7MAtJKzSc/A/dJFtwf5NQGIHFBsGHXMqmi3HvR33bhuU/8fuLnRN/ RPK7Bxz5dT76dn1LX3h3CsQjr4cdpXmCahWQtPaPZkBRq2a6Xmnm/OBkhRxd+7h8d1VF3Gyezid7 Knp7izkQaUvUdmD55opsN2+NrM/TS3lCn4vLEplhfA25r/3PbZ3so7JH2TJAU4Zfl9TlvNteg2YC nU2C/kbBeyKYe6h2yqPQOknl6SukYGnqrGVr9AvuL3EX3bBgSdmgV1QQJoyRcvXLevqOg2q2V6s6 AcL3Jb0oX0AiiUvDas5MIUffz+E9xanoZkSVJEAZYzOWgx+XpDE5PLRs9F1sxhq6PidWvDmuqX89 iBEblhtWsmfPHy4ad6hXyp4KDf4baVRlfCUI/Bs4oQ0ygtg8FTpu9ak7lTRtvOUuiAS2ccdmdHh3 qrhjZaJPVvGUZyv9QjqU91SNPyVnvmd45JXqYjgRWXMH8K3FngwjkSAW+Ctu8DZM4Fh/qI0aBVqi 6+blDBwO2PrLIdlyGhDgST1RtY9VJwEvK7pBf/Y7k3uUhqL4AVivO8UYNlGIfsFaMPhLsEd44y7Z gz8ZokrfPD+qHx8HMk5d6ac5Nmc5r/N7hDLub6XLO7EgVd4UQrCjy8EQTjQT2A+271a+e8xcWIER d0F8FNQaNBKqhLS3jW06e57gVWlPRFjiBXKPrTX+EjfDGes2Fyhgh65VpUx6uzmaf0XwkLn76LTB 8y0Em91FEuOVJq3BJafrnckH+ljKLMg2D1YsoxohbZjAGCMOzRit9J/PASaX2daLvsV4QKvR8HuX 8g8/H4tTdY62dzjfNumaYInk7CcgNQEU7gKn6zC+9Go9wabacxMTY8TScmlCLpn0a9LvOuS1JW3U x75tUTT2cFg4p4tg5yEwuK2jtJT/3MPx2SjUgNW5a0z6SabwXX9FNiSnP1ou0dN2t/2TwclDUpZC L79J952v6MuLvzzE8UedovTGtXYA2WxrGFVo+0IuteYD09k0QHx8KZt4isLgJbsjRMsJXNKgMeok gnixNXN2RYh4YFVnVpf8VJixH+/BISEey10q1AE20524KZOQT4MYYQUBck3PJvqGEXsn8hYQO34x k2Sz0R/gZNfuQpnVv8IPeV69Zb2gvQ/MN2gqb6XYuB7zuTRbkRNx8+LqjHIwskWfSAvhr5N1fzoG bOBGEeeaSG0x2sfR7cYKHZ8PDtR1v7y7Iseh/YMMqrGgv1hm8TqdCZFKucziVaPedpL7SythqqTO vvutkG97bEXnmWWFMi3L8XvlXIDqgu6x6SVlnQU1tCZ0jE9o/CRSX3C1V24RGTDu5pbtG+HcCtPJ yljmJvXc9uYGdzSNusBU/P+uJ3DbeRpTRd+BcBCFVf9/j3naaAqjDPUdkQ/DEn65vTz9y8YuqrbT dEQkqI/yXIk+RBwSE9X7OhgzIXGQAmOEXtayBXTEWZG+iCVipRpMKHfbobvYx+Rh40hAcKqNfIJD sKB7ZpXKI9kvanDsQbw5Qn/DcoQavfNWGtz5wJNPeZdLg+2jXtkHZ987ubPxww0GepYhIPXmbI6o EYSQW0kma5kHtP1s4PVVDyMHOLWe61YOUeaZNGzqa/M2Qe9EdhuourC5bC0QifgF/vmrBTU/tAge 3PZrnswD8U9gWe5GI5I+bwqPVg54p8AY7TijqdhmkCjN4nH5cB7sNBqPZJ/e3am6dXFjYAUbA1Zz 2r6LkVm6wF4jMYQRbjbcqSWr77cMFAbY9FPqkggToBCEUSXgT/hSayWVIANyInRyjdLz5z4msGqU i3NNB4KazL7bSNoDdQ+Qn1Sh/koVEewq0rLoH8LmQKDXoaHfMfjPrOStSKodxsr+BAlN3exw5qIM SincTMLaEt5N7WRrhXYx1J5xiSAPbo2QImxxALoqvlMcDUd2fzOHLFPmMyeOSqRIZSNBtNkBY1cZ j56yAtnjleqI/5ZfevYsVchvp9FdpIm3JVNtLjgTn+6ah5XDMIb11Fx6yY8TizDK5EfrnUwba2nu sVCly6LY8yTYAFelusQompx5D7gn4OSt16VmYi1yBkSAT6r00YJG1akbHOw4vz8k/2XmiQ+Q9Cok if8VU4+g/Ehf7jp/PErZknnD9q/WURvsw3BAy0JoK8bCtk93qJ6K7lTigpxN1HrKDkx4TxgvHRUD 0atWSTOQwA1YeT6Ho9uzA/rfjLznnHAC7s5hBYCK4NGEGTmm3NfL6WbstLJHaVmuZjveqY2WDmGQ 4223/bPcVgawdslxDuTEMLMSQxG5Y723yy9rScrLC+WVp/feNBZtoOK74dBLT5wxTP6EoqQY2fqi 7Qtg7SFVyw2+IEbwagZYSvKfPV0GLmSQlSR6r0Mni+T1EVhiuboWHIjJQdv3379AqPgqhCUHiLU1 TJ6t8WcH1In2y5K1L6QXiNSvMn9uXHMxa3909Q66FkNPwi6Xwp4WtDBarr5WrS60FmI8t5+pKMbP 4JH175NdnLH7cYhuaX5ANEcv+NqNL5RiFTVbjcNJ5/TN8OEXzgilKw6bbo7GkBELPZWl+MacjHOc K5ifyWEdNwvyo1qRH/wdieI1djhPscfHgTewivflm3YcVFSNqZOzFYYNpcpCQCQybrFoB4Wnpg/Q mDuJRO5Gxg7vkd1UCauOXXG/SvRbsdGmLFm+rWUZ3inhM3xXgbTArz8IKdZEtZo4qkiv0+ucvU2u WtfXwkyF9oiLnyE8P3kUnMfuw0ld8Fna+xYOEts3HAqu5hx2sRmUP4dQyF7LtBagmz1orM7RhWQp 69Eu+ULoE+F3zvCapo5vO1E42Jh2gvxg0OGl2l2CObJxHtV15N0p8u5VF/1ipsJQaymLBdzEaTMd /HWm3HJo5yP/B54YaL8iGqtkrW2iLz4eXDtcRitkGauNXp3bGYMuQkpO/j0r336RTsGWnzLUqPdf TUGtAusrTv1z9RhptYLAScTdmaWPxOkEeRgZZxfVr0y8d+xsXSwG80x+Gr82qHczR3i5UoKN6IED XP9vKiJK/6GACjRcxqkYKehdXf+dSueGPJHimDMnfYAu5NTVxYKlbRdzNNLWMvfUiuRLEd2on48t o63ij4EETrjs4MzslpyGNyOmsCK0aG2qUtkRUuXDKCl/E983gpDRQ0v54dPt4zdmJeMZqzhUVtEq wnpAZX7YYw+Do3a2o/EIbGyt2G7lrOCMPui+pP6TgQ3S+dMolh4MMtcndZANl3x3XR5akPILrWqh IrSAh/qoSwfd5YsGpnkHGF1pypQjOdSzJA/b2SAdAlpjbnfSlj0DCSk5thNH4iqpVikMNvGfNI0T fts0rTpihSznkxRK5dJXrnGd0JRoaShISqBoh79915kjc1qopukIcLRPA4zggo7reqPM2HdPW8z6 XKDQwWWMXnZIocQpbxAjWOJ98mKlIejypcfwH00kXFcro0ccjXOf4uoTXS/9AHUNSJJ6Bs0Hg7l7 ZeOdjRZQN9c4DtcxPyNtn2F+9ob5vz8dv8anvv7rOeM0j8LCPKqlN60BPuVZvKac7QTK6egbItjv UuZYLFK0aH1p017MAzRj5yP6VcVqWy5S5eTuo9qgaPMmJYSJnBgsZyWTjr4bNPw4+pJ2q+pUSkux AA6A33w/3658+RIXjCtkfDbAbLoCSZAGbX1DwJFTMnV+61fAmR38P+2W5DGMSNh+rbp6inxutbaq oP8vTwUDY8A3GXfp6lJCspE7ndD57IiDw8X9qXcS8K3J8fLbvaShiv+ZUL1mYyRj7IuvlmHn1qyc tF5veKEm6FdAZaNlFJKpRp5ZxjEmZNHnQZcW7jSQNv05p08R8IkEWgRklpwT70lBtBJ6gODcRu7Q S85TQo5ZDQ9Trp8IQLaSnG/zXxDYdKSJDNSqt5VNBE/h9dSFy5JEUFPdWj+JJGmy7umo4jFPtMJd dsIoGf3837p1SxD2i1uk+WbIt47uC2cG1QS3Xr3tPuJKUnlo0ybIopdKPCWEMrYMTpRYd8qinyqJ nlmPPUuo+txizEkqemoGszY6cLwOfB1V/6lea1is5Agid+HEmUQ+vkH0EthNm2HvbGqqX86ce9SW SbphVsJ7uFtcmqTenOtWTN3eiXALj4/i70Yi7ogkonRJBvQc67/6ID+OlpT+dNoG6fA+sTOC87Jb mmHytNv7wQYhbsdWGtjPF/cOdfPFIdCjESpJMTUsseSLp1AFPnfDHJYB65gkkfe1N9cZeA+0t7jn QUZB+vlzF8mh5CaaA292kcRFjt3GaRrsUdoi7jJ37bJqdGYAQdoYo8BpRzZdA2TlCD42xwJ3aMuX RCS43kCJxsazi1NMc2Ead9skkHcQv/bjkIxqws5i/kj7OIw9rA6rDpKJasZ2pzU9cYcjLMlpCtHX 7Fqr22Nvoy/8I74f1pmF3CfxSa1YlO6c3puXu5YCiuRvTZhKiVLrW4XWVh+5WU0DKt35PgUaVoEQ jc3SgqdrJxtNQMIueYIcoCLOmxEzTx95uG/MeMj2Rq3O0p79VL9i/nRQTy78ByFo3hKUVBY0YHfz tJNX6xoHQkNM2N1oYdOSSpV9wIkDHMHbsZ/j/6NcLPR7Mf4TiaJupzxyApnPwjyavSA7jIQ0ZGYd MzcZJsi1lBPnXry5C2cHvBKSMrK9HoT05fPKcbMV0nGHx/LaZd+0WHoYfeIEe05wImB/5edgjFAY vzlNbO/AO+2/iF9tM4k4IhnO6hOzPvxy8b2Ar/SqhacDBB54LDgnkdSqAeKQi2U7IYyy5IcZR7/2 dYiq2itQ7NWucVZAa/RTgH1hozvsAhX/SccoMAZHjPuEWNDpKCz4RbCPnkhNLhUYyy7e0/gFDk1q AO8fy8oXMd033FBg3n6yc0AhFZadQ4tsuIvMz3TCfvDds5otOEUt/lWrXUGIjatFswz/jWtqcPKT HknXMd7pyTpmDWBXH7RHG9enmYlKEWEO+YeiyRC8Ftl++FdTGrsKoYgx02TbP1Sx3+ziaUNWObF0 jwYnlKoyrJd42bRPyVHE5L+sbRz0jSgUpkg4Tzxetp2odRQOlFizkfVZC4q+tEMzmeA2CWqKWOac 6cUkLPaK95CTbwsTOawvNF2fkL31etrazYO2984jCSLakXBqrkOwaD1rV+B1De3B/2ztC24TaCLc WIiGdUrIxiG7vx9uvYuxYVhJUoPvMBkwCPNc8TzhOsAQdRJ2DG9L5JCGFWi5MtjV+hzVew36dgP7 Y78+JKySymMJDLL/8aU7nT+BzzXm55+XoEDvBHEAGq1dpZt7Ovoo9LCixleQp3dmTfZmtnw6VWMf QvL6XWhNihsoDsfJ2tCXxKrS8yv2p4pWc1MKyDpWkE06arjE6zJgJAlV7ByPfgaWyMcUJo4Z5zas VJ3B3nOwhN8QixOR2Ue2CnqvtnLsjympVfXM24qDebzOY9Jd8xSHJV5FhFcvPG/Iz6tzB1lJ4op5 v4D/mglYBaXi+AM1hQrcfopTA94vszw9wJ9ZYYgEOcvebL4bJ0Am9srhJCxuKzYqDneN0Hdl8Xeu 5bPPnhU0WymJePDapjoZe/SbIKApjyp22bhvFtlITvkMT86YPc1izm4GBuwTfavSmNz7e1cOB1dL EJb4FJQrZ61G18A4JRhb6mKpD1tMjAA58cgbXFvDxJMGTyfoYNt2+ktPbfAJcMn+i384rAEPnhdQ y7GrN9twK7qng/wTJBpvD+KDfn8Bda7hhpODkbPjA8gYx5WwsZtqlXUgMJ82zvUAVRc1sd2QtKTX 7DFbLFNX2OxKunJ8vbJesvVWGYwhSTaPBmz2N6S8vrkRfnk0WRg94qoKxqg0DPA7abBUcTKpap0E GEL/plbyKNbN04vNZ3i5U0/3mqGfQhK+uRc2jNBTMAvPtd7e4O4qctiP9m8FwLE5iIeqNwXtxq9m Xcdv2DCkC+Ddy6mDmGaVnVTtVLqh/qvq5ppUBsYteI7VGT7nTFAcrOqZ/fOVmS9IJLBtZVAVso4c R7VQeJydJayQVtBM2QQksYsojQcNym5BU9wjrzmlMxB0Rz1x6cBMCM11ax42fB4CBUdMhmsl3pQg g7adbiYzbCHjfDJpmIkOJxuBMRKC87x9gWUoQELezzoOh1r5WTmiLhQe76jcMz6GnIX8LwA0oHcN Uew96McHZNJahfp0YzYS5hmmMZV2pXSxebdoTf6H2r2UT9xEoQXtCRVHTLo9L4ZpTubaWUa84B7M XsRt0MY3lQg7m8pUFFdDluRAoz2iB2ufGe02DpxkGyjPQw92W2147QFEcCfI00b89/htRaVD9Skv 1j+z8zaVJUgbb95e5uHSnAWaJknaBENa4TVDiNz+nViYKHswB346LyhQ2I2xyUjSU1wmEj+7+xaf NOpxVT5ap6Vdt9S7TPxsKQID16OkNntxXWT3WaesCx/3L3yo0FBOofyxCyZo/U2Hc7OYBazI2Fz6 Osr0Od3F7qxVt5J55C3TUhovVDD1TZMSIFzQcLCch8xkq6tAWY1KlZnuSUrHY8jYZ2n7aekZ62Wy S/yvGdXr6VyoFjepI46UNEbXlmKYpGufIqCCspKg03u1+8jT/n1OemdsP/aThg7WjuO+EOj6Pfwu oGgca7CGBkFJG0jcb1pXQhZFTNBzCae9CXhbzrtkXb2zQ9FKytijqUsleuxsXItc0K5bbDPIVVGz eU91QcYSw+4eLAoQOtkMhLuv/ZBLOSjWVk9sF2+WViWlkUsesq+Gc4Gbi/r9j/eXT7AVMIutFOYA zJQAgdg9a5IlVw+/UbE9XzM4RpUL7abU9asgT24XnrjEjE36sPB4+NaVtvtXamxGaIjytQ/Zcums wNS/jwb/R/ODBjQZC9NQdx5aLYGokdAYgsUwrznpjbYCaFtmF5WjLN1fLm0hv1P3djadm9m3XrlT xhSnsF9sbbDxykeM9J93kmFjK3H28BstoSjo+FYCGmHXEkgpBnQa5XxdzdOtDIwJNnSlnFGsAGe7 EcGDo9wrQAI1O/aaEnQwKjeU0JCf8+qi9ySnZ2Ab8PM5bQF67Ijm64++nnzyxJZSUmC32mHPJlE+ XtUlPWT/0GrRhJakRbKKn2/MgzzjMiO3UjRyx7+T/zDVmmsfr95rFSf6iE7UrATIGZlJ8Qp8IugL i83dI4zf3hdZzgzZ1cPkPiIrPv9C0aSYa6ERPN5EKsbXAsvnXpSoh44HNXjbHOI4QY7QmB/jbe0c mxeDqZrBHMecm6Sd8p2/3VK1VFrnIYtMCs657AdfnOC+Tgy7OjKvnXCyMFOFuobdpyL2i99WB6CH qxsnWXt3fGZm4cCsywtQAaPaElt5TIrplvyPcZjVC5F2z515SJP9zov01puY6X+1sphxhk36NGtg 0y2Nd08TKWrc3INx8BoXKSEMgifXl6EzOEqiwfHFVi/2jd6x85z+gSPZ8pJRt9iCPnkV1Yg04giV PuYgN80DprqUdG3/OfcPUzKUfp0IefU+1HuNaEKtpoESHdmq/YJUuELfIcRic6WsfZTfDlXEZHrX nfsGNiNhQLNXzEUOv4QpK5FNtnK1iEjzuZ32q2Ettlpm9nsdUfPvGhfcDcsfvyjT2dgRSmOLBycU xzRZQC3Fp+X8erp5n6a9SCfoCqsjEBghRrXsMQV2kg6RD2PQCI8n4M0dzElcnXFuAyROc1eY66ej Sm7JOgaXsFQXY0k/EkOe3cXe8xfe1tTnKuqAy5crmmlyHLBkjamNNTARArjhQ7o9kgEwzXC3wJoT VVOhzv5ghjNoVsFs9nzOnoUhl06ux4O0B8FVo2Q93wa+IYRo+s1mnSsZ5e6vp5Us2KNbibUQ9qFm G4S/GlkHbp7+KKshUBlBLiEjdbI7vb7RO0GfmNqWSKS7/Nd6du2cFSaA0M1QXujT9H525cxm27oJ zBbCkcDYmORCqNBkNOVbKmHgwo5ctaoFK2CqLHCa27bG/E+zlqG79yhjWauMP8h8j2sbkz49hMeZ /7/PZLcFx6i3Ey553pbf71k0N5olf28TaI+fLAt8imW+9yjFD/YJjxrOCkLOfK4m0GZ2sSvGMOrL i5Q+UE5T6vbN+w07xJgj03P5V/SBmTzPiXIpPeVpTvp1gqddWhPVp16YcZeJ3uL8vY14jdIF6UOf tnU9V8HP8xI6INA0YEU2aX2UhFF9Pnlj10APxyGK3ChC2piaTwURmvOg2BYezteaUQqYTfkz4MCl 5s6z1raKpMwtyh9bbFglSE2p82NlgWLM3LYZXf+DsnVqDIO21vg06vamUy9Hp1Nrcd+eoqzJ4NzI aPtPOYetfzwqD/28fjuZT75dPcpx+JdaCIE4SQBjaa0i+Wm47J6dmiJLu+PWC8YVGwuOoIO2AXT9 LfO1XmQbfMkWQUYsz4WmjLC56a5LX7s9EE/X1YJs181i6vS7czH0opaitpn5POqsmZrECQu7e/xZ cudM+73bLz7YOBhnz6ELE+t8d3pOyMK7U8Kkw41bNwsZ2fO6xPry/GV16wupaw0OU8Owof8PMu69 P/tMyk4yjWXiXYzSxZv6nNgaCr3+0jAkpoEFnoi5UD1oGFU1X3t9wSTIZ6Iy/hP7VdwwEX22DKET pxZtXG5f4zXyhxPS8VNE9g0kFJEW1xKPTjnz5oTfzYC4z1Sjjd97FhrEku6WkLOsLgkushfcjhCY k6J4jQGAuaVLnBw7ZrLOQKCqU9ArY7mBycaY1F/PZ7PwE+pQzKKnIJ164uG0DGb7RjPtJkRul5V6 jmteRARYBCmi6z6vXNmlok32ez8xitlWAYnRK6k2mRyHxp0E923MFOHx5fE3eF9cNRPiorsjgrLG uq7QGniTQMt4FQhQyMbzE5LVULL/XwGcHCPpeVJ8+Fv/1ZyH1sC4lFfdfCsvu3Aus35HbJUHJQCQ TQqnNFlbSYjyGDuOoI/m6jwcb4N2Ld4jz5fmYM6iy5wdwXttFfdHvuZPZVK5WHOj+x2Yfc0FUTkG Jh0d1NWVkVACoZrtyoSVIdkQikVAOtliz+cQAUAQSjYr652rV3h9qDFKy5SIr9a9NV+NwBTEC7Xb Z8yWGEcAJIcf9vrf8l/MPjOxacoVUTXkizKbfcZp18BG3TwTEl31gkhdC3PU+c4AdkNZJxjykSLN eaX9WufjVsY5cIUF7QPYAYnnemuBm3i+uJzQ+FeA4n3GUK+nE4O4eQui9luetoqkRQU03D6bESk2 uLPciHp6C8fV/m8OJu0Ndc0p3oA9AuxBtfWHvjQSZZJvCpCGJbiafZGu0V1aT6A4FEZ11AMbOKVP gSN66Lg4GMdkIq/eGGgKSL0BmsyQVyxcNPbh3fswJ3QFE45Int6Au3JT990dJ/ppyJPsTve6pCyf 2qus1bAZBWi1AJYCDH6aekyWgtG3dEeY/p75Eax/g3O3BkUgufomggpZjgyiRJ467GBi0dGLWdOK no/4NvCySL92wKJRnW80MF4g58zNwi1urzPrXBT/X6on9hWLBBvp91+nNb0f0aXAkKtZYdE8forw T2BDm7OEKCUVhYg1riDyQMxeCH8UteOIWcFNuRXEKkhIaRivm5MkWuIVjp8RASJsTlPLPU4y0miK MU8XY80b8xKcir/ft77yzN9CsQA9bfY1dK7jmtLzXpgY5BL5QRId6gPt4CUY44/FzTijhyozdqUl XI5fu+iJCnA8MJQDhMp13zHTG63cb8mdyLeV60T3doKiAgo6pUfYR/t0rDNGgBz2OaSpXFYLv0Ei 3+bddXXQN9dsqiCM0hA+0UzJWUYEbvotdKBzYRciPxSh8mRrEeqwpBihFOEfReLPJsWvUsS0ZaRB p3FAm65jTAdrckJv4DaidJ9CWxXejpxbsXatAWGBhHTzH/XnW5UVh1s10Z8xYZ0useppHkVpFfZM xanGOLeAeULE5wgYrbueONP218qwRDN1/j7A4eUxfDf5IK0BJMFSPEy2BKssQTqdksCjCIp1O1Yn nOvxIof+gQLZS0V8QaUOLXj/4h1W9GBLFSxnFaBmX8aJNMkyNfDc5mUpCrW/qU5XIRIv7hUAfIc3 Ou1qsWk/aqBuzj3tIXn8PKvTw14ip6aTLRlXKC2/M+RVodItsPYQCjfy71lcBFipRy8PhVOQ/zbB VheFKtZFCwDbDe23atPizE+sB9IvQzM8lACEdCwqU5enl5f2vARGfEq611KOYb9s/mlr4I2uiymp 7692D+aQ9Ys2aWn4rxHwdhGPAielSfUd5FNwZtJDLInzoOWhhXRvU6p//PZDo3Pma5WqvJR9lYIN bRRIRtwup0uwYcb20Rf1VFx5nPdezcT2eH4cxmLI9xk3Mjr/4S0yfAzUOeTlETDt4YWVn58oAD1d Ez7y8/M0pYfG6AXCELHsofl/scLC5GqHfS18eQx1VmhSOBJAVOyXkHtbvEPaUcb6CJbCvdO3PZe9 h5J0m0wUs+mf8FP1BCK/9YGh20ACAiZsi+316MT8Bv383p1VAJMBRNKEpCpLTWC4Skrf1O5qiGiu 3PmSyDUEFhIqq3DywqlYFQ0d6OwkOiOaWYVcPKICbPNjJixJNRdjnc9CgrZf5jjirukVcV+dPbdp Mhx/u8mvWqhq6CIB/t6TYXSZAXrtnyYCh7VAkxRu4sTzLnMPUiC0JzkotRtoMiCZWDTKHbCRncpr 9xrYwMRBS8JwN69tpOldNWatqDfIydBysjbWEZiI74UBNwUSw00pfbBaPKqcxx7+LX38RumV68hI mXQXcMdOQbndCdXmfYdP10hd5H4mDqz7NmvgDF6wax598p965VryTCm6ITkV2jycpdrU6ZCybl0W BKw3GU6pvSDaD8xuOnJKUhHUSIQXj8M5FkY87e/BJBl2T9/dHutQLnObFw9haCcn69gIYt5bBaVX SSIcQXB/flTkyWRsBwrc4qYwo+pmWEKWYnqMz++bVoVyeACwgsRBCBba0zwfngl7sL9/yXsXbxwS Omb/6jamTI6W8JBl8gR+wvPs58wDbQM05KCDftBfnZZbJqnzatB5VRoGgQRsW7ecuBHsa09RJDBQ VA01lr7pJQiUongFeOHXbj8JCzHxXG2IYKG1+VuhjMwW0LeTnpoEvCrpz0W4zCnY/kmTnLczS+Qa KQn39gFNjHHP6tKdBLSLQECTYwCLiuj09PGtrIGQB+hgIwxR6eLbu6GMtJn8n+k9pusvkfl7Llpp m2iQTOxKAgYnNfHbtWqQOxoA8XPx/beuFk08kRSQZsYUVygRUBGsuYaUQFBuNx+nROU7uqrxeKIj HQ6Oz5yn2IrwMBla2TOV4xr5TnCxxeQ7zR653JOE6y8S0n9REyxaVyweXN61YOw2YhiG5yKJFeU9 utOLiUERNfE7k2BMxQ+9KnjtTflTZkG7DHs1C38UZ06cwM19gCwJforS9cFMJ9Pn93YPu63Punfz tsMFRWHeiWIdMw6xBVvZCAIWcrH/OuoMgXTjzKKsLFe+dnQO1HUJajbsmWlfJd+MllaU+jdOyjfF 09J9Dky3ymbZ0fE9ngkYnhN9S0b8s2iI9DZpKqD71C6SdzTQh7AmuHLHgJPDUe/J5QSK/fW8E4e8 duUFA47jXiX2nyTVcCQldpjDvYdNcOQKbRwwV5LIzLmf3/nrd4TZ1i12LxdHrqRkLCvJXnJKujbM WIVNC1NNhmrv2ucBJWGfq1NrkpdPSMXQe1MI/alCOi7uEFFd8OmzCU+Q4xARaPxEsbjDixI4nrWF sYj4rMvyocHqOUFtrUX5daFYPDPRciPgl8JUDlBqA7M8ES/vKCmqDyi2KtLecMRE5N22h/Z+C8V5 RC0OTm94TkDqp3dk53PFH238gKCaVojaSjoYzecmHtWA3JL5XbnDkOoT70d+LiL/U9IT4T6huJrH luOipIyNhtsPSgWJg3Wr8zLQL1NRCeIv+rWNaci3+EXc9hZQ8q6hfS7OOFuFp8AuLNS7XQGKrZu4 mDlGO5kENRgtF2/pDVCNVqDXxsBjN04Gan610CcoXscdx+1IoIu8ZH7U+FiI59ny/JrPoE0B59x2 8SGzfcqo6T2LucgTz7OcAI+29cAS9JP9LTDhY4HhZmurlz4PnSR46WgDc46pEPNlihv95YCky9R+ yyEdfMY5ksFV+gQ05O66II/oVpHrAPH7Vd2jyfd4nRrf9xk2oyuhSdnC3GXibjce2Qhgs2raIMfT sQa9HpTLAALGOtvjUWUkUKT+PI+pm8is4KZ94xtt0cVAcyrI66dcYHS821OQUFkJW7Lmjtln0yeq NoeS8ZpohY/AxUgQ+SENkS8EsvtBrqpZVUlK3pHzBS+OZfU5ZL11I0vNXvrl83zgMD3GoX+pNLX4 tUwQLlwiCroBfv1CGyzKNDLfIaa8OTC76a+IQ+wlaX/jmyBiUq6KYnADKUZrIBchFr4etg+rbp1w 7ufo6mrcBwtBZCzM7sylK+9GhPQky8TO1PXXu11heHuaVfU3gvOCb1zX99A8vmnqLiCV69XCRd0b ikPO6K1ay9Es1Gx2H1LgPk56853VJkFsLB2G0ZCDb+Nm+NOCXyNHenPEKM2q9ZhYqkAUgomIsP7p lgdzjx3JVJcWw5qyF49/wNbdCRkx6uZFNB7IY9fvN1aMMpma3aF64zN8T0Y/mnNmHE2EZY51PgnL un6XLEpoy5yodJZE6q8kHS5m+7ECfYmt4Aqfp2FuLmuBYS1eyB4/7S1HqwrxajrwZuEOQLY9mqcn OcSHbDrkcfe7uA+kfEyN/cnhMyahF3apsajc7oHIyQXnZsR4K72sKlkgN2XgUTBW4g/YoRwYBskJ K+yLeV17Bk/ZvYU+GmuvydOkO+PSug8VTHgziRC2vtZxtMSkyxGx3SEorOgkSSMP/ljhjRlZFWal SXAQ085tsCI/W7f+sK8A7qtjUQru3hVr4Snw/cZsnw5NxVZLzyxidx2YJvu4KFQISWfPu8KKCxo1 dZzMo+Efy3szyQ+m3mED/ktQlS1JZg6U0V/Fu9dQLY9F7UPYSeTml2nFfg6J3XqvGfJU0oK8c5NL wdlbbedGsj3qtQffgEVmp5dquAfO/PGnT0TAMpwfxatTY6LrG5A9ZO7M+iI8qpYD73Ui1o/a2SqA cplZzOJ3hMC2l3jDmHfm3AGJEhyRje3qWQ6bBFhCgvzy6IF+qFyIp0dzHFCwqyWYU1p2iEz6W9jt GXsqbgIqn143bCAKgG5Fmj8fs5lJtjqD5IDXEVylTgRXD0iQDV5FgYKkznr/pAvsZZZqk4/FFyqM NXrNfd3z1H1sBrOMclNN452DK1P8CBQ85f7E1Wn4nr68WvvPs+7KSxcrV5mRzcv/4897eyCGM4x0 Kr8Ho9BGjkfb2pFlxN5vJrgAXR82dQlz9bcSiW+iSB68ecMQWhQdrJQZxpfe30JlVVPUDeSFTge3 6ggrGKqEKsXBFSGF/vrKzk/zKC57Vth8gzweM1g3BAro3xlUv7k5We4AsMvbDqaPSwaR559SneY2 x3O9h2yq7zn0SaZmQ8y1jFq/SSgduuCxPHzy3HWX2xNfssMn9G9omZA4Xcu9L6TPr6o/51t3Wk1j l4KfT1r6YCTQ/nCsj7KQ+IZ0s14la3YsAAIqt9Q0ApPa3ncqD/O7TpFzDY0/G6NOIaQSwCcNXuaq o3majaz3iBrJIw+msJ3u1RSNC1F+66tgEWZCsiwwEijQdA57IUbDLcXW40IF19NYFFhCnnU5vf3G M1LVggfJQBSE4VBE0mp/4J5n5oc3jBBHFWTVxwQyujNcOC7VSb077ElM9MhXzk7sLgjvTIL7maQW tqh92YHeO+3UdDRtHc6V2durmo0bjcyTK0wtaFJH8dORtRz+ZCqzdMiTGkzbu53hjaSy9UFhFGCj fgR0+ZjQDsn94FpkdHfMrvcwKVgQMCyDcBOxoYMROjYuOm7cxGVgyoUh2Mngz+F++Ei055uMPwfo wPs8owncthsZBksiiwDTaSzsqH2NY0W5hhsAkpd4F1DGMwgAuj64mJNoLVVKTb3jhslth05WvBjj f8Ffyse40HhBzhoHkHqGUSBI4/3YhdF4975m5MVHtYIYdGaN5o9iO6GhiyPhl+ZUPrGuprWw8reJ UCg1b9Q1pUw55/cZsrY8U8yTK3Q4RZZyvZeNEnx0plAnZGbJZQQWuqn5kI9mgOrSiXSFHsOqJ5vD FUjgCrvmdS8+BXgTQLx4VJLdrJ2T/ONLR/M6e31d8mD6EMIx526zXTpvdvuIVE24ImmTBD/Yntw8 nhfuZeqTVbml/yuoq5Rd3SOEQkzg4IoQ+pxuoZh16DulvB2805ucw7TVF36RqpJfP6mjOxoEyOEO 3j5m4lZdBdhfhCkU6fVYbTXljVNv7eKBDVFp8wDeN4zrzv9dkfRwSzYoP5Bgubinh/Yqk6nkkLz6 6A4SZJ6/r4+r+KKeb+1qwhupKzUZOw7C7l9PbHo6MWHeFbxp3KywM4XNI7NKS5AnwqwPE4a75lnP PYF5u+PTSazQYln/fa/lKeRybdBrfA8YFAqVC2Y93xAZ20iCRAIG9m0nBrIKGMlbdw5AcKBcrhBB TcqvLD5zVBcC2NsofMFT1MP5AhNsKIsl23uofwd8fpu+ZsKkC3sAAXAJHdsgdQ4b9PkRQoD1hIBH YTB0Tu0Cy/OQVWAGJj+l9nVruvltvGeSkrcFVE6njvzjM7LxCZRvH6wPV0iwwU69oFKVp/II3hyj 1F/aOrEsEtz3wu/emluN5ci7Fwo32rStF4LpdKrqvx4ojxyoLPlW7EGs6mjxYZz276s/NAul4HHI J86/z5KSPLwmMPOl9FUtEigpp5llm3Ip9jpXA4cuxK8DALh+9o3bsN99YT9IF7zP/NVh/OKkgZ7U W+ZWgJdn76YgPMQuI8tQPz+ai4/GhlnIkHGGFGQf3MqdtR5FQ0qELr2QJdnTHSOTwy47R7W9d6Wq 4xY/pgXwjjSm1X0fyVgvNycEK3Qh3LPVdfFKbxZGoQm1YGuirk/cMzKsKuHMiwRIm2Y2ywXpj8t4 7CmPlci6gEDmLOuxNk0D/kBlgoi5I91PHQ4p3RdrGmnGHWo1m5LwNXKp9aXw1r3t3wwhltjnO++Y dzQjUkL7iETj0iFeYrMNOsZ1dupy14fWGlIeqljS+Lb3ePPYDVMMPmkFQhKI0D1AD8/U/Vvn60c/ T+QaEmmWhmY//H8N1agaxecCjosQjlaQcFMOnARfQhGatrvG/D5ZQpkDWdCFmF/29cANN4CdTVAy z2WyKCwSG6yx1PjvdHWw3zbih0j0V4nv8ZwatZidl3Nctv0zwk0J+/m54SVuiVjD+hVjEg0rJbBy ywJ3at3wFoIygioU4eRwRQs3sRWBEPssRs5iYAnncmAnmerZpUn6Vnq25Kf+oxSJ+0gJq81gSY2g +1Fwh3w3+JHCkicLqIlAa3MKCBRb/tfpUTMyPRQaN62W36TW1dARQq5wb+AT91opl3Zv4J0yrPTf 5QcbEbYdtzar4v1/+FAJTpepPG1VUz7iLRPM7nUF706g2mdaHSPPDDNKR7ap6vqB8kuEey0dtOfz LnbH7aUJtq25gU6gJjQXQ8wwXrzcG37KWoyR9u2F9l9TMVsSebYNFTbZqmv+brjCE1sPlXzWlm5N B8ra6ESMurdW12u/JIOf/DAFhpy4YrTWiCkYXjHfmhKKCAe3aaU/rRKNfUC/cbumIUL6gBd13McG ZvN+DU4xIotVPC33HB0/h9NqVxzNptEYLLYL+kapKhef8v3sO8ZzbsTo1qIz1qQMgC1zWBLATItF HXuvE3S2J4ie5ddtxih9UnpXXOmrDJzJ6cG3Ld3pkTs4mcTvuo9+GAwHhhMUFRMl8U/xuH1KrL0m 9CEHttQjHLa1I0hKbpEUuUyT1vzRSF909UNmVl/sQ1QQBSaI7xMGBQFq5yn25AppNBvMsHdq5dyM BXfSlFyyxjn45bEmPwOk6jTQ3mIGXPM1WXggCsgYkdgyFfiJmRoM3gANVfOOBkgrSmUM85SDk6bW 0JDzaQxCM6JBdrb+FD/4LvbKxF9D/SJct31IG+A4SoVdu484gUbFu043E/0Y/q3srtoGcrEkHF0X EFptNn+jpJRIhcglRYNOrZM4Luz73JapFElDy+2EfMOQm3R6Z5YCsK4zKUPg/38N4LND4BGrgox9 LLCXB7dYL/Gz4dNM/L1vlqo2VV51B1Mp55sOEqPyH0MXYsecjelRgxqLZfTHCDVwXK+APS3sv6gr 2fzhsokMiIn6sd885BtEX6bfY92v6ifMUHr4AyYqxXRtk8HYaPSsE/pAKbmZGgjsF3znCS4+pSEw WrDisfXwh7CEskYQej6pOF9/Yr78lCk7jL7lC1CLYi1WYmRqRk9LNGakAxq2zOuPUFVO/iEoA4Lo FR6PBfVV0j3xrtWoiDxHYC3S4Z6PqeYq/TBzg5cRa2W41ZXqlcVe1bcVDJ7dzaK6oM6yz877EZDJ xiH2I1hVTAl1By2jyKNAdEH71VxgPKyD9dksYVFi/EC7T6C015POXWziXROl4FQ0So+YD+0R4kqC A8lKYGdOEuzYd+Ps62jOza9ErNWZqJZAW0C8uru1OWv3ahUYEGtxd1bypftD5332YX3ix8GPowQe bFmRugMKr7svo2AW68B6UMz7oYwMs6Kl1izFMM2CfJqvDNWB1ZGkrEe1hRgH0HtIQNhVRKueSo2l hSLzQiBspRtWzF6Nj1VwsX2vK9eA2Qe2Elf4ujCXTh44ZAMY020Jv2hHXmCX1Fge/bjp+C8bMvpm TpvLxX8cKlGPsdxDJP7spcwC6PcgvkMbxG0/iYPb004aQ9dDLa8ncjsJ5CwtD8E5De08OXQxtpgm UnaiS7lbOmM18a7IPIMyE0fFQcY/8paJZVXoR9c3ymtG5l+L00Rz2qfRvu0EnVBFk1TJln86JSMl 0Lzvfmez/J0zsZi3YawSr7X2cdIIDEalOVtQIae4YYLcjHOSfHnPNGZQ+am0l2KQql0LVyrRVCvc 0ktYgzkSV5c2AsAyW6wMrqOyx+aCvtPBn2GsPl6RZWAOeaKkP24bVsuVull9NygbZLPgJuBIrDnB +IJLRBm+qJSEU8cLoAhOrAp+7DQqeQv88m309/2hQbdZt/3HIVPeKGD7i72jug+cwcDkiFcpuBfF f+K+0tg61Ir495eBptEDpNkdb1eDxbNg0VCmqY4Ae2UbbooBIPcJsJcO64cCP6vET1anygIUI4vP YzER8DcRlxV2v139K+1Jk10nv05NPvdWhtNPuJ+1kCSyFYvYKnPsE951R3RhCZFYtanKQp56SpUM Tg9MyE1Y8wR9n/dbjD+BU/hjdt9mwfasoI8UJ0v8dCwoYPX4oJpzslRHA52DzQaqey22rQbk4nfv UhgH3DX+r5utBSKXqr++Y4dqpUYoOn5ay4kjsgbi9mQatrG879wMrpSsHgiDFDkfi+hINln26NLz oKsvxaoS+ckJea4E5XuumXXKWZzds0/XnazR2wNe/YP7yFN1duRvPOjsO+8Cusxv63dGQuIhKCf4 EwQ5TmVInDPFdaKHaK7DUXwI1bvIkOyxx2jPfdbH5FEPIuPc+p42AxBDSzk71FKpb/Uqhu+sjTZU DaeNHfLCm3qhauLsOwLnYZoqgoMPM4plmZuq0dxM6bkW5lZrpuCbaH9JSspKZl/Aa8yn2VBHI62k Ib/DPZplJ/Gy2P5r6hts9PypnY6etTTpVJTB5MhB7WA5Jt7q4M9njsLBdXX3JBxkPKl7pHbsXv5q TRDnYAeCU7GNvJce8meGcd/0FdecRX9v8fEcvufYeAJ6ay62/bd9DNZrTz+uMozttKUtqUddTA3R mrIpiAgZ7wbiq0oYTDqt2Cop1N/bN2Zw3giNQnIEdI9HatRqsYAxZa4vXqc0g6MdPlyFinUDPi2j rpG2SlQBW5j03NdszOWrI5ftH5WzEtIVIL3F7mc17tHMjdqTd/svG5lgsze2vV2B4w4R734t0Uos OX5B4iDc2JQHDfEIUv42WwF1cP6C4UNWs2cI0F+bt/CC50y4DVTHwQjum0xh25lCi1XSMflYi6F6 6GzqzLuqJKVYRrgBDBwPXBHP2PUq1xxXamhIx3LCUbS9ipuJ1GdKs1WfsWb6purRsawM+gilgmwi w3+nJyeXs2lIAtrhwepIgAY8CyqV0qSl7zrYk0nhOU5tqgs/NttaZ5iSza0OceZthA0VOhWkug9v Q4aVnyF2mH4jfo/lfW2uc32m+VjUodZCQJCiM2g1vw+Uz1x4hqmLGsArwmZqt+Smmu9edaUabJGh hhSTUUbdneR9MOtAXhXxHADF0HDqyiWclZQQWwnk9HEglsnS7fndybE8rYyOQPGPaMV9MRKZxgNk iARjVJIXDm9Nn2TxabYZ5A0DXu5t5hUqKbcJGKrqlUtME5Uzhj7XEEpFcpTERU8HU+6q048gOIZc HvWZhbBzbqPYBrEVAJOiXzO7XN9VxDr/BGXMPYJ042FVccrOa13TIoogLCWAElMUimtk9bzntnET 837Nxhix6SQQ/yBFUniHi8/55xn/Clr3EihOgCKumXRKVCwyS0zRePlk/gFJz5P4ADGHymcNoxm8 ioLf6pRcKPbDxsqHnoaxtOjlpyVnjuYbYqV8RnbgpH2NDdBds2vOnYEXTPLcyEiG6qH5STP0MNJ1 j+vUmH7L1gdwkE88HSfcriIkGXxA0JPSx2tROcWdVbcNwlC108u5nI+3K/dxnUBunBkDsEB/2yo4 QoN0/UIN043sqN7KMtve1pLrHBP86Mnu9hnwKT7BL0ElZsgzkxIV6r5vS9vWhKJJiwpAQvM/Zr0V 6tEBMhzFeV8fnWYpj0BklX6j5dz6Yokt+zMo1p6rBGuLUqAGJwE5pVRqvp6JraXyCBIFkHpzsblp DaCvwogj6f5NpyEZY3t5TvqjIQq3nwLpcf4VlPTM/FPlbEYjfgL7DbR/A8tFfCUAl9V08zhy8ZIk 2rIUgSYWXD4RKsGbXFl/Zl228jo6EHCTX1tFHPpHvkPiEr+rDsyFwPikgzRp37xjymWJ/jlBQ6tr EKnFwpU2ONl5Jpq76dtnmkzFP6hs2iaRtWv8G4QXunPb7xeoLMi5JdjmgW7sRm0ijLI3XKYOvSuJ yILYXJBpqxVDrWKU+emPCX5tSoyOaL+s8OBbTaV9hBtcsnNp+NyZGmn+DgrA68PZ+nQQrAGSUQCC rA+NEyku3QzjCLosOCgxRDWTNwGs6oChiv/exr77UII+NQpt6T8EoMuEyrpZ8djK/e7qKDS49Es5 sRaP5ukkr3jQsjiXpMjq7WmUH1IfyJcyG3rG+7EbJ87q/WiClzGCT01QQjq5ZonET+GHvVwrJaQs wYtKzFhwfQzvkfMc7bJksz/vFpLHv0axFMNu7iOpl4k0qHEv6RKIjRtKDfOFZTGNNh32r9mkwQlP QByci0l75OxaelS4+OQvGuxmp92O5HvpU5dCLwr11CcRcYeV0ZGC6yYywCn+FP0kTC1z+wtzrtQG xgA4b8pqtv5XIEa7kyalV2zu06gUP4v8Jg+8OwadZVqL/voSHdWqDYFgnJt3Z+KiRzuC51ff8GXh FlYLSTBIs1s7zbrlR9ioRleeGdo3/Tej3QkFE9ym1Fx7nFzg6dizTFtfihNHqSYlGlcDghDV6oZc JXMKRNd6PgCtDwWrRcIoxa5+vZx5W/854pMFEx0Y60YiaPUP2U23/Wj0yjNyTqDoLkaFtBfdLdjC Nl1bQ8ASBUsGt5Oxb2hlLF+xJiHhnHcRiIL4nz9a36liGmBjnr+Gy2/v80In8KU/SRJ7kIwe0NB4 w9YS9AaUo6WcCp2Dv1aI131ZarIG++dwNEGudfJqqu3i1lvN+uweVtr2ksjV/fMZBHrvUUhvRxo5 ctdMqvzFPyiQ3JA5cxbjGTJaOLejlOjacuMPSpDGNC1xBhvPJ/QkgsD3uQSWFQVwcWQBUrF2k5Fp w/4a2YMS7nWcvBdvmIZlMO7WWHKONbTrlt1s90USgjxDgmQE04g7luzSkZ3NlkvkxFQHhNrBBzuy Ux0nFn2eQTeYIUv3QwnkjIDsG6DOKkSNF2/BzHWpyJHWO6UDv8/BvfXlMEUXFXaKJjPpuQytr8CP P0krLQrTNPNCRix4VWusyH5gJeNtsh5mHsETKhCwZo/8x/uMEFXmkgcayz9lyMvDml1xs+c9UcnO VVYB3bYxUybtSnKomcM55lT5p+mrOT5HkIHU16bh3daTu8ijVvzys+pVOaPF1Imr5PlcSB44X5St kcvREqYW6IeIMfLGI93bhrgyIFwySk2KIT3rvVGfd8O0MsOksq6xc5xeMUK/tOH9HRiGEs3okA1B dJfL8QTKW/UJ+ZWMMB70qNQYYFl0Q/FcEgZYmZkWoTieTQiuK+txEEQmyW/RZ4NbMpC64AdDzbJf mHlWjQZTICwjkh5MmIb7GKKvfgP56BhZ1IQEIPBsFh0tbn5KuKp7tclPcAGqdgnXQvnXhbib3RLV CNgNMhQQ4c4lyS+qnsKBNhfStzWRUOpTWAYvcTYGTdRJ8hRJede+zu9JouPrcoQ45fhZ0dhTnZEs HNQCA3keMkYnSHf2JsfTgGEROWtB49Xi2pycWLT8XM86/Udip/GAjmJsYeRm4KrLzb46Gp2Zy5wc KrjR3gYAtLG4ZX6YZwK/RJQkDxy4WIZ2tefzEjRn4Vw/HbOYpIg7uf8CYh9QeRMWdMnIwGjbqGc5 z0dy/bd7NZJrL2wbcwKdEKXwdYZf4VU33H7B/kmX5AHubRya7LzIiKjmw64wKqyDDa037f1wIoVk ejy/fWZDt299g/0s7iiMsxy9AS6XlKLQu7da2Y+WHdWdaLEbXpBPIMDj69v9hmG879Pp+MPqgY7N w3U7FbBN58QkG/ut9g4Wl5fi5J1ykrMeanLwqpQSmtPzd+Ys2pzhUl32fWxI2ft0Boa5mc/DcTYA 5m2WS9jJroicJMaQlWbSW220qj732iuBKKq+0YJ3pHEtMz5P1w/w8l9nZgKRc8/YIjW+m5UYkfO8 j1i9GSAHp5WhjXEfFaN386tWcJDZ/Kv2EVxZKRrCn+9ZXsJ/osC/ixEVOeSdFlwlWZoOop06SvZe SBXIwCW3cozbesVUyhyUL3qYHHDq3ms8nSqch7WmjXiZNb7qYhYKEZ5zbVW2mj+fGkXch3VrX9Ro bYXPUfvtCbtNeUd6RXIRGnXh9tDQZvFlBvTgzvn5CG25HDPHs+9qIUqz5u9+xpu7jCFJuFcU9r5j kjoljYJJ4qJUk/y6FSYDuNUYU/259aMyBBhSg4uKT9avX3eOg353zpwHmFZm+Y3sYOWX1HecWzoM lKc57mQN86++jP6jdedJ5n8wwuFSkNTZpS1JhRaq+9kZB3ouYPyLaUlO/ZSGykd2vNtFgj3Nk7yM FzjUVMUSWy+uNtaHvpUkI+FBF1ov11EfObpXxkALmDHRFuK314MluhbnjdJl/Po9WXdjtZaXQbCm kgkxqKkhFfTjUu1fnxLq2ZnZ6FoxwT35e2VZfvoAMU1MQHiyL26A7bPq71gCsphkpCmQjgOqCXyp IE1IakAiJ7CGnKVtIJuK5jkk6FrHr75LAEx9liiX3OvK7LsEiUfy3oMAXxmzM85phwW9w9CeovC/ BuskqXrGynx5kC9+hPJf1TdAE742o8rIE6pGoFrQvngLZg5uKWHsEkVAacKh7GVoU7R7+EXML6ej k+iWvKT98v4pDGWTd90He6KfT2eiiHa1E2GBjDALx0lnc42sYu+5eJDHKmolTxGqPCPntAgCQbUD 1fmHw8P1P4XuVfL3p6xaBJpbPr8A41PJNi/q8rwsxVmsSLVhT3AxanQmsziQyaAg80JYIybmU86l wFOs/tUF/jHGtBTM1Pmx1H/KpposAlom+Xa3i3k7PD75UispsDm/4QA9DkBQKsDzcJWSo46/smuW 0/s3ZqK2rQUqUZd/hNjS2VxOoCiYZCioskWMbgQ+9NcK2BHM9syxKNjwXFeF0nm4s7uZOvOfZfaU 4qNT6//r76dbJ+QbbzLU7Pr4IjM4ijhwoXiB/BkPIm1ih+PSqPbKl3v8EO6/geqI9CG7zgFCAW3s B/EsINfMBHuFqzKMLICqhz5yhZBboNZaN8wP4uWl0XInegQLuci2JUXKWLNYg0S4Wy9Ja1hwFDbY 5xbn008SMOPmsy7MmipSv1jUZ9oKe+9FhfJUJI2cUM4cP1zgMccfZE/zn8fGkq9GZdj1+4+bgfXl xmAjDm9lQl2tDr8bvbeOex/8Ym1kZLH2QY4qNADgAfvbLQ+2pwPhHwN9jNofFp2hePoB6bbtvxN0 BocVEY9ws9kPJVB4xLOHxJfkpaxArcYaQKnlrq4ZMjA9P1vnTWOwYuzsFrtDtxVaYdzVu/hUevHJ oGGabpm2l0dG2pHZsSgIzkjVOvxCeOiVskfNcW+0b+NCBoUDAEXSXI8rhZJuWMJdD+WgudZC9gSQ mXCPGlPRIdDjx71o+XuHh726eLHodzlSbXfYw7xVmNBK2SvMw77ILnjfq4JSXPnAkik3o6vGtv3q P0H5oyI5TIdZpne+1wH/u9QjV9pPVH6oYm214Fi3kZdbIf//Mqiy2aU8aOkQl0ksEkN6Uhl6IhDN NZfO6IjuYgeY6eFtecVJLRmRxJe815LUhYpcmWZoiyNiKZZ0rgndSLFcbnHCIGQju4ZRNdpWZC6t uOWMLAQr1/vryKGCOdVF4JGPra9VXRWix4i9Gy+ebKvb3Y0WF3kOM41qHYJn9t5WcxESJ6MUS/WS ySaZ2Qd6MAj/4DPQU2MREhCZhtAMnCQ0NxhHTKseIltn28rolom9gVPpZim/MM5GpY+7kAIswjHp apDIMX81pyayMlBSXBWv1yGhtq+Wo3KE46SSwSC+NpXlzdHWuGMgJX0eGQSIqx1bDsiH6qHK45/J 5PXiri1k60geBxtf9Pohsb2GJSDeJoTW/zkUmYy0PRqn05XNW1+fROa/EQ/fm0+r5Xuugz7LR8Jz 4xC3aAKVfwIm61onrEgcguvNjxFW0uLNYhKrRpC3K9/qVFuefBlaYCZOkPyzeskjt2k+9gNluj4d Wlaoaew2tLQ95HDV1HDsatZtggDxGvm/93+m+AcxI+6ZYnJdgEscBnohA7monuHKoK2zRQvf7+nN 7KJaceOlpJqM9N1o6W9+KvANXQhXyrqZJaliWJFVfsBqk4tH2WhjdxB7xVGICPNeViUmEr/VfRc3 V3i/rrb1Iy0v/gRavvP11eK2j4yWAzjooEoVt3J1RJNvXD0mQwU0/2LTgw1G5SiRGmejYd6K2y/B N9+u0jebII58c72p2kY9RXmeYSLd+E9VJrOXX0auh+3+ekeUsydaPYJ+nsP/zcEOneK7VkAvpcQp G21PVIG01cQONMlFO0h+nqGtS/6cElLiL5758IKHMDuke414Jl+xVBsEkdpAVfWDg7Xx3HhGQa8c PEjrdImlSmuVFn9DNs+b5SSx85zZ6Bw7zG3EFDXHZdU8Ya+nQLg/9lNw3/a4YAyT+MdAva/nCQ7e otlBjCz+t/bwZrvctoX15uIj2nGIMpY4H6zZI9TH3NnDrVrnsRFu+AOqIuKN7OlB/paOy/BBo9hu me1ENJ5t6ZbQ7llETW4UJ7+dt2toa2tbdc7MpBemLHwhRrBEq5nPy/pYwkPGfEYagQ0w4lVUBDK/ ZPd4o4UjH3BZrvxHAZYrzKEZyZhR4fKf5yOLyB8rY+Q9cP7MfHkPXsS8tqS+Atv5hi5XdFaT6gtf ckZeh0DqeBqW8ENVH6Mj31F84H0VKagaTBDjmtXXl8Z4yrR+PAadQYdM1nmr9a6xFs8Uew+mU47f 9eOtii0GAnK6DzcTHJ3LHspgELWRIM5jhUs6dbiYFVMWJPK/5oLJHXuMo9NjwdYEnb0szeWRkfTQ t4KtkT9DNcuEdHmCqO2Vfdx+MY5uRCHBOFSz4Emo7QUAmr7FFU7ihP/08iAfgegb3a0Rwjp44lXp epq70yJ+7jclQzqDA7Meaf9zL5TL+Um0E17juYUDAptP/j8p1Ugwb2GZyfPqLUmlDr2lADQ5nJh+ i0hpW+OoiDEnlTqsZRdyOSRRsmXHRyh+lZ6yTwRRM3+hJ2FrInHNBik3yvPQa/JeDBf2wPUavcoJ 3Ar3p9W7fZESvMsIehgBJFU6n+iuVMY0vkPY55KCAyYjP6yYxVDUzT6bzTuC3c7EGo+lCnNnvpPL Jtrrvf+oSkfpkpxzpEfG146FtGBF8ZVG0aHCjTkuO6XcslbIEw4Nybd88hnb27hnn+uLrIkj6/an nirpWZvLWPxpuWw/3kQqcEduu7yNelJXhQK3mTMPUbHGR+3WsIuVzFgRQjPJyhrChyepLmF8qcPp kwiHD5LDh1b0y5HaYHJD65MghS+BvD9d1njcpfMmiCB9BmImgbfZavzfmaqcML6hRtiSz4d3q6dm O34wOw10svO3JMypM0hPL/P7YUlVbCl1ilFXX81TXju+GL0iQC76WitvN2AJonXsWyRBi4chbmYI ahLAlmDjs2wyq4KidPA7aDY77iy8RaKO7w9DHXE/JuDj9nN+26Z4jE9+FM/7QOx/M2F6R2wqm6ew vmZNtob9Xg3JmblpNMS15YuvSts0uZpf/0FX73wjbbxgOvsbcPsQGI1xyCj8GUGo1NvCL+xJRC+6 f5bAgRY/qDx/zo8x0lDhJMUfOE+vpTAiOT+bBOemmLXMfap6UNvpYa5pSDo31wMyjc9jx+cPWTV5 DMvPJkIvFYDs31QAjs4qvfcnAn2TCR7s4w9hcdlHoePjcImamUSwQUmmB8eU4QFY+7l0rKifqG1B z5a/Xb/5WrvuG2dPGKrCV6woFB/7ZBGqZTiEmUY4t6MibFwjLu99LMW3XCDjocLzyHOhFbkBalIZ XbS5Br/gXCDDEpiRSn5bmfc+laL1y24+gZbipmRfHQhi+RYnI6fEnj04kqFRTQ9BwXZ9UmbJrM3I 3xY1/Z5w1ZMH7XosSPiI/PErh3ZpQb1ooqy6VGLisaIEvjqK9GQLxTsfGw+J/NzNubB5k+FaawTE rX7tiRVKd89H2RSGNpJW1uLIvv2dKfD5so/LBhMDIpDh1/2kdptxYwQBFVWo71zCYuDrLUbQssox nXUvmuc9nxJN5hs41vvIPlRVNgvfRmZ2n6N29jy7kAHjTHyLgL4zZc5s6brdk69o1KMfg7ERcQqi Q9i+sFa8Bdv8DnuoOs31mNjR1VyH6N/DxJ5eWqlBGX/J12ezBZurHurkpq8xOXvAr0RQXR7TvSoX jLgj+kwRHtYEKcUgWHEbNut2sPxDgJWxa7UyNlykH2X0bLNQZoAk6aDqMivf5fJyaPfE5/JJdihm 5tDJ/orS4buseRXpI2RCsJ4TCXPvgbXmu59rW3ocQnpopVqFapmhtAVg10JDHiitLY9KeJq+b53s 5tDTKiNyMVRged8KVKexOogmcTIeoT4jgG+SahNCjv4ba5drrwMSfQPXaMy1jbu+tZ137RfWwUnc kb4aVZwC5OK91rhfxYQUXsH6rE5/tdjG26/WkllDTM9LUa2TMAOp9OGbLLPzki+cWzb46sRwh+HG JHRsbjp/iw1xvwBWAEnENVIZ6PJVL4ABtp5b0iwNWU1xQcY0dQr/JVYWr8d3bNBaguYV9hUz8CZ2 Ew1lfjKEQZpGWn9yz4QOidur7iBCJrGqwADV6FEn7joPK7ohVErFenYFfNrwzkTaYEy4CflRCLxm KHEEIQkvEtAJY4wBbk8uAJw79S+Ee5zTCeMAnW+peDxZph/5tQyaCYE+zIV1T5OB4vjPpzVbUj9r wXL9HCEAEzazx7DUH4No3hXpg9NxCGd0LhdcFsLV0uUMSjg3aB8KFN+kslcaQF/o2N+zKrksRVXa qIagEWpQT1PjT2teuZVna4fAKki7rSy6hBdVPgA1yXEE5o7d90oqR3d0wM8gQw6oMHfSRYWOvIJd 2+zgL9x8HfRc5u+ilqGXPE7mOiK915fbLSpvb16x4GHzBHGmpaNklTztOYqg3OAH/79+SKuFg3gb /mx2pih086//+BW6tffZ2EYqvTqnxNlA7bZrTxDyQWCbdCRqykOMzmpBgQE97LQ8q9xxNfKV1tsC U7WxhIqAgmkuLf8z1d7oPFWJfTLJbWO/Y/sdUz5ORq0pHa5t2NB6h/GdwRiKm2MOaM8LCJ6eiCqG clFz//XLYqTkm0cOdvof2Qa1f0rWFCbayrRyBi6WJd5L/FTgJz0bRURlfh1oJuUU1Sf1onzokrIn ZZnS9ogKryQFsUjflvT6bzoFcA16idrgT95zzluzJDJkIM2oEnD2pxXR4mGf0++HVnScYlxi4gQj Ftm7GWs/K1Zpq3SOXSm+8Ly/dZ+BQz27RTwVvuO9Jggd/q7gLtU8224lnwvqYV1UK9wzWAScZt9Q ys6E+SgJKy5HxcAuGoxlYLyB94VRP8E1TRpNmJrJE59eQu7DvXQow8TLCULGAN06ZKkw++R/Fd8h htvgUijUQtNsNUGg5XT5hm7RVvmKBmzrFelalFArDtKZ5VDlZXRxUAqr8EZR3Zsip2ElsfHDpLzd 1QrT0w3OnuncAtAUanN9vdZVc+2pW/Z0ScBgJFEn6T55NPJ08RoE+7nq/FOnyzHglrDU8W83IuiI X42tBhJUWAsQFTh6i6KK+7Q8+38K8osIRAcbx5I/PfZJt/WV1fZJvday0UvfEcBVqVYO8elhjo1W bH+kfvBmkFAn3M3IU5y7TpQluckF6IAEjDcgGAZLw16wHnWAD8dd3z641F0C+niR7Cm5OOzJbgf0 HYeygbTnUaxafd2b/ZugA/GWdPPGx/MaT0IZ5poIxUhuGnNpYxWgPVgRoRpLtw3WSMCXxqkBvdK/ CNoqNwPqRx8ElP4VcWEJLU6ze4Bdf7g9VYZ/tIp9qxBt4mIhskYUni9ccdm9HECgd2hRmrkZVyIK mZqWnml8DphxPzQZHcmFvpovlZffNMpYr2ojnTZxme/59+x+W6LufwBrxi6go+EnuxPMt1wpDGbu rTF5tFFkpkJpGJ+U1XUsqFLjX+bv9fMcTrDcMwvbIUbrGuu027nbHC9kI9UiDbDHe1p5JFWXlO4F xVfOSmRNJGDWPZp0CMAVVRoX+q5J+yW9Og7Fq9G3aBSlPJ0yTQkX03hfGpzbeUW63cgj+de1bbuz ZTM9hgwnbpRustQjIYOa+CqtSQiFO8CKt2XhaKJ+6lUACn7quYNIEEKZy6xCkfOsS41OzxX3pNY6 ZE2XzEZZLoqaG0Vkxoc7V9ITbLy+Ue8IW9iNDLL0C+1aMN7mWfEy1VdNE8r0Ko/8ITwMIvG+crM0 KguM13C9N4lGP3B2eH6aLm2MOeIyB/7q2py7HbrsIDDbjvjoO5b/W82xl5Yh+2NS1NBxkTR/wIHc LRfOVchkpgdIrM0J3XjoIYpAfoPsxDeIWdqLrXrvJpkS2UGgX5qrC59JVCYhGlQQ4K5Z6DbRoTJ6 nJrppIfXbJDzNDtM8g5iI6ztDWTwuPDzVEo54A9KpZzW1/FUPYxs+1RzidHkeml1qKPejrEJu02u dCYiOX1azOZ7z1eSbelikgh7mkpeF+emGaRsm8BMejoYKjeHh6vvdQHUp+j0CGc5oWjKlsWebgIX lRoX5/BCZUyN/+SHUYT3NaWLLJPaUE1kiLo2zZzyKwTmAWsKiwIwcah21via3ElnRDshEjG7kQKG IIP8aq8W+rUEqLYF+06JtVAAq4qgZvgqif8Ocx85b+J36iFyju2WG4yDiIBwE8iTekdS6dXE/0yt atElaD4s4TO8IGOkWWhbn4TivNt5zTKRxQSuwnjUCJ1TKCM1iLbnyyupHDrRdsnLgfio/nxUBKhc CpRC6kXahtO2vp3qfTJ0oinE/4kpg8tu7470NS33fmBoXELixdi7fvPxRMZ9GtbJYkhUrHMTvsfg tLebU3TUCADFZ6WTgtLrdWwY77neIczT17s/O1oRyYUq2ilG8PwOuOZRpk33CYE4R40dpQTgilP1 jPqOWtcFZykwIvoYyOZsspquq/Va0EuMJaA9t97d3IU2Rdnvob4hIBpRXJLAZBW00t3W3XN+Tkqh WalWF8nlIlljDcBt14L3rmqcQj+px2Yw8ylZfLU2p980sJUXll7P/VOa1yJ7+3Q/gOq7YN5wsJCF DNrZzbucj8daoaFrpoATcR7F2vUSs28U/aUGpL9CkY0wtiqhomj9ze4eGjAxs/4l/b/siRg+Et5i jV/LoEajIbIct9j/7ZwDSpaEBD2hESxOah6Gvqk4pblRqc7pnmy6//CdpzgJWFsNOn1PGgw0qDaC zhve2Lq3LDsrj0WE50Avg+B0zisH8GIy/G4wtzayXrwLayR3y+FJnrDeb2AcF3bAoO41dzo9y07Q 3Qk/LgHm/guENrmmkYczlrOdZfdDBjYIlSni/PN/kG8fDSWFrKgovPOSEwQnyVtqHj+uAQy7I8M1 Sfk+LJd8ODomWF+mrxjV32H4nqnPUBu5BL3h+l9G4gd2q1PmCMrXnw3+SF+YR2lSU/qPJKEh9624 51I3UmvYWqMzoqbhEPcBv9qfwUWDxl+wm1jwHoteHkneOq2yNJjxsBWHWMagV4grNTKQMvqGClFg if4Lp6SiPh2WQW2Spk2NQABxis646nz2CKMYlHM8UL5pS80eZH1C/VoN1csKTVhsPfmJpRpAZzIV aEYlvTdSxuetQHz5q+bOs5/MRrtA0kIFJAm9SnZxIta718DYXZV7xYmTT4iv1jbEqyADuwBBx+5F K8PTCPBOzrlOYDMghvz8yS/bhtb4NrPyElKgFvlUJHEQ89kEFwyarwoEutj7ggVTFQIC8ztEw8Nt +BsAMSV20CmFJXVftvycDNG9scwtEyy6glWZDGbgj6wYvq8+AwkMnbMCXej++1jHNPaPieGq5FCY 4uKR+jq06mEiA06ccVxhNPCm0OR5j7mpSOYv/2WxrAj9ReosOBXwVvf27vhJWAQx92CAR1W5rSjU gTbFphalq6w8jFMEcEPERLWl5bzSUpN8Z0mcD3q0u1OS4LVVsdbES9bQ5WDySRhmeLQMRHDPIQPW Hj9AmbpBxaVn5imPMfGux7/A8GC5gCg50jT+ejWYE+uccOXxWe81C/ka0iXnU6P5eyDFSfX6Qvrh 1COCHfuK4vOgWt+6ACYNTVh7JIcjEGwavORV72JcEsf4+Hip8z+HHFItqOhfRFKvT8oRAvAW6vcd RsVNibP/StIuJuyItoFuxLk1Sxwhs9ExH1rCgEPudcOGE9a2fAhOe+05uHcTCBXmj4u7PCf6pDPg qzCF5zfwihlkyw8f1BvFmdaQJ/xvakPthIX2wOLBS8aNC7a0mgRk4DfRB2ytCey49dCLHNyH4epb dE4sWsMVthc3H1ogckiI4TmZ4NIAnB4aosTNyQMT2kt+rMgMl7FBg6Y9fwMbCiTCIxYQlUihBGR1 hDF1rn+ts5IFbCh4ORePoW71s0ef+gqkh+krQvWaO2fV3q/DUOurl4+Yea9gLgJ75/+Uwc+E+S1G /TZGTj5kQ8PJx9hFpDps6/7Rf2HOc9cVXQHGCwt9/0L7Vwv0bnbrJB97Rs4BmAzrun1dE/2xON3e llmIKjHHi76dF7n3Y1133luRWJiLAv0ab9d20rVC3PVRYVyRa8imlKOWJiZk9pNf5PR3BrfCqezg tX2eUQzoqVnkb5/hQXMbmVAJ7e6QgxU/pElnJPkqW3jK3qJHR4ZL9MkHFe8G+rXgTWLN+JiwXBSd XB7SSkIkbnYTXRaMMIJ/pIH8dMnfW0flR8adWW8MzoUVoc+o5uxL7jjB4hAIyD3b8IAHWQ+WqVTb vQs+vyGqppuz/ralVyUUqJeEnTqhy9O2ntlyqM60b1yiC5z6i3W1GRrF9zSDWPZa4sV0PfofZ9LG 2VH/g5tNHLFlUX9Qgf6p25SC/gVgwtoJE9GJuK4479DIFXmz/ejDO9UNpSVu/zWoakgS9VpbEGBc uLiu0I3v38GOXmfxt/nschQEd8EUF3K+MjAMhoCdma0is5m7+OsQMLpQ5gj9Zbj0ZK5CP04lvHsq uBW+hD5mnum0q0hQlv+YELkXCdV025HxJKoxhirdUXM5WjiurYA5I2ZR+gO8K0jZP59vxhA1TBTw NxcYJHz/SobQhuHLKr/EaKaUyz6mcS5exkSW8OqDO/3thyBdW6BsL9o0BBqEhmIObju20cGJg3J8 idjdLFS754EslhMmneLKAprKWF72aPO2fhd2FlPjESymt871k8pWZjMarv9lSNKW7FcFRGFVNoOy bvD8/gg11fvz2QKhQLYFVTqfTjTmztJ0v0gdvn1QIf4GTpYS5c3qR8YxEZFw09r95AtK7irERMYz 3cs0FQ3SL9lTYIqc6eV5Q3QC6NqxiBP0Bf8pCOlCkitO+yk+uxGHBX0zlY1wMIiFjjtj1V5kLq60 Kb42+rDpZ4QlL81kmubIKbTCMzUkjgHUu8UKL8TQ61jPnCkjHsI5b6Q3po20j8Q+3Iv1688mDGhh qHOCmxseEP5QhsDsFzJ7sRF+I0pift6mVT1TQKXw81VoSN0LPDjIJafuQKCJLRmT30aCwTMBeTkH Xuo36i+jBz2G/ywtuoSsnuwD/bRhFITNQbmyelkMv72aWyEfcTXjli683WGqyN3XowFr/eLfd78e BT0bru3ywUafZBPB/VTgTro6qoRbDxVjWna/vjY6obhMXnrWDHYArs1klEj297plCiAJHmD8fPYI 5IfcLN/67+h5ONraEBhV44K+eLsekqYKVLzBSxniKIDzC+vZsLitJA9xNH47dq/iT9Bbxt+Md/US 8v9VRMKiUtx6TVGitggaFW1gt0ZLX+oM2l8y43yJsrZzTR/soyjaiM26jk0Z5z38rcq4MOGpuGIQ K4q9dFWAq6fy2A3TW78QFU1fLL3giCC/vvZoZdAG8dCIPwXfQvFqnI2cXiG+2kzC0Op7qTcMtu9V 0F5JYpmboQQli013AF9E3LIAeGsg3dEuEOolfOi9G71Fn03Fx3ampQ8AHg2T0wFvnuOlCnieL/0N 2lVYgDxlFADS4cqkEXpopCi32BjBTQpghr66OgF/EcjHs0cbearyryt7vHjfS2JEOZQ0Xi/DFB4v iaptXOLK4lcbFqarVr0MM3PwPlJ1lR+9cbAT6pqbD34Y2byAdKde1cEYfu3QVl/2Zv9ctWG2Q8uo Q0pi5Xi/jXPGAKROBvEvXpZ/7MBQFCi2an45DNDloehSD5eZYFVW1oQXnq62dQxjN2Zo8ztL5ZVP gfd5dUqUxYXfXPXYhM9cQYIHNHdCnCd28N8cvbB7eMGTeGfa9RTYWUyyt11aD+JKD1zeQ6NS2VTG 8IFbRy4BS2eAcgn0zABSMoTiF5SY8t4ydkOKqR/hKCMHdSr87OxygJqVXq3x3ZT0Pqr7QewaMGzX FIyfZpZgKom8pyfDwWEVVuoVpi1d58l3XP6XnW2Zsm2gJgEbzSMaPzXaqHD8dn0bTKuKznXD5qtl T2Yq+ZEMpCGTnIbdRgN3aj4hNTHmoSklnC6JithtZzBmN8zeImBYeE13LGIZYoIQXYa93tS8amJP onKUrGx6kd+/DAcUM3RAmF8P7wPr1ixjcz1PMH0AdW0LdqMmP27jX8/U56+r0AKufwgFRCUi8+wB EOAiRMwzcuGaAF3v1032/ufcyIiWpJ14Y8LP07WsN5ySabHL8dS9HMujQXaPaQYU9eHOXGrj3Rtx hxVJaW6iQEMR+pc07B3g47oOSIr9LbLU+W+rb1qyIDvoAfjvdXOShBNvizePAT7hMNEdYwLrVFNi 1ugNJcgGuX9mQBrxpfxK+ERl6U8r8k8GY1VJH/jeUfD6A17qweR8yUUje9ibsZGFQl9FOEjxCMzc I7dY5GZKk5+qlRcp+GEAitCtVrS4f2I3SFwzHZK72Y5z0Hv3ydqS6kNj/5yLeGStsOPS2RPOlZKL h5ppb5k51Xksl/xksyYnztPLZmCwwn1iVATFXfdg5D3/a4hvEjhKfaeVgGmVYpkJJtm/UzIjfVHN RhiOQDE2B47UcqiNFUq3JDzb/MhpmWclzu65uVFA9rNAgPYUekHLZ15c7A6LioYeO+zKz5QfCB5e gQHcKuSLcJMwPKIlvBGOZPZlD7aZPzWtM+AbMC8eeHWdzoXJMOoteQdRmBcH+/f+JVFd9I4t7KWL 7cSbs3KUCBEdQd+oyluVmO/OL6M4IBEQMbick8Z1OgBKSkZupKDwjkqEW1waMEqERPhE9AeUgMBA vYvzeH5b7WDy4Dw/LqlLdMpRQWDtwfpUyhhBvMVLh8hxvXpUqt4Uh6McBYxTTyTlKWA8aHGLEjZT FMYKKhe4te51UDulvFLH+J45FiCFl+mYHZuL8990KKxXnyQuOmeELkVKdVVb9ZHnPe4yFuSPeES3 4gLGkE+Y1jh0kVLlDvWcGMvCO60oc0OElLriW1wGxkZ4WTjPhEx2DLV1HVaSrYVdHI7vOLUNGQWe GlD9B3McilhdN+L99ftCaMVDESYWYfXdzKjP05Oyc1eUhFjzgahqoiqc4jSzQcNQ3cw2I4DYISdY lS0o/1hYLhui3hHX775Obzt2xgmGSOryrpG5+ajmwP2E0tO5o31NmrVEVH7CeJB+k3cW7ah04Ds8 PMaLvElqyr7txky978w57xhmiyMy6CZXbznScd1Ceq7aWnfBTjSNDYQ1NHUgu2ISKC6WvYsPO31e obJbsXvecuWZyNR1O1Ud/9F9tC3Im1iyCJoulWGM6tHfojgLWWOgGZ+u/miQB2EF5US3F1U/fk82 b/ZpHlh+9LT4S0VBipb+QZhje9lfZMF4tPtMPaKa2sNQzPDRgp8O3BTLRuI/7g6A0ErMdfRBLnGz 2hYiZFSYAWUREDbp0c7+WeT2rIOiO5k0ZQNErzvB7uUHZWQdjEUIUhb/geZXGu0WlzO2ifW5SPyl +9lgMrX1pkrlLjaMBnajoeo453RgrIky3iJmyb3/5KmPSbB2SbYJNARmqabfhkbN/zuwmaglL5qZ 8vJofiArLbRtdTjL8qe8uIJxxrtWIgD5hsaapxd2K4BLRuQuKnU15m37y2iJVsx/77g1cFl5jb8E tHtSGa0uBi15JUuZ1uKwf5qaxPZtL+A6MuadgLRfJZegA9GJNmELoCriCCet5ReJphqRPVswAs3L RW7cLbCqEhWd5mjdii5ZK7Sb6cjx64ud35w3anxo8tTCn5lNxUDd+VDycqLLxRoauIvar5cHGZZ+ Yg3F0bzUIcCU8y8jX2FqvmEA7utS3LDpJNrfPhhCSgbEjft7IUF0P1ZE83z5AaB5x3XBH8KO75KV IZX7vR3N5kUXWRPqU6GyiAer3rQyVjjWULzOmvgkw6xjq2krr24YrxZM+lwL2JslKs42UyWN8PPn UNrAvG2Y7nWMN/s5vlXuhG0AOKFxOJL2dn0/vHQeqt0kMpO64Ih0aTSFoaRvinLC9Wy9UL+5t/29 RFk44+oyTeKPR92EVhlEck7NRT+4wTd8cf9itwclsABlrYMNSoEp+9XYJ+uORbBHLc4YoSN7ffW2 5z2E7nwdhTK8PfsLJQmOyjIdOWcQAopyZT4HZPBrc+3qmiKETq54ubj7xve7pVIrwuX6AZO4hsO+ m/3vwV3QP82FtnlOYSOBUHAma0Ou998WyeWmrEOvKtoXzOOS/PKXxLsUxKaDMkxxULYfK6BoGvM9 59RwdCJKWbyeq8Oukr3eWJceDxI29/GbpYJYB7nY+3fHkSu+AV7OIU4DyN2kJUK/uQS3VxgfJuxJ WjQL1LhdT1+veT2jTRL/4ffDcjaF8DOjazldgs0NvHIKQopUfRnX9PTaDm2ZU365y62RnTd45vnb /GmSGahlgjeqUhpPVOK2xE5udiPKcCVACk1CiSk1vnbBtoSHkibgwT2fyjrjC/46OYUY83VOvFFH 204luhkyLAFU9ZvGG5hvJafAWBUSkzko8b07Be8h6oL9mdlyz9u9YtsiiNeQ7fRRtjZPsvRk4b3R WdKc1uI64E3DOLd/LAR+hw6QP6yVEcoFYwpZ9TwUbRkx9zqXgcURLFDqf9eNVn+58Mn1T3CgG54x jFyZRHlX6N6zIzL0NNGJ9k+HxEScQbi50M5fXSam7iLc6MFTuahi3DcGWs8vRgD0e8tDU6DMLNQ0 oHg3Ua07m0wjO1XsroB8GjN/Ip98sLxLfNiAM1hX7g6BvgD1ePUEjWhjreWDYxN4bqGRSUYwT8Fj vEObpXGwv7Q3/HvGUYqG7Tlx5Nx6TU9lkoZe+cVbrfIejV9kPKpNRMw4rbjvVYJZfiFfTupfHN2G 92GSImsUaYouf/kojuRDxdBoqQJVbWAyV06L6ZI2xiYLCYoqa0QASxnO8hJVBTaqgHjmOBPzJXN5 qCL/6Ccd8dbdqZCkN9BOaV/tRrYZSB2WodLn8xjBv7MeB7pz7uBEeXSx2yMhKcmP8hnhuMYDNlvs TJfRJ7dy6KHTXtVhref9V+X7hnZi5c27QWOHkTtqLxtkMZshto/U36uexg8RVjkNRqW3IILzZD5e MHz46jNAwXgEv3/5VOI67n8e7OnWgseTzOBt73bkkSfmGEOIhpMrf11h2PYUDJGXWpnVUN8pFGwz 6gd+HIYbxXDv/mE8jd0hWK8MgrSbDixyjgwis3mf6A4/raCCBpex51s0nvIigIafZPIAmb02GpFa biiZZbBKv2MoyGO+8tBf4R1xKl5XLkHixsBOawqy7ay7F7Ir2EebIU44RgSMtq9OhBGR5Esu8GOq MsKxTWpBW552QteOt25CNIhLzrGaKNmWep+Kt8l+JvgW5kIVeBfJKvb+rdcW/fbAiXwM6Mvdhlpa uAGfNX0CIS9Ldtn5FgeFK5uCjdkXGXww3P7xAO16wfqoFn31Ot8nDMCDD/tpIbID+yY1Eq+P1TfU 3Xb+nOzI8yfe7OgW2YprkMdyRUVzbnOdyXqS0UtH9xqhJ2KoIM6Yc988lFstcOUiwYyGUGwEmaW/ 9qWyKcC6yDGRt08Pds+8xI2eiO0i+CfwxY63wbG8czI8lZmGADWwSqsQu7Klv8uAGsjX0ivJWdtN 20v0Is6nhacdo5GrHIvud6IimLLSUtEf6qXq5uEc5cuePzgrFF6Hwq1Zb1PRR83IXchNQIulOrCn D9+hZceRE9tG7UuI+CnUVsqYgBZ7d8ABS+P8nL6V7unW6tyjHiqjlBOSKQNZ4tpK3ARBQv8jHN9R nECHhPtPDSkqAD20XhNth0eB3oU8untuPLSk6dIECAKLgLicfUiUrjVqIOBZynss/jyixYAW9QRg eyX0CDZQSYD2VLdJEkW84iZiRIOWUSiuGrNSbtJtIcGccbWL5xjHG7aWTl7N3OU0vBUJp+Xbr+x/ k79mxqAGhy/dQtFWq/rCqh3uQ709TRq23Aj9G3CDYce/qc4/rOuw9jqlGNzwjFjvZqZncoDHKxFe I4SNPR0A0pMJxrC+62pefU1H+Rria5Wy/AD6Y9l3ulujc4XoH/nmNS6vkmWaiAHb/v2kpKjztjN4 QaxJ/MY5SN3R7MWyTaIW+PdHOVWxOJ1OwZcCY5XIpf8rY80pjKUSlRJZAnSlhDJ3ilnTNOcj4sxt d0G+NSizMtoJyKbyPM7wUMlkaUKYxa5uGyiX+wUeg6SOhh1sagihpMdmIgcMw5iP4qcNErzAf2YV NGxGKx+xVZRdW9M8mFgp82FLeeR0szIFizKUW1jBFu494XEyRYeer17EnHsQd0XkBR3nvgKDPuGR g7SXRP0BX+an2cpKR4+6+RqYNkID9yHz+YmxfTtHOefUxY5h61FuhVB/rhW3mQJ75RHwQQRvaQ8I PIEqTAeRRbnFR+dynhiXIbZzHwjyFQDYWhiUkZL5l/w6ThCu5I7Wf7oZ+jl16EI6FkKA8Jdt6kIx MTDM87C9h8rxHpCLgvAsvI8gIPi+fCVSekOig7b/u+FNJJyy72b6wYuk5/g8g/BsuVSi4pSOq4h9 L44k4a6zXxlTf9ftDMYFIagqE9LzAnAkqM7xwKxxxJqM75IMiTrRw4b7c6hLe5dMpMzoMtzW7Deo IBM0uZwqix9llfSGAh4IScRYj5uVE22W3x+4X19fL3UlMr3dkKGqsjmbEUsAAiC2rvXD2RkIyo14 VUsU+2t079pUAIcPJpuHufNIK7+Gxf47gtdNy6FrnCHEucE48KDc5f3KD8FJmUMxydqQMwAbn3Me K1+rOrm11zp8h76EK8hajcZnvdo4XaPvYuVRUVTTD3Eign91U1NVdAzfGlFgy/1Tsle5HfHSK7aG FTThWPnbmgvK0Cvd3z3+p6xoSDzNURNJ2ZD/Pdr1AQ0kfKFLxBeZfEOjDmapZktBbGzGmFWx6df4 +5GAb2SZz8jlU6jd7lL51btQR+Q69ncvkVz3zUE2Vyjsq/J7AXjVDgG4VbLSdXxU84Dq9DAmNbYa xkqRrKFEyFGf30EB1sjOCkmOX+J9y/HSelM4f18TpS5ZVfIYf7fOdW45VNq+4j/eIxQ40W/lrTWE EZ8GrKBhnBm/Pf64Ns8CR6TlIjNhFdc9NRkx8BzwE68qKiySgD+khUUQ3pfHIO3gQFOMRN4Ndu/L dmBoqmCIj76eIdpIFaghIsvXc8nP9DkvHqZw3CEuJxQEWOlPpEu7Po5pYLxMudZjGR1HuV92BFKB vZ/M2pXubAgekMVfb28s2l+izkVBbQF+U6di5zoAcwYSSXg/1UO1dBX+qMAXyQ30gnkN4bmgm2+Z hENqdGgxFUwnCFGVYcGKZHp16/7rc9jm8+/wHCnjcPpN3/uCEi+dFEC+BZK1OJ6GJHnNtiqnSM+V 89KjhpQm4cj06Hgcl9lJdpSuzD8eklwrEVzAad2jS1lshxa6at852OLusg6JXwQHWuNbgAQkRFxZ BNRFhliq6W8T4VtKcUQjc04g01rBmO1LWQOspb5HFr8/zCAN1Zp2YsF549p57Z34nXpvQIVgQ89S RFl4YlURMw4XHkUOcEXIBeInktJAOgfh7GklCbIjfDP/yiCSzBU0yxVP6KLXPc0gp3M8AUr5h/Xf Aa4MBaTsGu7mS07fCX+pOWQ1I1rATocCgWZeTbamzKq4N2/aE9/AK8KMymHOTUF01Tu0r3gpbKsv 4GLWwvMiWSu3AOhxi98dVTlIMXkN4FqSg6jo1+Q2noCoJ4QsZCu0fp6ltqT3kH9gA97UmkHd8Du5 surHDXIfs2ZKqvu8v3ZyhR5Qz3ksZanJ8N8jbWbqmjYIQQ/kto2pWOEY3/oUO1uvMccFREwHRtAT W6srprJGjUvKgZt43HjlWfTs6Z6MmRdhB8eZtVIyOXiWwv2fj8N1xd4p3kmEofzSUL0nE3YxvTbD 5cfAhG+s4cHgcLv9e4CsjjmMw5MwiJHpXCKX4idUpAN3OaXb9/gzdp8zrV1qPuBl/oq+DzrNcqoi X4SaAJkpnEmvSHHCJJbduhx7Bo8R6yYGZr/rXUe5KWIiykcpln2f0FiZYKCRkv2JKustdvdJGnqC +UTwKjlloa3+/rcxLxEXq21xlLDF5s0u5iDS/xRyjWXMyIvs+iC4fKl7AcAl3z9rAjUQMwG8pCer /5iCk8kh2gJkwmlC76xzsIAjaei3+rx0hfEiLggYGxsRXytTV64BMFZ4jQNmuGzj1HJMOurCzK8e GBSQYjofqTJ+g5DP8vBozKakA6KPVqdApbEtt4lRm5zg1um48XylYrmOC8Q560FPwJhzuyQsxYBo V/zANBzLuQF4WTDebXstKswzfRDgQ5N5OcOMtsbnbFUEFGikURmYKNaNSnwPQN0YV02ObFcKv7SP Lx1hlivoVEUkidIFX1EZaWpAf8Wg4fgIUnkpu+PArJhSZBCqFToEjyx0PRTT/2rWvXTHgq00nwid 3JJ0XoE4L3HnuPXoeAYZNKESfKbYQZ7hgzT9eEJXRXl565fOCe8Ck5+S8yGhjsRF0rNbcz7drkKp 5W/o4BNzlCCgylAgY4IK7322hHW8wak7CVgJrrMGYDAR3Z4Sy7xg9YZfkk5rNn9vd2I7WOgJ26jU jQxSEQM0/Vl527ircmi3SkQDTY9JaXbuouGZascu/ZdgwDq+O2faPgJ366OlUK5fTBNczWrTWWKG sMWDDLuu2ha+mlN5eZBQTCW55ySm+1flnuw4215XDhMJoBPg70kNGlN4Oxch9eUXQmRzxIbzW0j3 hBVxEw0pVCaDvqawhzUM9oR1UhkXQka5zVFZJDJ0jj1RhCcuJXRKp7Nk1ASJAplWsKsuExvNVrl5 qmyivb34JKnpD2glKMvvZEUOTxk3Kcql7LrSBF0iu44YKee7s1dJVjAHVAbD6RHMMb6RQLmPhInu q7MfZEY3dS6I3PZf4D6blsoZ4V6zeci05QQpRpV6GlqEWHiejI6/btQFj8xUypzrrl0JWLCHAF/y AnmD+EpceSwJseWhGYTkNSaXDVXVRso2B6qnn7n9ZR1nKjEUm6ofXtjD85UzK2TmDnGj1NJjBT82 66mtjT0oVtzGK1jTwwUpCKg6GlWkdqRJ5LQiBSfvOf9Ebdn4ohxgPlMHzhl58SMkRelFcCJefr3j EFylZzx5eaWMKW36T+uD1472h1mbeIlkzFr5GRpo4egFwqJLPlEMCwGXSfbaNX2ZLt+TXO6lvm3e RKyXMVs4boC+bCyl7QnaNSyz8Iymo0Lr1HhABoCdisTJXbkjpyfA1mYDuLtis9abrrWs/6sBPyh8 h4gzqs7LcUXtbFXSlwe1XRaVXsy7BhnaIC03zrDmFW6fGQSexkbVnSG2AB0DX5gBUA1MXA448p3C RKVP4GYaWIelvdpKqTpCV3+JgzLCCgtmT5j+hg/ecRNOGqpgA5IolkDq1n/llqq/BTfcjKly2rAj vPfZ31lBSoeYWaGq76s783ovKcCs2BeVV+OC47nG9CvbIf8BVAGJZtGq3PZFy1eQwHM7j6wC527T EIq3+ddAMa4Ez6I8r5oypkzzFswE6UL533NKJSSbUeGQwT2B/pJHkVVz4vEPDzDGM94naiUPkRu7 09vug7Pbnvs3epapzm6GCu9biuKss9n6pjkX8qaqioMJRvKbrCJ580e+JdN1KyI12lQfwQBz6xGS CDI26z4LoiXRGowGeP0lq7XAOxoicGdiRGDJWEZ3vW/ez7A1BYJQA8LhuqmaH88R0AMSs10qOGhu cvp3RXKexmdl4k1tOOTCVKRvtyvEgATH/jd8C+VV+zEOn1Cc7O1XfYm5o7m5q5LnNHUV8yYVTKxk zhmE22IaEZ3GaMAt+INbj4OI8TdYX3MHOH/IC2qMNQBziml+nLm4Qb5A52A+vzKLO/ZdVmoSunFU bQyX7NISYoou8CxqNwxnqSe6JhXuVxUBghCS2HiTmrG6yQjQq9WH2D34Y1UCwRKliEhgT1yfBXnf R5K8tWiqqGQjJWnUGKxb5IAzLz3s7y4ov95ZgHHIsWqe9LlED2yziwhqA/wM99WnBqlZ81vQ0/nG 6Jlgaub4v6wOmwucPg7G45X4/6+9rC9HgdD3RqntGj62HCbJkNG33qvhB2E+7AfijTDLPfr/DSQa JEigZvHwG6DKMMHgB+TLADKrAhqd+3uOrKLSutTkxM++SKRWt/47rZyy+ytcuAFn6S0WcQSSFGjz IVLBQZZix/O1y7MMt1E2Mu3KKcYj0JWs708olwdvErCyqgY1ivvdsghy+OD0t8rEZyv2YSRJH7x2 cABbjSf0FgSYrq/WJ5Zj4ytgceMJzxESWqG2n4iI8IOVBAi22ESrwCtZ56WT4dfpG4lxw6KpdRDy gunSQTqesld/vO7QgufcqZFBJWT0EIRlj5F5hTYKFCuserNhGIw8Qbka8g9DfL4nxjCKk+nFweh3 wyqiHJMrqc2PxJTgNvHkD3mU/fFlXuyQIRmRYFzh6w1q5O5hYwsek/o9O0Cmq2k2FbCtvZ1ghApZ 6m6A+gwq0r/MRwQxkUE0KIpcDA9Q4c2vPm0T4nQkjBbYb32pYBLLoehnPU6eJz3wruM8eQ/65+MA H0824Jk2FqI3gK+da15lqlblLTlASX5wkwi0uy6XwFnwbGGLK/aydsMUZQGwGuQf6dAntmHhPhXm ghiZNIsSq6KX2dQtc47y6yKtEM2xZ5VXRcVn/OmLUE9+AqD+gdGYJwXRfU7gfi4NClR/hnT6SqGO /ir4qswEMKcR6PfqI/b2ZhA4z8o9kvxVHBEK8cJqpb42xc0LGYy4EIzu2SFOEK9sTCbq1Ww0bsZA M3TIf6MGJdaWkBtPd/uIq1YlPhDvzZ5jgbgrelxD3TmFdj9ZGghF/Mz6mKeILAutBmUOG1DbHTNR 83RsOH57Q31dwkIBk6VhfA5eL1oX6Het8tzP5XKQY8Px1BHETBOhKOlv67tahV2DEcQ3EQPUqwPE nYEyhVroccEs/YLb6gC7oOTO7ZC1ckoAIbTLM62+6VvwhBEvuGJVm4EO3Xl+5vRv8pVGqZLwexOr 3N9ipkzhxKF0TCMMdZH6t3hjE6GN2a3Dfa0P9UI14nRgOizVlThhEa9Xv6Ga1lW/TxZg4GmYd8H2 mCX2hdTWmp6BA0shrz93vU63oCvjfCFjPvC3KEBE+NAwxgN02xTJhA0gbiUM3KNJGnWHJlEsr6Do +DWsKlG3+l1WN1TXzQFLOAqSLUq1CksqLQu40bZ4pwXOHuuVVHZ0IJj0APS/mtJhQ8fdrgAJxfQd UFyFfXQlAfn5hkvI2oPQE75AGJDKvXLCmvZ2/oXcWQGqSwCczwoxuINudP89k8/CRhJgztH18H10 iJjmOWw74ZOMgo+eDv6aeQbSgflxD64ZGyp8o9j3QjcVpIehtCw6gZ7ZXZaWjtOTcc59+53U1zBn wfyDQGNXRpqeAPYYDH7WRCXRVYZeOXjDWBJuolg5VNvr10O+KuiSawsW1L83T8MhcP2WaKSdXW/K u+VqG7FIOZI6HdxS2evlY8OY3o+KergFUYVH9IZ1GWAthInZU3tWWEkalkxA+3ky/jhTopeP6XCK VCOFITyliyeQHNZ4SxXuKoAdNuBjoD7Mtxb5M9e8ruVAje58g/RFN5U+1R5rSfi/zZpaNtx7pM6m 4hN/V6DH5rK5JiQJZ+ZQiZcmLVelqlpJ4Y+Gj8kHzVbW6qS/eXBk0wx2EYM56Q/45Jh4oNgcOd0O ii7DRjfOrWGUODzVq0O5F8BQuvC/2efv9sR2hq3N0ChabV5bxiykYNGIZ+c7oUG21YQ8wlYTtoSY dGy/nA/8Pex985IUwGo4ysmoN3+LILUr4bnbXqdFYjwwMHM3rd7cUTOpoj35cGqb613iooHzj+yo d/8E8MxyrzHq8OAL7k00Zt/X3TMHpUrHThnSlJ2BwULir0KQ90SGEcLKcnUx4hAQXsYcBWB/uWNZ ZToJp38RbRgU8xgFqooDfng7JGTaJE6Q+oaTtWJB5c+b1+DApNzPsfOV7XxH/ojdSwN43O0LdbsC i9i+weDfP5JfzrQL8gkIEnEFO/4Ln6JC28/nwqft4hvFDac4ylC8OIJ/xZCmLjRlSfOzRUPWRsll SDQWPhobHIEndasVgtRMOrWcrQC+2b3ePkUx+Au0qeugWQzGgPRP10m8Xa8VxziKqAIEDddmJpDg R1VQv7YNbi5olBLQOgIbTi1LsEQjhTgblNE4O5PfMe5YpfbLTwmRmLaCUplwb+/f/arMIQ5CgZ93 e9wyxSPso89vKpDizn773vIn46UIaadGR7AhWE8nzCX22/0I6jqGrS/NpL2vYqiWUL17w/Q4be3D ght/YpBwsY0c6tgpZOau0FALT62ZYP5vSPIOjwgW/HQVmVwdcLJEPwScBP2b2KRnQN3KwS0rVCTc CW/F065QRmKS4s8FnDVA7Vi14b7QJBkoQI7gKFJ0+09EHBuFU/z6sH81yFzaeCmodJw5ifwvCYY2 bX72kuso+biFtEFZ/GkXH/H31f37IcVod5mrhoj6rzX8MCEoFqB6wCJ9CJGArbKuyMEZjsGrLJro X/8zIB4ODYJJmU9BnMcf32jnDgCOeMnXTY3cJW9MDdchtVZMK29pHLOWTHJWbMWpmdcjyFxgbE32 CIfOTH4udGhJ/IKhtWgHWYneCwwWPteHN7TSdK0xe2Z8rThzce8C9JcKE9LjUv6vf7yymshTIn6S iAM0XCXitDVDBUWomGebJfMps7OSI4+8ZZMHhjFKFx59FDWyhrQf7S5qEjSX9k/nxoqxFCmWGleN RCNQqZTXOqMWqHnlpMWTIQA3AKHFIYn2HE3qi5zonQjsScA4PBQ6gxUiAfabBKgSBwCk95iZy0JH sSh4eHsYoGui4Ds4ELC3PCFeQv0bRXInqBSquFbB/xazBmmoAE20x9iJeYjp/RWqYOElYmQfFCHZ 82z8cxCHPUZUfv2c8XqSYzWwJg/YM2l+BShEY0JfSLph9gTeD3g9bL9JttzHvvp18n7LnpbltkwU oTngS3dk5PftzIOFjdn/1/pTlMRVBmBkWdH4Xw95VSMe+07KUehFg5D0kBEcISeshTP9ChvR7Cp1 9NOv2sSG2FMhbmLuc5vBER5UPQIoKX2aUlyYs494gIe2UH/kJtFYII13iuwHYLDi5cbFJDE1GBiL y6QnCqd/NSQm2UDSR5Y7nV8EXuZwqRxl5NOsjYhsQ1CISJpOvFGaaVw0Onsmp+uylshhY243AAG8 f2YJtHTexeILvE9TwrgDxy5/Ga4n41+vvkdk/RDZFE/gtIcasQtC8PXd/i6BFePYPnpJmrqEa29M aGQ70j30Wl5uRHNSj0NcM3BOCjj9+qvAPjBXLIvcOJjsw5RaeMUFRcXy3HUjttT/ckX9ohNu0aZz lb3SzqZHum+zZUk9juJSyA1VLACijoLQ9K5WevIYisfUJjVS5CRkThLrjXH8/oWrkSlu0BLk/VJF s+l8SuDVi/o5MBLhHqSajdeFjoq9x+UNBQU18lTnMpeCGjLzGm5i0BMnsexi3ep37rA3t5IzPP0U 06aDT1vKM85FPc5k0npXrdi/jKfL0SEutj5Srle2MwkCYobws98eeYs75a91WyemmfiFVA8eDFJc 8Pw+h/RlxgDhWPlfheSZr/GEDgzp/MuVIS2CccJcRq8vBfS+kHlz/kFeYBExb8aF9bEIhZR1tuub tXz9c6Z2JfoOEhxiCW8LjMlIRaMCMtXeBeE/nQXNVJkP4DmhF6w0cySfyjlxQRSZurkoV2b7KZDM QDXbBoq4alcWPacLFBRPYuu7yMXL1SF82c2DWKT3gq5+h4/lx7XZN/d2RkaZ0jEe7j4dLwdFTIgD +XXE25I0LUPjeUe6jVsXH1mWudFqLBtCip8IYMSck12wP5laC3pDeh1vOxd5xNRLNGNXRgvjM/w+ PR1gM/ukXDOrpOyt8UhsgqZks7clbnd42LoA+EAqpdxCGQoOc4xiAtfoffscTLPcjNa3m2FfF5uR sy6HaG2x8mDAWhVvEYCawJ4FF87oIetPpvwMeuutNZCRJ7iNUMybI9aEpIfmc7DiahKTYcn9NbO7 3JC7GYw8pQ65OcUL8Rkf4XxC96BTOUfpLaeM8I4/fSJE0+kGkn75Y79k4uV6juUnmPgQlNnx8ZTE tzJJCEFL+nq6p9GxqTTMCb6LE31vZbMkM+C+0dVVx3iTlu23tMXJdpMpEfPI9GbqAjE63vjErakz 4Jt7YTdDKMxTqgvca1EJdg/t8H7amiC+Jf0ASHRVikhx1S/L8nqszClS7A+oClmdO5gKTT/NA27p VrNw801do+2FYu1KVFSIUtvkUs2FAilcALc6e8wXdrKizxaaLNdO9iLsLhrAoVhZzLcA92n95VDi ts3Xz0m+GDnc8vCFvnyGWYkhKVfHHOhXSGZ7AZoivA9yKGiLYCYIjaCsV+7kNd5/37xaSi7lb2Ry /dhyKWk6hZmuHHg+4HXA56w8SEtUHxt8Lo6JtrA0NTxUBFXjSpThioUEs3Gq1DY3g6hbYqbnK5Lh 7SjuVDvY2VzqaSXVob+u8rztK55pQqn3e8XKWVYMkE69UUuYPgsUI0f3UVBk7EcV1jgVoIjqcwg0 EZHVwahl1qUqTepkSI2P1GKTf9OGHqRJsvYs7UnniAqq5kDyqT3flnI0LfTZqVlGhuMBttkuNlef QdwY7De0EMB6nDE9fbfBO12ytHn9Tuyx0g+4a7A1ERDa9a90JzwZacnsdIX8Ue4nLIoncOeT5SBk MC4W027xYrsirjDuagUr0wif188mSEMKExpyhqnIfMyRfO0603I7NKqmVHZKg5q96yN9P5zXMHT/ NrxRjXOsyuufkDDBGCCtFG4J7LAm5XKSxTnDqyYcfbb8IYm3UAITHaM9sOzTNEwozJyvWF/JSOAZ 3FTil6HZKy1LI6aC1kq5lD9YXIsGlHHhi03rxbNmqmz5yfHpvvT96cBZ2+EJ/eZgngJy1625N/tm ihcowAgW7WlWGpt5Xz3d+LMjVKq7LZ0OhjtiB8IhlqrdIYODNUSy8BC4Gc3s7chJUDBZ7t/jyUgr h2T+n3DU0Jmn0foH/dBFsFzgwt0j6U+0YpufpYZldG8mIc6FJpRFh8LCJ2sHrNyFmKDQIHVamAVA LjfsvoXdqf7XDcRGjZOXv/fMbFnWQKXJ7JX8nwBaIk/0gC5cz6qNZgHzqKc2VuPYRdunX1DOY198 9yvjWxEMZHrbxOLZCo1KQsZ7LhdI1KhJr+rGtYH6rlfhC3FbUskepZeIOrAov7LFt4hvHQRYdSar kfQnghTi+Rt1lZ5Inv2ITzr43cOYJUBzWijn/v1N9i6v3G9ull1pItgCthe9VFrptSDXgNh9PiiF fipHtb9Kty+p+VY+iNldGzY4Ctd8SQCxyZ6TS7FnEs5C4plA4RlQYWB5CLy9+/pQGgSZ1pmVkJmn 1prsC7N0mrE4KIuMBa08dtg5smJYGnKAWfRSkOf7tgBv32oQ4G1k79SorxK/7aIbKP4mk2mR89Sm u3TXY7hNkptdSHaVZMu6jGFBj5G4d9mRUByvgiqdWrV/vAg1TuUwHOUD5SG7+vta4VKwO3lXI/Jy ihk3Gk7c93Au64S4PKoVctTPUMfXKcwoODwjapVKJ4XZQAMNhRr1KzgGbNed5r4oB2i0+0eeg0Z0 Tncm82oSrKyPAkK4rRtYYgqQ2YscWM0sFYyzuZSPduRHpSNjGVNf+ouNj462LBRKFtdArvssFPa3 2eOh0+mCjmpiknh3EM0aMXkR8U5KdvspE98qCEU0scozAxYNKlQRN5PoGNGFxigk1h6ADoR5tPQO w7r1vBOHzR3/ymRWv1udHSbdvGUO54YLvLzPelpPLiOcMM1hRyD+i7G44BVigbhPQnSSrN6EqbzQ qFwcU9R6Qi1z+0od0UMF87U7sxGw8xURBbeUTyFmdJnGzpWHS5Dl5qhaG90nfDSEWyTeHdBh62Rn KqomYUWGkOar+8cSJ8cRLhYibMlGBwIWZ1UoYobYIhlmlwi73P1kutFHDX5qVBWTv+id88XsT2Uw 9HR1L/6yJ6d6iRqX+Lwk+K+e7gahd0F4sfhfS6wdEe0vMSkjR4s62RGO9NmR7SYt9GZoa1PXc+We d4B9HEM5yd7be17w8g7hs1aAA0PgOkf+fLi/+HwIXONWQT52sBQC8Jq4EEW+2/7Fo1bUO1PE1F2a Fg9ZDvp2IUIC8P934BpqlWiXHqvrsCslfse2uGgl0/Ql4iy+hgUGAKN2sVLUh0H5fcsL+VkM74QR LgPtPdZLcuNFVMZxbSJdLWf0QaEX6IMwWxyLrk7QXnOA+QLKqr6Ds8F4KMbyDH8qSH6Fwbq/14Q4 /FUnB0aJAMg1CWtHDx0pRxFCx1Eaga9KIy91UBbTi/azinin2qqVgoEQV2vjr5ovVLjSzuxuwe7F ODc006Q/7FGNbOjLKWMAN2pOheaGvuz/HwNDtn/QtsX7/F772ElWyBy5gSpuvoULQUE3/XCJCl31 3zjd9j/D+VKGVPXPCfP/2FJXiAeoUq0KsZKy9hvSlgUs3+2nNEuvKRbaCA1Z7LIvObHotiP/mM1e 2O9q1VSCRWfGYi8hmzIlrriuIxT9z+Kec3qxEmPZmSYFkj40SEid3nZGUhTxZQH0vUgvNhiT7DUS VEtF0wxE0t0uQG3nG9+V+P9h7IaF2tbX+JP8k0m8NUO4ph4ONbKenJkvZanRqZeHkFzY68jPpre2 g0lOjH4ImyxMSWPhKvETD1sfBxpRpnypZ9Qf0NkcwM3oy3sm4AEboi/dcRe4UylRJZyW7C5dn282 jxhtwrRApcsOQ9HECtckn8Q7xaShW3YHLBcdvphbDZsmWr4N5lGZ0e/qtJE3DU7PjR888yahk+F1 4skOnXMLjOQxjG6UH9bogGx2MLbtWoQfCIEeFhg6t/BDAtm0sODaPb4+qOYijFg2yhEHPJvBAiOu fjREnry+48IKFAex0vvm5bXPhvUoJ3rhldcooOYIYvDzfhGE6tWomS3Pu5WMkTre7q00Ud4IvAGs Ya0gdrP/O72MdbS9ozqq61ny3QQzFbQTlzUZlzTFQ8Zy+omvG6z+OXG70IXRwDP5ey8ZijKY6D5S cwlx7s94K3adt5zh2wyD/XakxOT70c99+joQj1lMwRO9DahA2GnjNYb2t6UNgfBQaPW/9vx0Qyew wR8zYnLVtGOimPK6ksSQeMez2F7bt3dbxiaHdGyA7vNLQoVBLbZT9dI4t9Kxg3rbAht2PzJe3iM9 oc42BMQ25ELMkL7NPrGkutynq+x9xA/JoOeyIcqdMOLEuELmZbqbKZ1/nYpIZzwqpiXnlUCfQy5Z frtYrbfzQ+BpdBmzsN4Aoflw7ybf+jXazrIFWSdIrq/jfVrojZ0FfXkulNtQrwxmwj2ae/Pi/lm0 ilu5/qK170rV1gQpIsp7IPgsilHecV6IpJyMSud9N0xwj2BthHTJ3qP5TxePSIhjOTlMw7sU90qA EQ43TpnrqIpTJzyNJ7OOkpmVuBgBHb5SaOjqs8w/xiLk/KSXFXCdEl0RK253ipvmIMk9MH0d/Mrh 4HqgskaCHKCxBN239xYatAWR/MVfSr6rrrXYHkFhrJDzlbMFp0vpNcydgVE3JmGv2gkq0ttZ/rL8 NHp8z6EBousFw0Qtc6EKQUlo5ZXFDc2368tQOqLzdd58pPY1G0gk3Akc5AyX2AO6SQy7IYbsOHG1 JHNiEBqPtbg4JMkMLEdFIdyzxImD4duGiSW7i3TRWZVLcKVjRXsobCY0ugn4eIbwRSL6NQfeFhDx sfKo8t7d8bklotyzrZYBtrjbmsXWoD3G0YJ7GGZjJ3nKKVzP/eybJM561pKQ6jmvLiX4VoxU1JpH elPknNOzsvqjRlY7bJ7FdkbTSYWSV6Xi+PulgHpbapTSyTP6z4T3U6iakCxSbjFtwI7jbiJNqHtN mN2ZzGMtKgyrSbO/HKfmhSqdyXl1lxBKnWFXVpqky5KQPYr87RfRwqy2z9r/r5BlwPpk2OdfJNvN l02E70VeBMU1vbnE6LaMfKA8YzstXhpkgtfmL35vq8BrFFEAi02j52UBnCrggqcG0ueTe8vecoDN qTgiFNCfmy1XTOZUm+4kDol8LDLwdVLR6gBW5ZdroCdtA87Wn2Epux52xY5wEd5BlR51I+2THxHD eJhJWbglSYnIEbPCk417H93p0SBjJ18tds9EhVmFvSbgAuSqpeS0pFn2TuCIEHwK8WR1N9dkDUgK 1yaH7k6EjVne6zcQ0DC/PpP/iiDG2g1QBCsPkzu4lynRYK1uOXeQPLWVz4SYFGAXabN6nFqmwy/Y Ns2SObqSm5a/h/0YD4hssGwZ/jnNlTcvgBFEZEjiVXAKibFBZm1XG6RzThpxsxBfBrDIg8ODPnBF Rcr3ThautMN5gmpyETNIkXTKPfGFKSmrzqHji2rISuVeyvJNzKhSG6Ebxa1fd8yxgvk4ptUDa1m9 lpPXIq13iytHZmboFI/Lw9iD2aSFkae08ckjnESEOZHmL7f1c9dTHVr5BzYcqE/R0algqN0Udw2+ MSkhQaGz7j/+kQl4b/IIhRT/5Hfc4/5N2ysYAbANeMtXEgTWtBm7F5Yh2LWN8nC969vK647QDMN1 VJHPLTi57NCZcj+LeifDxT/GImxo1+ho6QxpwreShYm4eHzUpa0jQFdvE0f2RwOPghhBZQ3qsso7 V0JA1Muuhfam9Ck1WJXKEU48XwqskJh+Wmi0temQ3xd4hPA4PDrCztUfjzOtyXBCamZuVkrA/ikF vbZwQK+jqPx/VpMog4pI7q/VZU+QLrOnIT7OMsG7F1n2XnTdWh0N+kcVTRJ88dlJamHCqlLAb0jO HsbV43oCo/vCuhvyskJBBDWqYyVl2IsB3i1nUZhTCcnVLmP+XWiFkLGXJ31R/Uvn2d3sR8/n5R73 UswIHFIUJdT6rm30qdj1Ic2Nvcp8aWtdiXDE0Y4ke4/TI+B14kVaiOeOW05T6aQrTrdWR5Sd9P+K vxI+3D2RBlDokvFwCaiXjxGUdNSxkJg3ayAfjGBU38d0zE5rNcnaZxqQlX4/Nsf7c3mQX0yIi/tE Vod8m4yPF9zF21cSEjtjfA5hlfqiap6UmoQNg3at0BiceXPdWIZX5N47gQMfTVq0Ch7edRJ5iwhq ugq8zs/DT2yx81ZRuhFO8aC52hZDVEZY3Tkf3BjTth80/r+tRckIUKcA62Psw0zIsxo5kzIjC2E1 D3vvADKSYaFRwCeb9g5ZL3VmI6j1geKZvI7QgRdZLVjVMmHuFT9CP4wogOce3P1KHOggCeODdnWm ICZ2Ay4rBzMQDF4CW/XG6zMcbbW8oS9KA68XJIk6Yu8elwd3HPKRJE6RxTAr4HXGdJobBsMRs/7O gLqJG8JoHXA1hBHQ8XCVjYVaqO4yXaV5Vu678twV60s0QVHFjdhsmwtGKWY1x2GAZvi/d3xFb7UL 7fS0FzJesiP5MLZ6fnb3rnV8YXoLBuwaaYajZmnvIljzk06yXjOJUOrDuyAkVtOKVAX6hotY2zLe p1enJ0GUTXvjksq5jVj9rt2RhYLS5FBbZTt/yM8l+94uv5WyXxQxc85F1dog0q4Pe9H74J4MCP6C sG8uDlpQzMDvXa4adDXvY/nabMD4DQ669j7PMSoeR0Qay55j0NffxLfGkCZTVJWwMfxv/tT2kHWD GEn0V5acXLzWi9WDiSOCRvA5Kq93UHwC+NED4olrDa/ccDswEvX5VQgMefRpMDFrfYF4zeJxP3w/ +PyW+o0kplnypYjEzwE4bmvEgyy0EfivLvJ4Wj5NHzIhQdvH5rS0OK/LqetRo/H9KUZVdvOaL9II zy9fUeLbXlhbp592tle+CpTKG/2BtXBpj+8kTFKceor6YdYz27uGyn95cQMVmvI/+yA5RxUXA+5l VtzGlsbiIcq+RIn5w3ereTCxAIR6wnSLgEeOFe5Dqrvq0Ku6eKzMFOgo37vJkiyq0242usOxdQjg w3JYuWa/CuyTj3Y5GQFo+2grnIT9gmeU8tbTVy6veRi24IrV/95zCGFnU8QlwOo9bIpn19Ef4cCe QnjqgGCwUEN578K3R8/jOB8DtlqghPiMMcUdEF634qr36/Jxg9ICsJ8c/FBfMcFaBppkCSzKa5/U Cao412ANb59ULvaE1nc7DnYm4Po8wnnT1RMtjIPukp3Wepob1uYlig/95H3hkEEI73iLQVWlMLRl CeOsf40TIXwndBhyRSvAeUKtuQM8RukoDTk1wzr+5oCP2tf1GUyT6GaRSlG73yFLuggfeAoP8t0p FxlKdOibV/vM9pNRMj4mRqj0D5FDr/kY3la5xBJ6W45nBCTDDF/yE2tkFCYXrrUFD5Q56czO8SK0 b5G9cOVEGUHOKbnTN+Wl4235a2wq/VqSuE7ZWbnvutAM0bI7SGrxmhzXQOovtm17iKzMH0ezFZxG l6EFyhEViCeUmMvLU9HIc1pUvHfWL7HD6GqU36RS76tprhUEqBSdCv5P6Tr7BrBXWl6uQZpJ7XNr LosByPqu21Z2eant4NLN/YyfMj/DI803W7aPEqp2Q3GFBNVQOTMb7h1GnZYnGMaXaW9b3FHMX6UW oNThY7/XdANKNIfW/47Pa5IKIho6BgDmuZPIheqWFzeHk3T8snCypSdT1BmlpukZTykpQEI7NXRl jYdwfWG6CM7/Js06Q0ZTrQ0IYR/4DNMDrAOhxRgizh8/mIyF8Tv97EjDV6tiHgFblD8m4cyCHvBT zPFXM/vVIrtvlWnLWLR9vhHGT1BhR6tvY3DMiE0HYPxVY1Q9IXqxo3bDaogS5Xj7cnKztHBKF4gn s6+poI7axDscfbuBB5ppAjuPn7/voZuDL8s+Y5JTWKtGXMIaxw8IjDnTLLPn5NWxKICsd2glA32S E8H8/Eeje7weWkaHo5EaTsnH0crKVUWzRBqcm8saL9WdztSbw14BdCGU4fk5vheHSkDWdrkOh6Xz Mnxlqp+ep4s+VmuYH6WDTXKsuGy67KSoRN8XIwhYmZwcSYpEYJEDWGKenezce6PKeOEXMs5eEIFz ERfMyEVgZuQgGUlJFXExFkb9O+/0/kuFQCOyT2MAFrLfmAbpL4JjMOMZQbM9sbCkT+qSNcmFU9cl 5V0EhZzW8gHsdNFOWbd6+y4hOiPnqREphr3k594JNsmbnlQFvkGy7JYs9JCTk6DI6UpmJ2A2Y46D 3V5X2aSQmbnzptlallkrlT7f65VKj4kdSS6JtIxC6sFV1VYmhp/BZi6S0lQ1kFaobpwBePOAyvfw o0p2NL0ACA/Py6YN62L1xw7pu4q3AMO66r4tAMwT15KHVpIcbcFDdGj3XudB7IqO3TF+FVUllpgs TPv6TafDP1Az+HqbXXTJ67wW8bBftDv55FVBT03AbBUp5atEcVvCmZFtirZcB/x5njVYMyBhsFFB DwjlVrGMlMOziZJX6aHXYdmrYddwe9A42m1rwyCFfS5ZYnihBXz3oamKR0kA4ARJXqVzEu3a0b+E UMKD0MOe5yC11sZiaEGtbBtYW0gevLKbL7cExHWWvxPvIaWhYDLad/C+28oVg8diBQtMBFtaZqq1 4OvM2vTu6IyciLlOOwk7PunoNy81WQMEIbdV/g3Ha9Z3logyfjVdp4lZviE+Uj5vZ50rwdkofWpl 5Y27DNiZoApdS16Cl9R87hi5HrqCdngVr0F33gysWqmiinh6N3OHwVRmi0uuAzO1TPm8FU62++1d yQZy5NKIrf4ZIORhSyS52PUUrDY7j5xLdkEH+zWtzq1cY/ctb7+CgFgIz4wePr+eA3rnvJgyWN7K wJzqaIRr/AKy+X7tKHuS7KPrsa8HKkBwzTAnt0fvjV6D3hEmLb1PsC0wgNOhg9GHNgp624A+v9aS hrqF2VXGbRh24Uz5UVaaPo7+pmix650fAjRh8/HqexGzp5K6TzJvSqpitxw0Qo+hCD/eCorOS6/Z 4yM5WxWLKbMwwpWU5QwHlNJQR7WIbV/PbtktgWKaNbP5VNbGcNuvQ0oNAv0ubRVELkMwT7v7IH/S sPSN20KFjNc228nTEuTFp1HnI2bH2bCYd+lrvDDO9rvIhhPVj8Ey+l1nIjDMX0sWxz1inrorr8Sa RcukkZxStWR6sdS7h7diu0ffVmF8EX657gkDh0rCSDjWOpjGiGYYydzMWGr2xq6IEm2UaQXskAGw MKD1vcvzu27nAx9RZqARhtoF1f38sTIntwXul/s/v4jkBNXiGbsUuo/U8SyxS9bGdJMcJCuTmR3B pvpKUam/AmDDOpiPG/eeIYM0FaxYZt63PA4ubpT2shNe4kgiTckzyU2lnkHYx0d1KpIrRDnBuvMK OonMaeRLsozj8Z7VoXar0QpbLtcUEgE4KbltLY4HhGpVx/g+6g8mr/13ONN9SR7tNIYc4Jvfcc3+ JxuA3/B/3c5bp58Fae1+Kg8qOqLxEiZdbCPljMBYDtZaxwljcPFhseorq4OWoNqjAmLHzhdYyBri WmayBIobA1HTLO7EkxTpgW6Z8QaktZFLEEZ62kHC1cMgs+AQpa+uY4fERQaYJ9bYWkWRycOhbSww KFl7h9bTZozvTHz2+L3Q2HnzwQVtMfWM3i8plFr2ljHHgkka/H3qDcX8RbgDhm/f1w6bTEGNBaRr CGnnrNJU8566KQGjzqxX+vu98blkNjZRB4cxQkPsgUuZaLOtIubPqe+KTZIs+s9Ehfe9JzeR8a1a e4rvyf9ie8mm3pCe8PThdlqymSr8RgAw9bfiSXBTU+5P/WUeLA/ZnRn2ezHnWIUnUXayyri/9iYe 565iJ4J/xjFud0rGRvJXiA0fmBaZ9dKEBSVWkwhAp4iI+M1Lxxann067b7/MgV/SwgznQU6QbWnO ADgo4Itjr9/7xVDx+qbgjeaXt6Y2FKr0EChTF6G/niXTCJDfEPua/cxMj/3/z8m2xjA0W/ukSBQ4 ylyhZxUF1Hgl02fe8nLBfXBTR9invBscNCaxWg0AWtlMm2VECMaH7kNVjT/xWRobODSVZZSIYGkv WH3DgoWam8fMxDxHpu4MiQLybYvwI61aJoiKJb0PVIBih9wbHaFH5uH4fw4ehCO38oGfO8CZ892P SAVt1weXN8nzRcgXaa4N+N+5nDPoJ8Ms2BsS9UYNdfgJOfzI+9K5pFi0LJ4pAkxXUikGt1DL4M7p QhA9sRw8HBtnJiCyK4BHnpI6bltljgCxtALyOVYOItkWv3v5FtSbq1br2zAV1avAoi7O0Q3W2w+g jZ6GlddFlQbqJFFDoYgu2HTSOiqK1BBj+R1trmax5T5Jw5+b4W+Rq/oYI/ZIkV6qozSNvxTuY/Ij GEtw0axVuXAWntzKuChzyIVoLRzT7hRybfF+2jEmtoUfzfi0hzSi0FANpjtRfkTgWlU23ZfGHp1Q i1aJyvR26JDEyHw5h/VTg0Q6s025wCAZNUbwZtNChA8OONvacFr/rm1A8VapqbmZvT/XU2M1QK7l rWfa1Anq+fNgkdowr/91gSWV1c/IzJNhzIbP21qNYRPxFB599AY5RlDZhZY/2bkm0BGWiXl0cjG2 vRJsZ5ZBOqZL4mvlfwGjHDDRx4j8aFdz8J7XR3Z0hQcvwwKEiqNCL2lZbaB0JXCHtk2vK9tTs360 N+qKmYMEABJ0BGC3uzAYBehpcmYGpVSZ7hOtcMSbSCFDlUDdYD01P+8uxeEaWtL/3FtURgA5hCod aMKMJo9M1Ao1mllKgN2AijJ4/fuvynlHldN+JUcabCj4osT6nWgwssAq/JOgjYl1xVV+ljqGIKZ2 hLYWcDPVOZQJ4czkLZ03wgj5pogAFqmX/lanHYoGCRa7IfNSX0Mast/0XZ7hZRheH2L59H4S1huP jkmJ3H0aHzOVaeSKWW657JwuSTBmT5D7ngiK6/eumUtkD/5Zw9CheY4gp5J+6O5IV9d+p3LmHz5Y X772wjMX1dl7wGYq7wX4MVIYHpZTb99FRNuRoIYlqhdWAMpI5N8es05IAdAlHjn5jLCvu6gNixaa UA0rXvNleR2eKkgZIhk+LdRdxFqgumdDyx+E0uIKRV9xptEjI2k/rvtfzFlGK+WrUQpt2A+wbBw1 HvMKG+V6KkByx0e0W499injRrTJjSqTO3+pnbQoSPJTUC20T0QJpRsXYHc1/+bTa01QSJ0/QKww9 adw6NzmlEtrY+ACWpr6MqunPMTRb3AmkjlbEPYvE25IfOqCgWKgb3nAWTWJoKGLGfcJ+rER7voZq tHSKpuVlW6EA/vz9NRyawTGVMSqc9QbIRygAgRr1vs+ukaHUdmf+nyaLnHLfHaXJzDFSvQEbW0pQ pNkNRI3zMjcITTR6d8jUdGH66hNnPxm5Ejm5xkc8RsZoQdHtzKqtH+X+VGGC01EALtxoFfJYDHcQ JWHUfQKLUszlO9EjsqtIeB7MxDVb37y5OuO6S2MYmCGohVsu65GnktqNBPdMogm6M119l0ZihoJi TO66pNrqg9pDzM3sh2Oaoj/b+AdDNraNBFOwhYRM7RSH+X6GhK0q4BPU7nu+NCg3/4WP74DYsZ9E H43NACEUhUWVqgo1rbJiJ5vMjqtPNORNGcoxG52G+zOin7C2sJvvsg9uaV79AIQQWokXsdOgY//x JvhPfr6RmZQPzCUI2Y0oB0ZJdmWmNYBjZF+40utPczf/p7FWJb/ULTuafkfZlf6Ytu2sNdvsyxeq 3IAN9pdCVcNQaBQWQh1WPmlm0WiBBqnqsWG5rPoBWpGpi5wGuwpjpynN7y7p5sS0V+4tzeL5ws+O DMfbICupKofSwRivnQ99+68hU6RoK7hr5va0ypQyXlx2YRssLqZzdkNkVbcP34cGg00VYl6llxog H+5TPUc26Zh1l5t121CyBY+PcSGPR/Er1Xo3haucaMH2c0S9hGzlC8HHtjvT08R2HyER8jpZiLwj d+ykQA9BfdqS02yu4TuNi6DCbppJGZQSEA7/bIwrHBG/1mJ8YsM3pr0MM0mX4oAlba0FlPLUUFua 7l/LLnUzOLNOh6h6a7Cp1IVLsqxBAcNsAJGAYmVwh5M3xWzj9QilKpudpQqG5NJqaBj3RsfNA307 cgRiKC5R5zPLOa3OqRa0HeNbgQcLhprAIv3Wfer8fBqnsn7wTxMWesyxZVbm7VQs8DQuegbKS6FN 6zRIETtliMmgH+XdymVjqhkbscuC7TpHHiPSqcmVj2u2aEZrIrxMAXooh0dYR0OcugSaay9YSFjR cgzvrRfET6qGmMAw3+bT16IZ7531qMhOfPZU+zEj34lNxgJ8YR1y1MS0fzT2UpjeRpUAI+G58pcO hH50J088slSokZAx39XtMBaokXtFEWC3fZ6Fk+peqIGUuFVxCO+53swTFaMKvTkcbhXPsCdoNNfZ jGYNrQVGpwefw63+S0QKxhgjt1kV6GTj/SYXuWToJ5D17mpcaaUQNh0pgK80FWOeWBW7kDiZRcWB VNwMn4FYN/yf7V/o0Cs16Zt2ZXiN9SfQq3SZ8db/wXwzBxl2pujgzcKDg35wPDApHi3wOPt0BzT5 hd3nmmPXfGTdudiHd0EpXsaogFr8KdsbRhlgAN9oPwCbc9MQUv6pamJwcxAEZaFkPI2+P4ffVEjD VH0iD+wdN+7yOa8dpAk/v5518xslH1EkbmKqGOukHsyu8ZhqHlbi08M+hkfUXspK/GxTbueiEGkx lCQC8rVE9WjbMUHLUQ8Uqj/3hMBhlSPwnFI6YJInjcX59mZTAdcRyG+B20wTJ0kqqGrks2cmMEZ6 2Eny9/53ZPA7+ploU0OJjtykizVNL/RT/ctYaFB1OWvQOTEA8n+FfWN3ZRPmWIoYISckdDMcx8W+ 6jnJsm8dxH+XLwPL6JybRNiMPQs2P0GzhAkuEy48khB3PBv7JPAP7U50Xn3SFb+6F7B7cYLqXPMF vB2+YwumXjUPxTrUFVfAbOTGpv3/sxwbkgCCIDe4aIjt5HdSJwoHBo46Mn8dxvtAJsBrSlvtpAJ8 QkXlNIb2/Z8maA5XozD8u7gO6Ds44gRcS7Z4tC80Dt7+VcZUohX+IdKVX9znY7+oiunaa3kSy7oL dti7A60oOGaapnRriVtEFhx+EDXTWaynXq3QBu2VW22rdmZp9Dai4yggtoP3fiExRwpgHzq6B2sG mKw2mE4A/axuyBMstdc+HKO8vSqFu7DW5EaH7wpPaUWScY5HBKrvKjQQGpCGfOYcpBqePLvC3CsX mvtaKnpt8M5TGCSqvp19+EpftRhq+CECdOWBOZPw/wAUM5UfK7WCa3iMvqX43wimD3zcsfm6/Su5 3On1I9+RavQ26iHy1tay8H6zJd+KWJYbFFsGoHr3OnXZklcrshyVJacjsWP1b5rVrnXqRQBchN96 3jhe4Xw+5q/AgydRovHuF3LBYE6tsJ6XEEVTgkrgVRy5heWk5B8eW3HVxeMc27O0oE/USxuiQL8N pufMM5Ul8cvPwyRJkx4bsxTsytvaQS9uzPzY/4YJNyw8C+/5Q5wZmg8psWpnpDzlPy96qDImPZf1 VAzUWkukFukpebyBExNtKNEIfpma8cThN88/rwiTOe8jy2jq+xr+oPSRA8K5rr+aeyf4jdhwkhLw j1f399os/mfDKEftfwQvyNgwNL/q8PUSC+6smZnVKBpHOp10gimX6jAms1Uxs5efO9kJ2vchUCi6 xi65jjO6zB5B/j9jeDCoLkj9aq5Luj8dWauCXj22sGLGRKE8OovTNi/EMELZeWTydK6m359G1IF8 O/mNQEkfg2APd0kRi0EkBmSdvNxMKOaaR+FiM1pUqKSWJocQlzUB3nfiaDxi+R/TWQXj4nOqZaob tkC9LwefpwVQIZqyL292IOr43nQFUa5Ik6TzvPjdZrjHk0lQJ/pMMpa0PvQeqsEzLi31Gqhf0Hzk LSW0MDIirJyoPU9NmAGS04FsjLeORmOKUq7DgCoKtQiRGgegNwAEYBEnEIxIyhVw9bSfhTeQfEep cbEEikN0Djj4LllLdB80iq72I/TExWqIvsPP9u/DAII/FS5NJNitzqvURsnRV6qxue3fPJC1C5RZ Lu4xbxdXbn3EWVsDbc9Pb0f+1J+Na1m6IOXHt/Ag/jjcwZyt0SKsafm7M1iy+Z4s/HDF17aYAU3a uBxOGLo1wBX+/CCxUqE//SMUaoTSb/yBwxp7s6Ryp6Z5sTYs2r6FPBVCYRTnNXGKOA03B0Z4LDFA qOyqBmLAoi2WpytIEXxsLbPjwVShnUpqn+YalPsMdeHaBHyTrFLTD7ToAK+svBStXfEX6DQpK3KP oLA0Fzu5ilnjW+0cmy/7wdG62b3Em0mnuyH0c1M9fxqeZRQF6iEnUIl+lLu2Km/j2TjvZ22J3fhU k5YgTJFjWxwiqcuzGXzijYTjui4Awwa+lnekCqU19ttSd5lqCFI8x9tzsAtIt+AlwdnKyEvBR0HR 74DjixBYdDKz0rSwSLrBUsgAu412jHzX9NxbD7KsgioqtzAQJTmEnQVdbj+NDb1JTuOwZmxP/xGG cXoqdxm9aQhnTOz7cqCTto3FTlwb6LIqF00Y+09DwBfPNdJwe0N3g0i8lwG8FX+6+ociEJFA2Vhn v5BUaCt2FgzE9DfJdUv9wYev/K3lb3eYFNGqa61q0k2pegrOKDfmCNIyUqLmBCED1CUXhv3T5dMw 9HBYPvT/+2Hoqu1eqfx9F627/muxxWTfKi/Luy2ac18KluN9ww52i3it3+j4kyVYAoqOCtchgJkZ wOmE2l1zSQH9e0xM7+9jLarDqeod+0MU+tVCIleimPTj/SleTpOVri2ELZbRMoD5kbBkbGYsnF9e 2ORrsvVWKcU0iXxMJv4chen4UIDriDdnTSqoDE6FNJXJ6RvxCiYJ152jspawshCYlBU78557M7wO fHHV3P9nLlsFsKwqUnmjkiIQE+dSEvuz0iMZ9GpgnJXp43OG4Hwc9RCvKunZQAS2gagmrLlKdqDW Ts/JEuPXm7Dt+EDNQdIahuO5qeYQTejooB93+jN9KHwW1KyayVW6YSVjF/wMfrXGqI6LY4cQIbP6 GlnnwT2AcMQNG+bcwDnRdGiedXJpVDBu4137MlR6SqHGr4c/D5m5Q+9depRRXbxj9pLu31VOH1Fx pVufsQ56nOOft6Cn2V0gVrYEPid0x+4I+MeWxKQ3mmHGSvVPtcwG0SS0QCccR6TA0PzkUOOsUX7h rwglLxMVvwfXejqIwcSFjEwXX0driyiD4yvo3z1iifSYgrdyKwErjiAOCGijlrXJRDPkNmmwL/33 B2Kk5M+RnfqnZ21s7Ztdqd1pUaOFTWCONJS8t8mXNM4CAlVN2XLp9FTWTHm9c70aeNOduvFLjkW0 Nuu3J6UVq/73KUvWBWNhUms7ex4UHIQPfxSGBdJeWvxo3AVPcZbYbK8DXTs2FixtD0HWQUBi6gLq sLpBj8Opt8yd0sdOEma7zLLrXiPur72JRGTN8O2GluaH3W2+Mmm9O5/UBZ3ZmC5RK6aSytFnFrFt VcEbwUJYlEeFOc38TIG6IrrVPE2T+x1L7HiHQC6ZyNDRypZn8ZMt1JpF+gKH1M7O3rEmNM3vwl6f 8WVrgCQcpUSg5pe4ykE9L4KfW+dxwyuiUJyvWFe3EKWQpi3bayGBwWWltv1k8hs2TRXrD36w0PoW tn0/CzCVNXoy9b9mhMYHE80Bp8NcYZ6xuvNpQSd9HcG1fWHSbjXoH2bgwfsSf+eWIOrbi4zM4c3A yeBa34OMfOvrwxQSfdbH2bMNeGkqaC9R5vEebtKSUgGV1x2PqjSfKPNseNz/HvO4HxPD/BS74KsX q89UlVzWlPtDFPJfwIni37VN830Cf3FaEIwjABwdgSSrWE9kd+XYItoPFUxnf4QmXlYRPZwCSsYe mZOZAFa9ISol/bjtA5bUYjOjBfCGjK2LGPhdy85AIjQfvq0eC9NZsx2omK8oGZEpr+t5IECPTM9O 0Qg927ix7j/QpuuneLyE332RRqBYUuZp7TM9IF6fQVEzmgtQ2VEDzhQDFL7Hj/ps7kYFmv/q0wU4 haFdsfEBX1ibyD9pBq9UUasNCZTcCHE+CpmYjZosaq/6nZ94cCX1il/QP5ZUDNNclLNS9IhkXzfe U+8W5VgawRSjaEnmTX4yAFCSwMzSMJqqJ+bQKdzHzMetQpkG7IDhlKfPjmAHvoej4hSjpxSaeiel 29L4WABhuJ/12HIzQ68OEhRgNYzHRTild1MVnLQ1gbaNfjzM5Ng6hPDKUDe517Dk5QM5wkjDOBDt /0H8La6n8mUvIWHodrqclfKypBTJsglWjgDF+WSY0LFk9mVvm6SIyczd5aA0kCHPX+K0jDQuwoHO SGRbRZRlwyvyb8J1STjLlwoFa4F8U6NfrhPBJCKGs2x0Wf0373PbsdBh9SrEZ5Lytu240FCBKeLp kM/R2EWRDuTg9UhG7OJU7d1OH9CROTkziwLbJ4KMS0hN6TtbV/jpzAs/uEWKfUu66IwAsD1WuILR YifcYKCiF+zGjh9nNAjHmsPBHXw5pNZ5/BW5CYBsSc5q01PA5LyrSK4Ca6OOLVT+CrfSwbGXOPi6 XfBxi+paPERaYf9Kwj83jniZ/4gI6XafTGrVaVd+ksyEDgvVGr++q1Wz9n38nfqVKG9B+SMVtaP2 /5vqir87hHZy8pKE6mKtPPvGFf4taswX5CO2SOfxz+uKS3p0ZYtcLyJM3Rl8oNagN7ZMOEQLbhh/ jjTt7dbY+Iiz3vIxLCxECNdSAOsclFrJ/sY1OibbF1wpX3AedHJjxxvnit0+QH4Mc2hTlQktvCeN 8hoA+95qncgARuxfnwNFuF603ITxfzl55XS2CvrhkfC2tOJu1SXzom1yx0ASBIREniWHF0/39WOa a9HN33AajtBD7Zn0RMRcCSUfzl6MwElbuNjFwzuV/on8DLvtLGaiAX3YulI9PnqIesoIuofoMhHX jhthJcSwDhHSYFt4d0dwaAjOitRHuT9k1JPvDQlUGl46u6lveG0oUhMwkom+BydfzXCDR53wsHlg brHbjACB8l8Tn5zZWKmShGhP1xLiyjYHfL2nNS3pjQMFHu2wgfn8xalLvKYe4bnlcFO2ug/RrHrb yYm466B9j0buT0iJF5qZJhkQDnP3yTm2Iz2jB0OV6ZB6Y0pyBAXJ4HLWSeRSPtVBCwdBKPZPSlRI sDzbbeie0Fwwlxwmc2r0Q+MWfX5ACj7l6eB/pgie90nw38UoHN6NoE2sPh7P6ujsewaECUyKJ3KD AHCvAQg1c/I1BNAx4QXbPa7PKhKaBrxgX0LV8Ls9JxobnBhJ/2GYNccxccYxXEotqZDHt8XNzRci lHh/XgvjZbONGABRcaOlhqiD22eSrcyERgEFQV4T0Enl4C5c5MJheTE8doGomt8JJ+KUELUo7ORh 4vODFDemjAAs10rH7MPqU2NXxKfZpIdfml/7lfyGSn1Vwj4vRd1+Ow+JMPzpE1zgXO9AwzVh4ekl KX2FSnILVkNQhov0rp7QTYmecZzKAlj0oxdWQZsvzgSQzVmSd1qqGMBUfZ2XdYjsjAKu2lanoNTd UNMlrkzKkrHaIuAodML9RajFoiYhx/UD+/N6dJEJ/ejD5wsHvpQC7Bl1DbmH+F6Mtrh92UMwgMQ2 qbsbFrqWV2L70krW62ndoSRWrSSxQxs2uaBqKWz6HCsY0VgskiEbOHNdxW+VMPoY5FsbwURrp/SC HiCsntxn93gaqGu35bdDIYYKCaFeOFP6kxEZ9vcvg4VL0hIwdAYzp5l9vRoAqQI5dObum4vL1DtH FO5w9OjMaoQ0r3S8pvJc4GxInijx/EN0czBRhLdGzP63OVwxPeEt8T1o3OO8zFWC7RwPb19bty6U iwncppGqyUsg60Jf6OiGeJ7SlF4IOXjn7ze3A1ZLXmRREFEkP3ISDxRySBmN87VVeZFVXSGO+HNQ 6ftgS9Aoc00kQNBbtDDBJFlWdNS79OLvAFyIU8pnArLiTK5jBf0euNTEtW6fdIjYbahIU71w4Ejn sZhRlKYbCaWbMK0H6VyCPSf9A9Azujs2Z0SX6H850oNkd0UQGJElN7DR+qctp5FLmT5fr1ugYrIm Np/RceRGP34Rb5TNaOXdh63RBGUDJ1ZycTij355LsiEXSpN2YfhqxuUIgqDmDOikkCqa4QPupdhK EEvK2H3jWHxnl2qWci02CkVPZUsYIwRzzn3r84e1RoK78SJxeRrb1BtCvlwdcyDrXLVBUW+2lw86 8iUZjVOriehWYeGvuKKz7x2+7+WsDBk+kMgcz/WsHyvnPp4HFz8IBuAJW97Zimmi+FVlPeTUnr7s GaYwXf4iPxu6aHJmDYQ+il5ITtl7e6vXVi6dhUPlrahCipRuLb0Nz+A/6bY7CJFt5M1yoxQwVpov wB3ndO0LwmESOG+qpi7C0dTgIyYyH7WZ/HcyUsD0BLvTpzBxe3jURUXH6ji+AORopPfsp4EEA3AG 2jObNxcj/ah9YtlxB0MCB4zbuPgWQ40Zxuoyl2fhfmWwJ+JvOyMGvvj4n7EEDNp8ejcmMCjuXHk9 oj8zaCwWpvdi4uriDFudnKkDXoNFPflwfKRMSyFCUnCEn7Bm0d2yHO3OMi73GDI6htDGzQiojnha 3e926aWeUZotdjzAr0TNKzEZ3fhCkvMhAMHUBBk7lafHrIhFV5b/pvg1NaB3Fiw3wX9e2m5vLhQ1 NNh1LUatZ0nYfA0nc34hK3ms6Mi4sLySUMu5i9RrhI8ReYjrJL63Z6D88iu4iynx+VLise4yUVle glUMnVhyZC1s3HZbr5iQjACklDuRMfcK7QOcfZp7pINID0OcK/1qnI2fmqrOpBFfWS4sFjhZ0MrH uswWrogTs377qcNS5GMo5tYzYk5RgQJKiIalMLbiK5KY0d/an8uyG5RXhLvbiiNLB1mulqNNwL/u C/R1mSMUecx8ln52uhHHQESmuExoC3+RCKnYGCf4f/8LlPOOoOIhMkCgiFCSIlHxHWtTJLKXFPmV oQrWgsQdjZqcxmoKB1eewr+RlE0pL62OSGZjclT9Cbr2YvKC+WwZOCDVp73ch9uEaSw9yWLlWMJ0 tRKWB8nV4UVj+Sjj0+c5pQKJ+iOZVKkVmdQRzGIcTADKTIbwYgIs3BMjHnuFWb84QFN4DRidZTH0 HFLDBXtH3HTlIIAD3Q7rqIYDAkXF6xG9CqNBZjzZi1kXY2SF1EhVCkYrC+46gRgTBwMA4J+8926p MvYFb49aa3Ab8xgM62sBZU+s87Sz05Qbr2cLEBzX3+w290r0Ww8Jj/zuy9fEu/t8CULGU+fzxgJD JX+1itQpT1XFVkx4V/sVuVpODK4UKFKQXQLfEshNQEhaalcgfnr+tHou8qOKDGy4adl7MnkmxELD G7zJihapmlow4ZAX6CniNOpreaK164pwpNZiNxhdCvJvjZNFRuLjneeWVntkLuCQQvEbsR8AHoYR fSQttY8ycR528t3VG8iQ+5nboSx6nLRSNv2kZ36GBwnA7O7uVbMiN1BoS92J6zuEJmtHLxnAmg6v Be5UGOg/kihh4AOpmTqF87e615WCizVEzCw9dGdwCBSuxCmIkMnVsL9dMhBtzP1QzyyA/jau413N 5W/e+nwS7M3fozlUYyHi7SExX6MRzvbWcjhA1Jt7QPu+8UhnnhFMNwpfmsji56lifaeulEa6Hkkt wMrpp/vgfXUsizlhb/V6xDOfBAC0ecosrU84+KhquaVR+YJcpZIKfjFpEr3cWS8oX6LZsiWgkn/t wlvIJFJgiamgjv1GIO1FYpYWwfbfOuytaus+A8LMbupNV9Gp8DFtnbrW5I+QusFZFkzFrZbkKvrZ GlapIWn7y4Br36f+nTvRVQuibNW+eiRGzk0PrgjrJnFYsoBMLWwl+x3BxYsVpmU4D2P8BqnP9s9h 0p77glAHeoWSAJcqYwnTGSoNVq4FZNgQ0bkQPrJWaEqTi9tlgKXTiVmPWZY1cITTHpLKuyIQwQA/ oWRTG8yzU9oUmRvAlXemXa40/C2h2xWPFuHcFxRaQMNnyTZZ3nHkimM6RVaT52aU6LvqH7uR5AzD vZbX04PMEyxqL/7ftRyOxf9iUDn642/Ru+wyfg29NTHqJONozs66QUqERB0yy2rXbCqDNegOnMcd IZlZsUrEN7NvMTui9XqaSafMmRRravQX89EFAL0oMy3tPQ0F6rUteT1K0vk2boyLvDEJOgUpkOjA 2bZ2mrcmzEusBjDj3ouPL0oNEXkTpw7VUNnVSxEpXXB2x8YDdp0+bY8qPTiO6wcoH5/ASl+V1OXI NkJ2QvtryJI6wuU3bGT5D+S9PglMksc0r5DRN/Y2MliL7O6M5i7B8UBSbcN4pG1tLvJOMZIaj3wB SjW2Mo1t8ciZe82L77nsIOlkFyLJ2j9NgbqfxGseR8GPhw3cojXP6IL1meMmxkWisi9tHAtCrIDO JacpjJXHP1cb+nfQmJjM4in0YH60iANuP/Vw5NpEwYufGANYAU6JMT6dTMK3drhyc/TRhDQp4wIb uR80UbGtcKUzlDFy+0qP9KLTVIpVkJqPgHjXFmU/C9SKPhk4NHBUxCUn1wB5zQgcfRA5vuJRu99+ 46TKHXvd78ngFSuMeff23rpA2EUh05f29Hn7SVVBsUlIh5ybUpyurnyf9kjXrGZOCMWESkngelYD Hfwdjs/u+Q1Z6AXJ2XyHj64ED7K/O91SpUyJjkRuEa6dFQJOYH4XYNOOwwoFDYmInBZauhkbYD4O EpFymj3q8PJ3ldSGL1eQd6a+pqkyRKfsAZMVuQXRuvYFyjM7opTrN7gjVmLAzgrGhqwMlTGqRXVl ccBlvvORrIwsjWyD4oM6PPJPXyHIfy7bJ5eCmaHonoeLTv3ap+ItVxOE38GSFfO7ChLXpTfpgD2j sDU3yOQ5H5tSnt2xJXm9Wedx9WymuGVoRmKqq4uEeBz82+M+U36qG25+gK1pWeHKOYF5MXzObQ2t PEvnrn8qRBnfGhjRt8JDnXGC97qeK9CwDMf27GNQkuMx1shruc7KlLyLKPxVDXdlo0B28p+Yts37 TKJqH80yzbh/cYNi+1N2o7F03BHBHO7zOmznsGF19hGOqqRBajFZTJwMULdbeOw3ura83Ygf5v5w ZRqRgskUlKuMOrQ6M3r7O0V+3Gzjs035pKPtFEACJncGht8dqPotuN5K0R5QSMEEnQbs6GFpTbeN hH0UKUElLNlWn7lXroyxid+9e6hYwUPcALxbPVEKX1CGej0jGsLbw4NBBtGuI46Gmd+meCsB5q04 evAH6fGrFFyqR88HX4OjCmyKpRq+jRgV6Ri+DZM+z53TmlNi3uukSOrQZWch6g2lbUx/lr+BiVuJ cZ4C2u4n+++otwnhGUTbPUbRqCD4IMOWSKBd8BWpHJ0HJl2fPdFoS0v1oJNDP3zrAUayS25kt6kr Qsoo5fprSkEfkHA9gKbq0RpUqoNX4ow9NbZzIZE3QbTmADU7w0S4P1cRlcwWN6TSRkQtTMe1IwxM rDzogpYN3t0zhk9H7VA36sxhTB5J9a/0n+1QT19bFGK+gON5iGW1yN80gQrnfKEM4vRmuUXyfIPr ehQG1fvolBGzCrGTcOKymsd7XJ9dLSEOedJgudhzshDMRftf4GTuENJWBSUB/8YuetYUIiled8yT KVxgoSwLJZjVMS92wlbG1cylQyNTJ3R+WMBHhde2AgwxEJlN+yY5aQTXN0rsPzZr3x3aMrtVvzgl sxeS1ydI/WLBuhXnTSGXSgL+Mq3MNPBdJej5Z1JmyFR9rS9KYgC/I2OI6CqDn4TFSPo5yVpgWDpL rJwzkr+c1qzW0qY2mbMLL+lcdfq1fG6nZVWD2GWW5cCplEB3OPKk4BIWdVtvI8pm8pVH5A6w18/g LW33Wgx8utyW/z0qDqrEEzt47RoOkhwUprNnGYVXft3Vw1ct0MqydMZXLJiGamT181df11v8hngK g3j8uMmIY8Vs6XvgjFR7QPoT+/P1lzgrMshpgoil+o8r8ESMKg6nVgtl2Bl/oK5piVWCCNEMWcyA 6j8S0fI08+Rq7d19KM6OOQsHmmVp7fHB0JwjA69Gw+TwFufSuG9L0rjd+i4cYzM7sU/HzRJ6Ar/X xRnEjiHQMoQe+COYI9/f15wUQYZlrkq99yXOnDzclhHgdYfbxVKcCT8fMeMNkJlMmYl5xUXJ7nCw HhXR3QzawO8pEdb4ejc+SIru0uPcKfSH/3DM+rsF6SJSlKlq/P/O1WW25lARsxB5KISL0MZR2Rdq chtIzMNz8rd6RkFHuEtjXaKSfiUZH2C/cUGgeZdB/4Rl9uE1518aQQPhGNBhxXqvNAhQbsTxPbve +4q58N0jLr74aHitzuAKL0Oa2uU1241PiFMl07+P/lys/KOyIyPp82f5nAiycT2Vv0SmTDszwxU7 tbyRGFymhQN/808wFa1sJzcTM6BUSGFmDtbsakbp4DRzhvx/XyGfBX3mniQPbVj6+TPL+cfquuDc 722zArDsbwXKvoPMTf+rwSYjRDIsvXInktcqFTxzYA2Sok4YFW4uuCbSTvooECnTFSyRgWT5Ezeq NBZAPwUzXy50WhCk5uKCcxrnMeSxu3r3lX77foM0Z9YqnIU6FhRSkW/pSwi7O5MSYlaCYMbgNCvp atqlx4kbITa8eevZhEnRP7rjBxUf8v3+83urY/ZfedYXiVLGYQEs9vQvh2kU+ARhZQpQZec2Owiq eEE2kdnkWfhhweHCMueMvlX5AEtHGGoPrDcpzRB75RVcokhx7j6tw65UgzW1jDvHPvKjrr3Inwp5 SXSleRpFzW/6UeTW2cbd3DXmnKZNiLwaze0ijwd1XvPb047l8D/ir66ICECzEB7oC9KpkSr6kgC+ lvzRa7Ja7gpoef6szczQy3obJwlLiBZU98LZ2rQbdr8pZaxz1gE/ksabYBCFY7yyp28QKKZdOt0k kccCd9qqZw9XHvBZbAqRaK4QVMZIw9rdOymzNntRWtVzJ5XxePJb2XIYnOsMn5WAOnWSI8+c35Zc jKOpk/AkyMlH2sv9B1SWQ3xD5UXABFiHMbAmruSa7lZNVyr2pMrqFQqD7DHkvnhXuf8j1v+cJrbv vpw+Bokl69l4o2vGnNIhRe0IF3h8VEB3hYpEypiXLT3OV3kvvq5Z8GqhpZ5RCL49YDmPliXrvsIw 4sbIH+4fPpGLlXf8jjM5z7y7h5RA1t5j5xSAXQ6nd+KciQ2wk8TxNA7PvOF0XneYPpq6qynnp6c/ OfF9FWsnHYHT5R6GCVjGFFkWNvsWByXfyFtOcj+FA4TeAQ+Cs+uYuCesgZuDX3Ad9myVyOguCY6t aCusLAxAj/QtqrDS2Z8f7IY5SY4Dp2t8tyAGZUuMk2PPhFQqx4s2aofH5lLibBhVHwa3PbYgSZap /UyzIelwWZGC0/4JsQNcmS7Ui4yIXInnIQZZ7QqgFa7xgSoiU4OXiwGS7DTB8PhyTy61pb+S9sIJ p9QqzR9uuUuWxWr4EsQUtp0zrcvGlQxz5W5Wj9Pcyg4LOi0UVDlDADoTEP6/NAg/unh7gIFZUdMu 5Ggu6iF+YAu08q5RPjwKIV2cnDA+n4gjxs8NrtR+jIK3Ybd3Zv8kqU0dZEXDEJC/FesAVzip/UtJ 3HAGVtpOtQ+X17JTGftPsEeiR+GeKPSMAkp2UMlBLK7J5/UJpghY7aBRY9zdIALcNqitzIkMJkqS ulUW3zGTxFhhDKxSx3/kOKF9iVQzShC1zvV3ok6RQLfpBJgTqetUE+ZQx7fBVbLghK/msdhGr/9z JkaDawc87h0m/WglzmTySCtDCAdqMVDgMqXbFN2Yjv8drniuLBxEbDTNesPZd3RwOrEKUho+KNi6 j5/BY/7LIKfsIBM04LWVjS2vcEwajW0cDykzhNYGqJieF/NXNeq+DRi22qF8jZ77oyObYKhQ43DV e0/upx2BAleAUzG58PD0fd/+C84eJt/eELOTEJGfv401Ox+qEheCtzUqpzcc4qfzAbGBV7QXp9Ps sXQ9t2+Yywdq8TEFQlAyx0jhYwCGN6K5MUiMI3jxAt6vrricagLcI7UmaAmVj6ZrbnkGnFYZxCjd Xo3OaTd5U55G+iX3cnzVxOJiMMqzocQCb8tyicyLb7cqlMkiKJNY9aqfb3ljVaVmEnWF6eRKFbTl XE90IpJnzSS3KUF/JySjGTJWEq7R436u1L75ZKlKG53cwSNJZn2SF06AlGYCxyoh18LfeVk7x3EK RYN6MFkrcsKeke49fPlau2AYHV6iVmTzMlMy1gY3XkBmYk8R5cXlpjuGG0GXl03F+PVOIhBOv2Hv 7y9C5qI4ilbnOmfqF2lY+csM9kNWOym268twUZ/uAxLaMHQ1WesDe0ULt3h39hJbDFGSmXEZtmWa P1GBV4QzDbo+nUk2PZwHDzO/HM/2mpF0jBLrw4gjffGX9QvgCA6xIsA+aZmHFI5zzEmbP+jPi5jQ yAvx3K8/n/+azlHZ5vsJpAZPVXpRPFR+2UsofYgGStRWZE8ajUq5/RxF587Vot0dk0vMgfaw3Er6 GRtVsXyA9/l9Z7L7IyICJKXIi4X2h3zKouLNxzi+AQLX9213BdlsgFF134bKX0G+6JAETocjgX5A TTvG+/2rpnkzL/qPNm8+MJFtygRCVnEGAxn7Qt3QPkY2+6Tc1h6kkgo5PpW6pNhcQv5w15p5FBMu EflPTBLws0zg/pBWtcJOnNMrnbT2ntCXg7EzXypE2MZV/f9U/llzLfbBTbvAJ53okMSdB6hIE11P ue+TecXXuTVv1Oq00E3Uwfhchz8Tnimhb4vGCBglLyPMlxk04i03n8hUHZRmgjZOm8ythXqbwR8L +ruxqt4DNxdnSsQXGXOZqLY2ZO2YMeBqrQigBKLRAoAoy8oeBJv0VAVEbOTlBqax4ly8sSM/h7J9 qJ8GI5oYOanle8aMl46lgixPt6G0pzdovm3abKIMxBHo2/exvrhUZIabcNPQLxJS8vjsWxD7Aez2 Qq1i+clDvzI8wED6+K5tD13XFGOo3xHgAS5zNvHGlslOlalUWti1I2LHsj3fhAiL7EdBw8jw7MLR XJcAQOikBJXpBpHVKjDGqY/MktnChbREnPjY8bmsZ0KRs0PiBQ5f3BOsmugyzz1shhVpzsor+Ui+ 5E9R7r5ztMS45QbKRU0+Q6Jck/2jnRk+EDzJ+l8IB74JnIz7Y8SYEiGCm9T+JIIags6/Bd0ibKNG 10IN88nC1+C0wdFbE0AMU1Fb2W0LBfS8O9WJkqYOhzvIeFmDUS1noRnkV56EOmz09fPu4rIHgssr MKmkXHijKsxdJRg1Z8oSMi8jLyTqtkc++Z5ojmKGMQ5Q8H9JhD2dwbKudhMi9h+XDKrDnXr2xxIu dyr+EHfg3RCy6zX9jOxO3sNiyXxhsvAeKlWHTprsQMrgNWfP7fadYjfpp+hRF8HnDNK728oElHRq ho2BznRo/NbK2bkn0ogd0ijjym8YxC3lkJ8cwkbEXQjm1crDmnWBOt0s5rvNrhGJc9gmugZ1+Yl9 2ww5NLl5xvgECXSDsVy08boBx9o2r5o8rWFnWsD1SES8rzNRWNtFzbdBGcdrTScBVWchnD+W3pjN wN6o5x8g6D9xmUKIp0klj6CoFDkTlqkmCAql0uvz6vEF7ip0RVnYJheFPOyV4+SjAUuxjQuHoCFF x0wSII0fDRfpSY/NnZoyUtPlQ/IntkZGADRn3tN/EjEl8BYUaaCtariQCe+LA7RehnJk+cVIEByz BwHImtv/HA2QBNd8dt705Y1qciet8G0oHuzp9mW6hhpSBNr9DM4K2FkYo/oc9VGGdp2f1qChKe9Y bGKlHtHgeOEpnte3dGuZCia0qS+SdKKXakWWSHm8yC7kKKn+NmkLlCWb+LLzg2nJTbjlaGzIdR3w Z6DFGnpRl8YSFU1yvrfzMhxp/54ZUDRsElkjukTauUA/13CrDAWmXQ7ppxIOgb2jmyGObvb37G8i X/Jof3DJFOFC0+vC1tX6NaOJ0CIr6KqYc3u+xR38B776x7YeuEP0FR+Fn1XGJddfsiIy6PJ6wcNN k5TYEcIKnebaDRFy7a2NSdOm2TFrbL178DUC7Z/dvyOgTkziCSYhn8SoewwLnDk+nEzTS2Hw4Q7h V8oFH8pXmZLmLx+lUi6z/55Md+9jE1lmUry19rKf06koATfMYwZbs3B3ti+PUC0g3LXQPBHv9Y7/ QlwGt2bmaV/OQYz6xTHSkIKibqeVHaBkrci86rPLK7fO9D7bXHO5lrsn4Syn+JMD1c0YdnQzRe3S VWbsplJ9vlO2M6/H0vPXR6iOC481lAv7Zu22TKrV7763lqFTlVnuriZTbV25/Z48CPSAJ/eAjCEq KRxGQzi7veXHFJytpWsiwvaXTnbKXxKhE5egKMJXQq6xszs+DCcBb7UNQnqXLsbd+12Fusm5Cu2Z 0i4VYgYgo6aMvjtZ5RLL2JNe+ngKwU3eELwGrltd6XZE850IepzroPGLy9k9GesE4uw5Nc0frL8u v9h+Pl0Als9nColy5Epdf3vNbPqCiLDsqvEn1FEKuaKoq7JPiem13qslCAHONGSOV/am91/QOc32 CEzxVRUOETqJ59K5p1Nny8vd4yc7GRFhRPJuiooU6bhGxFpsSQ2mxMvY4G+o1GL/IAuLJ+hCs1ka nzfAx92FrmHyT0LpsEi7CjYmHhJtYFjxNwUEeF3fCnPEaEh9zMSN6XctzdkqkO+c1YOPaaftxNnH lVJiyWOSlZ8PgAQ4As2OG3letJPzalnfK5wZI4NQv5k2dvQkV+pehEwXlryOWoyhJ2EuYr8I5+Dh aBYl82G4owoVbSQAwwMEQyQ3w0nARzs+qbrBod0qnOonlhpPZzQsQlgp4TpmohLBcjGCv+0LlQrw oy13lhq1XKfIETcBnOz2eq5XkzBexg7om+jtPW0UamdGGb73xjL/1jXBmlpMgaZoHumul9Vv7fUb CPUYlfhJWW5C7ySFfuvA8/icn7KCQNul1EWxaO8aYTt6imwAH6leZv8f/3K7Yi8W3V8FCn0njkcd FP5DBQ5IgzE8wys09SVJwsiTJ5QrZJy9i7Da6fgqmIKznFer0feRQza6LDGi4hBcPbh9M40WWDL2 8c6rVaUGfIfkBddSpSaeNqOPvD2CnwKY9heXCivvD2sUi25+9ZpcKcCNdfWxA1cxTPdd+y45t1Sc 3pCE8nlQFIp9tXBcjvXkYc+Z5wwSw9qLbA42oSLH7IJbNd7Za3pQn/Cgiuv7GL+KCdGAAxLv8uLT VxfLgn3Pxteb61nKGfL2Qsga7JI+NjcJFKP9m6zef/hgzby8AYkLNYH8oDNWna/sNGEEMh4l/zSG LZU0qx0ZiJR88X+9NcMBKcbMskPL9wHkU1QuTD3bi+NkLi4zW04ea++UzmCy1RBOIGSFYF8h6vhv y5+bIDyr2xf25AWlqtDKVwsGEq+S7rtael4MI1m4N1PkbYWiu6rL20m8NLzlKG1ncQw5G+2SJInY 3zpEnBKNL7WHCtg7oHL4qFdTgHUKbwPETZ0MirVP1Nza8CeDHOU0L5HIRXI7b37BLt5iTJTiECqD DUuRrGqQuVDjcePw4y6andX+BGU86NkSAurJbiPcOFcp+86gXEHA6QFn6BF/M5xqXhAzqKawyuOH ly+bWfb3bfzrd42AbyM8Z8Pc8CK98Y/uD9LPFJbGmd2nHr3cZu+DQ7sh2uHTvxbL2RFHORSCqJdG cZutWkH4UY2CMkhWX34rh/1SLJkxnPpZxcq5KnAOMa2tRDfC+WDViJPVbAMd2MbRzJ4KoTLq86hp fBmCE7JiEO69YyI7jO5F3+a+YWt6Wki6o4B3H5lxqFqJ66OalEpVNtkd2grfc6KGFfqHq0eb0pkY jwLhnAqO8fxkHt5CthaPVOsGd3MYUCFMXBILFuP2RN91Ls61USMA90KY+PBLZ6ltTaKfD2M+n6UP Zr5KXu36EOme87c7e6YwcWqoU/EWgWLCMoowWf4MbpXbBE0A2ztklB3ibrWClezBAp2/TQSLHyHY +n+XjXMbhO8osYbpJZTbqv1JcslY11e9elVFe3FIjqLEo0IYugzUSuNBNoTOUrM8MsZMvgBXvJDj jCTpNVv/ATzTbEVoXkGf/+oSRWiQX6e6vyYBWkQySTEZ1awnR41bRKrcyvfnVstEgBK1fJXI7K7P YI/fqrMktQ6CV4fGuPHzZM2DIGZTgkRk1b/lPmugJeWCv+w8ixe8CkOB3RFMKSjMO2Wh2VuZx0xS f11croChUlmawqDMaowd5k700+TzWjL8YDNQRoU7bKnBYgefSqy7IniDhFVGiYAjiYmXIIZrc/pX t4GBVX1ALLmLVqnJbEYm9ThyJu1/FV3keq8c1Bihv3bJF7TNiSMk4C0pBO3rJgDcfNX4ZaFFhJOd s33otGgZ472GThEJIhFDO6MsHg4tTsV7v5NxCyM4fs2EWBfT2/7sF3MKZKcL4m6yZiee9ZptpeOM 0QJPfNImVnNg7x74rglVJZYHFdKWYfckGpaJ09GC5R5kluwfgSFUdtEhL3ifAnHwHhJGnctKW2M3 BZuHlNRLjOuMCyWR3Gb8PpF5nMdC2oDtmbYAKmCNA2PO9Rvuqdein3OuPurPYFUqtMfbJIhnWukn L6BTmJ6NbHQC8q8mO4BY3ULOLzEq5yVyY2jkCeiRccsgYrXay9GbP8gr60BRnCsA2BAI/rhmgkoi YoyuDwONTeP60Rh92l3vC/LL46gZdB9pbIkeSoCRW1TXnoEUKAv9x230z6JJTz+lamVi3glUR6HG QCGj4ApXc2Z0gm/uyv1R4GW9S3Xpa6PP+3eJw3zbZ2BLkn/uQe6/VApZ45160Bl0YXRITQHEQZrX kzsPw5WgiSFjSm4DHcbOsZvu/3kb68WT27KRlBktYzLxso9Yh0gbgwgy1fNfD4hfNkRe4ebGaa1V wju4NIN863AW8MiAAxq35GCOOT1IRpna1Px7fzLEfvAZKfYhjQQ5vU50KP/nsFcZ08gLrMVBDO3+ JZWQoQW31oGM5VvQ5GCj+chU3hK6F0g6KX73T9C8U/VFtbbwWgIAfaOqsMPcpkuMU3TH3/DYfnYj ZdMLMxkX+6OsMnahlFOXaN8Q1ZF5Sd0LjjypcDCuKQSuYMiWnwPNb1N8Rvnu6v8mA184vQ0nxMgT 2sXxgpL9t1nv2ahV/T6ninFVGAfxRqXsqRsaW3+4v7+ky2hSsaXeu5JzEwuCMY4jJO37MNNa1E2a 8Tq98F4AlOH/LkQd9VOPbwQ3Rn7X3JGHNRV98o6pUHylXsFgMjQplracaaxa3d0JyVjT0INQJnSv yviCcxrXrAUa075A1DQam1f4wyTQaJ6/oCPJ20TltHiPFisBcHZB9ehJpsU6o1pzdQjJaqeSM062 pmaYpqbX/qPgqwFB8NQX2NZAf26iItmrI51Dgpdatiy+fjAdnrk1O2i6zejbSEJBf8nSs4xEHaqY CdOST6pQST41C1GRW7aBOqlBQtQ/phyQ/RLRucL9ztuBW+MwmZkkfb+1nU8GLKmsCiCYJtpDIvCM Ik2jQIFTd2qtYnDtgu4CwgjABeH/TM4RNfNIDlTQ1Ug4RFKdYQCNLD9PGJP9miWmANlVPrw2zTz8 AEfNoA1OfG2upkMVXzPHW4bqghYibFrKeLZYmgRRyAyF4RWVGTivsmOGVj+IFTNNuN2vOoFRPDrj /erXdmplB7eBkZQd0AM67qo47AeprYt8acxVE0r/vPjRmMq0naEub9vYOFX5/4LAw+fH1Tjzm9Rk hZOMRBg5DCHIcFPcdY6zLgQ0Kh7/hTsqj3Zl4NHhKElroAUGx1IhxamBCiWEEfV1zadJ2Ly1pvJs DgRVpkFUr8ThmEy/+02kRovxiFCAjbp9opt2XoJfcbzsaOAIP6e3am6aa2ReacKZChQEegeIiOHM oqOgC1hL7B+rzRDeKWLSmCK34fWUaUpRtBhAo8KNBpQwiv6okhN6xCwtYKTcb1sg7nuWXVjIUZ6I NK9oUcuhHqOM/4en34FY6PPXxoOpah7CU/ubvNjjsj3NUPinJuZxrvTApC3J8+0JLJ3PG/BeNvxW k2lgeQi9Qv4vWonLoVa42kZHuqf3Fcf3csux2tbQEiTzLfGwPNaGmwW67LQQnXVuszwX4HKPoK1r F+qnomjiZnBLPMMlcwQDAh0mhv9byiv3GZtVMn7VgFAEfh1de+2C5YBZK4wpW1jrKOuCk9aMgwzT d+CU4rwcJn3x3/Z7DhCclemy3EPJck9AmrT13XNvAOWZsXTp2XcNmZEJiz9NvHdMyXGY+UyLZcZY ymkjXUWTg1rYSMic9NfZdoUYpisawv0MQMN3aaQrRjizsinP0nXATbjgvhpEM5A/pG/SvurKscJN jzmFoa5jZ1fA4JHKwYDfda671P2XpFuiQEM6xnMe2KFqU8teOMpyO/aDQlmVaPG+5wwCh2CUPQfO +WjF8Pl8upQLQHLPZPMQrcdOlyJu `protect end_protected
-- Copyright (c) University of Florida -- -- This file is part of window_gen. -- -- window_gen is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- window_gen is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with window_gen. If not, see <http://www.gnu.org/licenses/>. -- Greg Stitt -- University of Florida -- This file provides a template for integrating the window generator into -- a datapath. Note that this is not a functional example and is instead -- used solely for explaining how to interface an input source with the -- generator, and how to handle outputs from the generator. -- -- The code has various TODO markers that should be updated when using a -- specific application. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.math_custom.all; use work.window_gen_pkg.all; entity template is generic ( IN_RAM_WORDS : positive; OUT_RAM_WORDS : positive; IN_DATA_WIDTH : positive; OUT_DATA_WIDTH : positive; MAX_WINDOW_ROWS : positive; MAX_WINDOW_COLS : positive; MAX_IMAGE_ROWS : positive; MAX_IMAGE_COLS : positive; NUM_PIPELINES : positive); port ( clk : in std_logic; rst : in std_logic; go : in std_logic; window_rows : in std_logic_vector(bitsNeeded(MAX_WINDOW_ROWS)-1 downto 0); window_cols : in std_logic_vector(bitsNeeded(MAX_WINDOW_COLS)-1 downto 0); image_cols : in std_logic_vector(bitsNeeded(MAX_IMAGE_COLS)-1 downto 0); image_rows : in std_logic_vector(bitsNeeded(MAX_IMAGE_ROWS)-1 downto 0) -- TODO: add application specific I/O ); end template; architecture default of template is constant WINDOW_BITS : positive := MAX_WINDOW_ROWS*MAX_WINDOW_COLS*IN_DATA_WIDTH; -- 2D array representing a window type window_t is array(0 to MAX_WINDOW_ROWS-1, 0 to MAX_WINDOW_COLS-1) of std_logic_vector(IN_DATA_WIDTH-1 downto 0); -- 1D array of 2D windows type window_array_t is array (0 to NUM_PIPELINES-1) of window_t; -- a huge vectorized version of all NUM_PIPELINE windows signal wg_out : std_logic_vector(MAX_WINDOW_ROWS*(MAX_WINDOW_COLS+NUM_PIPELINES-1)*IN_DATA_WIDTH-1 downto 0); -- all of the windows in 2D format signal windows : window_array_t; signal window_valid : std_logic_vector(NUM_PIPELINES-1 downto 0); signal wg_done : std_logic; signal wg_empty : std_logic; signal wg_ready : std_logic; signal read_windows : std_logic; signal input_valid : std_logic; signal pipe_valid_in : std_logic_vector(NUM_PIPELINES-1 downto 0); signal in_ram_wen : std_logic; signal in_ram_waddr : std_logic_vector(bitsNeeded(IN_RAM_WORDS)-1 downto 0); signal in_ram_wdata : std_logic_vector(IN_DATA_WIDTH-1 downto 0); signal in_ram_raddr : std_logic_vector(bitsNeeded(IN_RAM_WORDS)-1 downto 0); signal in_ram_rdata : std_logic_vector(IN_DATA_WIDTH-1 downto 0); signal out_ram_wen : std_logic; signal out_ram_waddr : std_logic_vector(bitsNeeded(OUT_RAM_WORDS)-1 downto 0); signal out_ram_wdata : std_logic_vector(OUT_DATA_WIDTH-1 downto 0); signal out_ram_raddr : std_logic_vector(bitsNeeded(OUT_RAM_WORDS)-1 downto 0); signal out_ram_rdata : std_logic_vector(OUT_DATA_WIDTH-1 downto 0); type state_t is (WAIT_FOR_GO, GENERATE_ADDRESS); signal state : state_t; ----------------------------------------------------------------------- -- Procedure devectorizeWindow -- Description: convert a 1D vectorized representation of an output window -- into a corresponding 2D array for easier processing. Note -- that this always determines a window of size -- MAX_WINDOW_ROWS X MAX_WINDOW_COLS. For smaller windows, -- just ignore the extra rows and columns. -- -- Parameters: -- vector : The 1D-vectorized version of the 2D array, stored in row-major -- order. Index (0,0) starts at the MSB in the vector, with the -- LSB storing the end of index (total_rows-1, total_cols-1) -- window : the window as a 2D array (t_window) -- index : In case multiple windows are specified in the output, index -- specifies which one to get -- -- Preconditions: index < PARALLEL_IO, vector must be the -- appropriate size with data stored as described above. ----------------------------------------------------------------------- procedure devectorizeWindow(signal vector : std_logic_vector; window : out window_t; index : natural) is begin for i in 0 to MAX_WINDOW_ROWS-1 loop for j in index to MAX_WINDOW_COLS+index-1 loop window(i, j-index) := getVectorElement(vector, i, j, MAX_WINDOW_ROWS, MAX_WINDOW_COLS+NUM_PIPELINES-1, IN_DATA_WIDTH); end loop; end loop; end devectorizeWindow; begin -- STR ------------------------------------------------------------------------- -- Input Source -- Notes: Inputs can be provided to the sliding-window generator from -- potentially any source. In this example, we instantiate a block RAM with -- a word width equivalent to the number of replicated pipelines we would -- like to use. For example, if NUM_PIPELINES is 4, this RAM can provide 4 -- inputs to the window generator every cycle, which will later output up -- to 4 windows per cycle. -- -- If using an external RAM as the input source, this RAM would likely be -- replaced with a FIFO that has a read port width of the same size as this -- RAM word width. In most cases, that FIFO will have a write port width -- equivalent to the width of the external memory's data bus. For example, -- if reading from a memory with a 128-bit data bus into a window generator -- that reads 64 bits per cycle, you would use a FIFO with a 128-bit write -- port and 64-bit read port. -- -- A third possibility for the input source is to connect the window -- generator directly to the output of another entity. e.g. a chain -- of filters, where each filter uses a sliding-window generator followed -- by a pipeline that provides input to the next window generator. ------------------------------------------------------------------------- U_INPUT_RAM : entity work.RAM(SYNC_READ) generic map( num_words => IN_RAM_WORDS, word_width => IN_DATA_WIDTH*NUM_PIPELINES, addr_width => bitsNeeded(IN_RAM_WORDS)) port map ( clk => clk, wen => in_ram_wen, waddr => in_ram_waddr, wdata => in_ram_wdata, raddr => in_ram_raddr, rdata => in_ram_rdata); -- example addressing logic for the input RAM -- The exact logic depends on the type input source, the timing of the -- input source, etc. This example is simply meant to illustrate the -- basic concept for generating an input stream for the for the window -- generator. process(clk, rst) begin if (rst = '1') then in_ram_raddr <= (others => '0'); input_valid <= '0'; state <= WAIT_FOR_GO; elsif (rising_edge(clk)) then case state is when WAIT_FOR_GO => in_ram_raddr <= (others => '0'); input_valid <= '0'; if (go = '1') then state <= GENERATE_ADDRESS; end if; when GENERATE_ADDRESS => if (wg_ready = '1') then in_ram_raddr <= std_logic_vector(unsigned(in_ram_raddr) + 1); -- TODO: Update timing depending on latency of input source input_valid <= '1'; end if; -- TODO: Add completion logic when others => null; end case; end if; end process; ------------------------------------------------------------------------- -- Sliding-window generator ------------------------------------------------------------------------- U_WINDOW_GEN : entity work.window_gen generic map ( PARALLEL_IO => NUM_PIPELINES, MAX_WINDOW_ROWS => MAX_WINDOW_ROWS, MAX_WINDOW_COLS => MAX_WINDOW_COLS, MAX_IMAGE_ROWS => MAX_IMAGE_ROWS, MAX_IMAGE_COLS => MAX_IMAGE_COLS, INPUT0_AT_MSB => false, -- RAM likely stores first input at LSB DATA_WIDTH => IN_DATA_WIDTH) port map ( clk => clk, rst => rst, go => go, ready => wg_ready, read_enable => read_windows, empty => wg_empty, image_rows => image_rows, image_cols => image_cols, window_rows => window_rows, window_cols => window_cols, input => in_ram_rdata, -- input from RAM input_valid => input_valid, -- TODO: Make sure this logic is timed -- appropriately. output => wg_out, window_valid => window_valid, done => wg_done ); -- The output of the window buffer is a huge std_logic_vector that -- represents all NUM_PIPELINES windows. This code converts the huge vector -- into an 2D array representation that is easier to work with. -- -- This would be made much easier by VHDL 2008, where the generator could -- output this array itself. Once 2008 is more widely supported, we plan to -- add a 2008 wrapper around the window generator to provide a more -- convenient interface. process(wg_out) variable temp_window : window_t; begin for i in 0 to NUM_PIPELINES-1 loop devectorizeWindow(wg_out, temp_window, i); windows(i) <= temp_window; end loop; end process; -- read/remove the current windows when the generator isn't empty -- TODO: add pipeline enable here if necessary (e.g. likely shouldn't read -- if the pipeline is stalled) read_windows <= not wg_empty; ------------------------------------------------------------------------- -- Replicated Pipelines -- TODO: Add sliding-window function here for each window. ------------------------------------------------------------------------- U_PIPELINES : for i in 0 to NUM_PIPELINES-1 generate -- determine the validity of each pipeline input (i.e. window) -- TODO: add pipeline enable here if necessary (e.g., if the window -- wasn't read from the generator, you might not want to tell the -- pipeline the input is valid) pipe_valid_in(i) <= window_valid(i); -- TODO: INSTANTIATE SLIDING-WINDOW FUNCTION PIPELINES -- FOR EACH WIDOW window(i) end generate; ------------------------------------------------------------------------- -- Output -- Notes: Like the input source, the output can potentially be any circuit -- that can accept a stream of pipeline outputs. -- -- One additional challenge for storing outputs is that there are cases -- where the window generator will output less than NUM_PIPELINES windows, -- in which case some of the pipeline outputs will be invalid while others -- are valid. -- -- To deal with this situation, we recommend several possibilities. One -- simple solution if storing to memory is to also store the invalid -- outputs and then use softwareto filter out the invalid outputs. -- A second option is to use a FIFO-like entity that can accept a -- variable number of inputs (i.e. pipeline outputs) every cycle. -- In this case, the FIFO will only store the valid outputs, -- which filters out the invalid outputs. If connecting the pipeline -- outputs to another downstream entity, it may also be convenient to -- filter out the invalid outputs there. ------------------------------------------------------------------------- U_OUTPUT_RAM : entity work.RAM(SYNC_READ) generic map( num_words => OUT_RAM_WORDS, word_width => OUT_DATA_WIDTH, addr_width => bitsNeeded(OUT_RAM_WORDS)) port map ( clk => clk, wen => out_ram_wen, waddr => out_ram_waddr, wdata => out_ram_wdata, raddr => out_ram_raddr, rdata => out_ram_rdata); end default;
-- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/> -- -- Copyright (C) 2014 Jakub Kicinski <[email protected]> library IEEE; use IEEE.STD_LOGIC_1164.all; -- globals for projects using my modules package globals is constant FPGA_CLK_FREQ : integer := 100000000; -- 100 MHz subtype byte_t is std_logic_vector(7 downto 0); -- register interface constant REG_ADDR_W : integer := 5; subtype reg_addr_t is std_logic_vector(REG_ADDR_W - 1 downto 0); constant reg_addr_invl : std_logic_vector(REG_ADDR_W - 1 downto 0) := ( others => '1' ); type reg_bus_t is record wr : std_logic; data : byte_t; addr : reg_addr_t; end record reg_bus_t; end globals; package body globals is end globals;
library verilog; use verilog.vl_types.all; entity MUX4_1_IControl is port( Sel : in vl_logic_vector(1 downto 0); S0 : in vl_logic_vector(31 downto 0); S1 : in vl_logic_vector(31 downto 0); S2 : in vl_logic_vector(31 downto 0); S3 : in vl_logic_vector(31 downto 0); \out\ : out vl_logic_vector(31 downto 0) ); end MUX4_1_IControl;
-------------------------------------------------------------------------------------- -- The configuration settings choose by the user are read here. If a modification is -- detected, a configuration is started with the new values. -- This bloc generates the triggers to acquire data at the sample rate define by user. -------------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; --USE ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use work.mpu_pkg.all; entity mpu_acqui is port ( clk_proc : in std_logic; reset : in std_logic; sda : inout std_logic; scl : inout std_logic; AD0 : out std_logic; parameters : in param; accelero : out flow; gyroscope : out flow; compass : out flow ); end mpu_acqui; architecture RTL of mpu_acqui is signal en : std_logic; signal spl_rate : std_logic_vector(7 downto 0); signal gyro_config : std_logic_vector(1 downto 0); signal accel_config : std_logic_vector(1 downto 0); signal gain_compass : std_logic_vector(2 downto 0); signal freq_compass : std_logic_vector(2 downto 0); signal trigger : std_logic; signal config_button : std_logic; signal wr_en : std_logic; signal rd_en : std_logic; signal rd_en_dl : std_logic; signal data_fifo_in : std_logic_vector(7 downto 0); signal count_fifo : std_logic_vector(5 downto 0); signal wr_en_dl : std_logic; signal wr_en_flag : std_logic; signal reset_fifo_buffer: std_logic; signal trigger_auto : std_logic; signal config_change : std_logic; signal en_dl : std_logic; signal spl_rate_dl : std_logic_vector(7 downto 0); signal gyro_config_dl : std_logic_vector(1 downto 0); signal accel_config_dl : std_logic_vector(1 downto 0); signal gain_compass_dl : std_logic_vector(2 downto 0); signal freq_compass_dl : std_logic_vector(2 downto 0); signal run_conf : std_logic; signal not_reset : std_logic; signal data_fifo_out : std_logic_vector(7 downto 0); signal rd_accel,rd_gyro : std_logic; signal rd_comp : std_logic; signal count_rst_fifo : unsigned(3 downto 0); signal count_param : integer range 0 TO 30_000_000; signal spl_ratex2 : unsigned(8 downto 0); signal COUNT_ONE_ACQUI : INTEGER RANGE 0 TO 30_000_000; signal spl_rate_mpu : std_logic_vector(7 downto 0); signal rd_fifo_count : std_logic; signal rd_comp_dl : std_logic; signal ready : std_logic; signal rd_ready_f : std_logic; signal fifo_mpu_count : std_logic_vector(15 downto 0); signal fifo_mpu_res : unsigned(15 downto 0); signal data_read : std_logic_vector(7 downto 0); signal wr_en_fifo : std_logic; begin mpu_i2c_inst : entity work.mpu_i2c(behavioral) port map ( clk => clk_proc, en => en, reset_n => reset, config_button => config_button, trigger => trigger, data_read => data_read, fifo_wr_en => wr_en, spl_rate => spl_rate_mpu, gyro_config => gyro_config, accel_config => accel_config, gain_compass => gain_compass, freq_compass => freq_compass, reset_fifo_buffer => reset_fifo_buffer, run_conf => run_conf, rd_fifo_count => rd_fifo_count, sda => sda, scl => scl ); mpu_fifo_inst_1 : entity work.mpu_fifo(syn) port map ( aclr => not_reset, data => data_fifo_in, rdclk => clk_proc, rdreq => rd_en, wrclk => clk_proc, wrreq => wr_en_fifo, q => data_fifo_out, rdempty => open, rdusedw => count_fifo, wrfull => open ); mpu_off_inst : entity work.offset_correction(RTL) port map ( clk => clk_proc, reset => reset, enable => en, rd_fifo_count => rd_fifo_count, parameters => parameters, wr_en_flag => wr_en_flag, wr_fifo => wr_en_fifo, data_i => data_read, data_o => data_fifo_in ); ------ Generates triggers in order to read data from mpu. Check the mpu internal FIFO count bytes between each trigger process(clk_proc,reset) begin if reset='0' then trigger_auto <= '0'; reset_fifo_buffer <= '0'; count_param <= 0; count_rst_fifo <= x"0"; rd_fifo_count <= '0'; elsif clk_proc'event and clk_proc='1' then ----- Generates triggers for reading data from mpu if en='1' then if spl_rate <= x"0F" then spl_rate_mpu <= x"84"; --60.15hz elsif spl_rate <= x"1E" then spl_rate_mpu <= x"57"; --90.9hz elsif spl_rate <= x"3C" then spl_rate_mpu <= x"34"; --150.9hz else spl_rate_mpu <= x"19"; end if; if run_conf='0' then count_param <= count_param + 1; if count_param < COUNT_ONE_ACQUI-TRIGGER_OR_RST_FIFO_T then rd_fifo_count <= '0'; if count_rst_fifo = x"1" then trigger_auto <= '1'; reset_fifo_buffer <= '0'; else trigger_auto <= '0'; reset_fifo_buffer <= '1'; end if; elsif count_param <= COUNT_ONE_ACQUI-COUNT_FIFO_BYTES_T then reset_fifo_buffer <= '0'; trigger_auto <= '0'; if count_rst_fifo=x"0" then rd_fifo_count <= '1'; end if; elsif count_param <= COUNT_ONE_ACQUI then if count_param = COUNT_ONE_ACQUI then count_rst_fifo <= count_rst_fifo+1; count_param <= 0; if count_rst_fifo = x"1" then count_rst_fifo <= x"0"; end if; end if; end if; else count_rst_fifo <= x"0"; count_param <= 0; trigger_auto <= '0'; reset_fifo_buffer <= '0'; rd_fifo_count <= '0'; end if; else count_rst_fifo <= x"0"; count_param <= 0; trigger_auto <= '0'; reset_fifo_buffer <= '0'; rd_fifo_count <= '0'; end if; end if; end process; ------ Get the parameters set by user. process(clk_proc,reset) begin if reset='0' then spl_rate_dl <= x"00"; accel_config_dl <= "00"; gyro_config_dl <= "00"; gain_compass_dl <= "000"; freq_compass_dl <= "000"; en_dl <= '0'; elsif clk_proc'event and clk_proc='1' then ----- Assignation of the parameters en <= parameters(0)(31); spl_rate <= parameters(0)(30 downto 23); gyro_config <= parameters(0)(22 downto 21); accel_config <= parameters(0)(20 downto 19); gain_compass <= parameters(0)(18 downto 16); freq_compass <= parameters(0)(15 downto 13); config_button <= config_change; ----- Keep data to detect a change on the configuration spl_rate_dl <= spl_rate; accel_config_dl <= accel_config; gyro_config_dl <= gyro_config; gain_compass_dl <= gain_compass; freq_compass_dl <= freq_compass; en_dl <= en; end if; end process; ------ Set Read and Write flags for the FIFO and set data_valid/flow_valid for each flow. process(clk_proc,reset) begin if reset='0' then rd_en_dl <= '0'; rd_en <= '0'; rd_accel <= '0'; rd_gyro <= '0'; rd_comp <= '0'; wr_en_dl <= '0'; wr_en_flag <= '0'; elsif clk_proc'event and clk_proc='1' then wr_en_dl <= wr_en; wr_en_flag <= wr_en and not wr_en_dl; rd_en_dl <= rd_en; rd_comp_dl <= rd_comp; ----- Reading FIFO and set data_valid and flow_valid for each flow if rd_fifo_count='1' then if count_fifo = "000010" then rd_en <= '1'; elsif count_fifo = "000001" then rd_en <= '0'; end if; else if rd_en='1' then if count_fifo = "010100" then rd_accel <= '1'; elsif count_fifo = "001111" then rd_accel <= '0'; elsif count_fifo = "001101" then rd_gyro <= '1'; elsif count_fifo = "000111" then rd_comp <= '1'; rd_gyro <= '0'; elsif count_fifo = "000010" then rd_en <= '0'; rd_gyro <= '0'; end if; else if count_fifo = "010100" then rd_en <= '1'; elsif count_fifo = "000000" then rd_comp <= '0'; end if; end if; end if; end if; end process; ------ After reading how many bytes are in the FIFO of the mpu, we look if there are only full samples. ------ If one sample is not full, then the data is not read (flag 'ready'=0). process(clk_proc,reset) begin if reset = '0' then ready <= '0'; fifo_mpu_res <= x"0000"; fifo_mpu_count <= x"0000"; elsif clk_proc'event and clk_proc = '1' then ----- Generate write enable flag for the FIFO if rd_ready_f='1' then fifo_mpu_count <= fifo_mpu_count(7 downto 0) & data_fifo_out; else fifo_mpu_res <= unsigned(fifo_mpu_count) rem 20; end if; if fifo_mpu_res=x"0000" then ready <= '1'; else ready <= '0'; end if; end if; end process; AD0 <= AD0_value; -- For mpu i2c address not_reset <= not reset; -- Fifo asynchronous reset trigger <= trigger_auto when en='1' else '0'; -- Set trigger when enable rd_ready_f <= rd_en_dl and rd_fifo_count and rd_en; -- Reading Fifo count bytes from mpu ----- Determine the time between each trigger spl_ratex2 <= unsigned(spl_rate)&'0'; COUNT_ONE_ACQUI <= to_integer(clk_50M/spl_ratex2); ----- Detecting a modification of the configuration config_change <= '1' when (spl_rate/=spl_rate_dl or gyro_config/=gyro_config_dl or accel_config/=accel_config_dl or gain_compass/=gain_compass_dl or freq_compass/=freq_compass_dl) or en/=en_dl else '0'; ----- Set output flows accelero.dv <= rd_accel and ready; accelero.fv <= rd_accel and ready; accelero.data <= data_fifo_out; gyroscope.dv <= rd_gyro and ready; gyroscope.fv <= rd_gyro and ready; gyroscope.data <= data_fifo_out; compass.dv <= rd_comp and rd_en_dl and ready; compass.fv <= rd_comp and rd_en_dl and ready; compass.data <= data_fifo_out; end RTL;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity CoPro6502papilio is generic ( UseT65Core : boolean := false; UseJensCore : boolean := false; UseAlanDCore : boolean := true ); port ( -- GOP Signals fastclk : in std_logic; -- Tube signals h_phi2 : in std_logic; h_addr : in std_logic_vector(2 downto 0); h_data : inout std_logic_vector(7 downto 0); h_rdnw : in std_logic; h_cs_b : in std_logic; h_rst_b : in std_logic; h_irq_b : inout std_logic; -- Ram Signals ram_cs : out std_logic; ram_oe : out std_logic; ram_wr : out std_logic; ram_addr : out std_logic_vector (18 downto 0); ram_data : inout std_logic_vector (7 downto 0); led_ram : out std_logic; led_halt : out std_logic ); end CoPro6502papilio; architecture BEHAVIORAL of CoPro6502papilio is component dcm_32_16 port ( CLKIN_IN : in std_logic; CLK0_OUT : out std_logic; CLK0_OUT1 : out std_logic; CLK2X_OUT : out std_logic ); end component; component tuberom_65c102 port ( CLK : in std_logic; ADDR : in std_logic_vector(10 downto 0); DATA : out std_logic_vector(7 downto 0)); end component; component T65 port( Mode : in std_logic_vector(1 downto 0); Res_n : in std_logic; Enable : in std_logic; Clk : in std_logic; Rdy : in std_logic; Abort_n : in std_logic; IRQ_n : in std_logic; NMI_n : in std_logic; SO_n : in std_logic; DI : in std_logic_vector(7 downto 0); R_W_n : out std_logic; Sync : out std_logic; EF : out std_logic; MF : out std_logic; XF : out std_logic; ML_n : out std_logic; VP_n : out std_logic; VDA : out std_logic; VPA : out std_logic; A : out std_logic_vector(23 downto 0); DO : out std_logic_vector(7 downto 0) ); end component; component r65c02_tc port( clk_clk_i : in std_logic; d_i : in std_logic_vector(7 downto 0); irq_n_i : in std_logic; nmi_n_i : in std_logic; rdy_i : in std_logic; rst_rst_n_i : in std_logic; so_n_i : in std_logic; a_o : out std_logic_vector(15 downto 0); d_o : out std_logic_vector(7 downto 0); rd_o : out std_logic; sync_o : out std_logic; wr_n_o : out std_logic; wr_o : out std_logic ); end component; component r65c02 port( reset : in std_logic; clk : in std_logic; enable : in std_logic; nmi_n : in std_logic; irq_n : in std_logic; di : in unsigned(7 downto 0); do : out unsigned(7 downto 0); addr : out unsigned(15 downto 0); nwe : out std_logic; sync : out std_logic; sync_irq : out std_logic ); end component; component tube port( h_addr : in std_logic_vector(2 downto 0); h_cs_b : in std_logic; h_data : inout std_logic_vector(7 downto 0); h_phi2 : in std_logic; h_rdnw : in std_logic; h_rst_b : in std_logic; h_irq_b : inout std_logic; p_addr : in std_logic_vector(2 downto 0); p_cs_b : in std_logic; p_data_in : in std_logic_vector(7 downto 0); p_data_out : out std_logic_vector(7 downto 0); p_rdnw : in std_logic; p_phi2 : in std_logic; p_rst_b : out std_logic; p_nmi_b : inout std_logic; p_irq_b : inout std_logic ); end component; ------------------------------------------------- -- clock and reset signals ------------------------------------------------- signal clk_16M00 : std_logic; signal phi0 : std_logic; signal phi1 : std_logic; signal phi2 : std_logic; signal phi3 : std_logic; signal cpu_clken : std_logic; signal bootmode : std_logic; signal RSTn : std_logic; signal RSTn_sync : std_logic; signal clken_counter : std_logic_vector (1 downto 0); signal reset_counter : std_logic_vector (8 downto 0); signal led_counter : std_logic_vector (23 downto 0); ------------------------------------------------- -- parasite signals ------------------------------------------------- signal p_cs_b : std_logic; signal gated_p_cs_b : std_logic; signal p_data_out : std_logic_vector (7 downto 0); ------------------------------------------------- -- ram/rom signals ------------------------------------------------- signal ram_cs_b : std_logic; signal ram_oe_int : std_logic; signal ram_wr_int : std_logic; signal rom_cs_b : std_logic; signal rom_data_out : std_logic_vector (7 downto 0); ------------------------------------------------- -- cpu signals ------------------------------------------------- signal cpu_R_W_n : std_logic; signal cpu_addr : std_logic_vector (23 downto 0); signal cpu_addr_us: unsigned (23 downto 0); signal cpu_din : std_logic_vector (7 downto 0); signal cpu_dout : std_logic_vector (7 downto 0); signal cpu_dout_us: unsigned (7 downto 0); signal cpu_IRQ_n : std_logic; signal cpu_NMI_n : std_logic; signal cpu_IRQ_n_sync : std_logic; signal cpu_NMI_n_sync : std_logic; signal sync : std_logic; begin --------------------------------------------------------------------- -- instantiated components --------------------------------------------------------------------- inst_dcm_32_16 : dcm_32_16 port map ( CLKIN_IN => fastclk, CLK0_OUT => clk_16M00, CLK0_OUT1 => open, CLK2X_OUT => open ); inst_tuberom : tuberom_65c102 port map ( CLK => clk_16M00, ADDR => cpu_addr(10 downto 0), DATA => rom_data_out ); GenT65Core: if UseT65Core generate inst_T65 : T65 port map ( Mode => "01", Abort_n => '1', SO_n => '1', Res_n => RSTn_sync, Enable => cpu_clken, Clk => clk_16M00, Rdy => '1', IRQ_n => cpu_IRQ_n_sync, NMI_n => cpu_NMI_n_sync, R_W_n => cpu_R_W_n, Sync => sync, A(23 downto 0) => cpu_addr, DI(7 downto 0) => cpu_din, DO(7 downto 0) => cpu_dout ); end generate; GenJensCore: if UseJensCore generate Inst_r65c02_tc: r65c02_tc PORT MAP( clk_clk_i => phi0, d_i => cpu_din, irq_n_i => cpu_IRQ_n_sync, nmi_n_i => cpu_NMI_n_sync, rdy_i => '1', rst_rst_n_i => RSTn_sync, so_n_i => '1', a_o => cpu_addr(15 downto 0), d_o => cpu_dout, rd_o => open, sync_o => sync, wr_n_o => cpu_R_W_n, wr_o => open ); end generate; GenAlanDCore: if UseAlanDCore generate inst_r65c02: r65c02 port map( reset => RSTn_sync, clk => clk_16M00, enable => cpu_clken, nmi_n => cpu_NMI_n_sync, irq_n => cpu_IRQ_n_sync, di => unsigned(cpu_din), do => cpu_dout_us, addr => cpu_addr_us(15 downto 0), nwe => cpu_R_W_n, sync => sync, sync_irq => open ); cpu_dout <= std_logic_vector(cpu_dout_us); cpu_addr <= std_logic_vector(cpu_addr_us); end generate; inst_tube: tube port map ( h_addr => h_addr, h_cs_b => h_cs_b, h_data => h_data, h_phi2 => h_phi2, h_rdnw => h_rdnw, h_rst_b => h_rst_b, h_irq_b => h_irq_b, p_addr => cpu_addr(2 downto 0), p_cs_b => gated_p_cs_b, p_data_in => cpu_dout, p_data_out => p_data_out, p_rdnw => cpu_R_W_n, p_phi2 => clk_16M00, p_rst_b => RSTn, p_nmi_b => cpu_NMI_n, p_irq_b => cpu_IRQ_n ); gated_p_cs_b <= not((not p_cs_b) and cpu_clken); p_cs_b <= '0' when cpu_addr(15 downto 3) = "1111111011111" else '1'; rom_cs_b <= '0' when cpu_addr(15 downto 11) = "11111" and cpu_R_W_n = '1' and bootmode = '1' else '1'; ram_cs_b <= '0' when p_cs_b = '1' and rom_cs_b = '1' else '1'; cpu_din <= p_data_out when p_cs_b = '0' else rom_data_out when rom_cs_b = '0' else ram_data when ram_cs_b = '0' else x"f1"; ram_cs <= ram_cs_b; ram_oe_int <= not ((not ram_cs_b) and cpu_R_W_n); ram_oe <= ram_oe_int; ram_wr_int <= not ((not ram_cs_b) and (not cpu_R_W_n) and phi1); ram_wr <= ram_wr_int; -- for an AS6C1008 A17 connects to CE2 which needs to be high ram_addr <= "111" & cpu_addr(15 downto 0); ram_data <= cpu_dout when cpu_R_W_n = '0' else "ZZZZZZZZ"; -------------------------------------------------------- -- boot mode generator -------------------------------------------------------- boot_gen : process(clk_16M00, RSTn_sync) begin if RSTn_sync = '0' then bootmode <= '1'; elsif rising_edge(clk_16M00) then if p_cs_b = '0' then bootmode <= '0'; end if; end if; end process; -------------------------------------------------------- -- power up reset -------------------------------------------------------- reset_gen : process(clk_16M00) begin if rising_edge(clk_16M00) then if (reset_counter(8) = '0') then reset_counter <= reset_counter + 1; end if; RSTn_sync <= RSTn AND reset_counter(8); end if; end process; -------------------------------------------------------- -- interrupt synchronization -------------------------------------------------------- sync_gen : process(clk_16M00, RSTn_sync) begin if RSTn_sync = '0' then cpu_NMI_n_sync <= '1'; cpu_IRQ_n_sync <= '1'; elsif rising_edge(clk_16M00) then if (cpu_clken = '1') then cpu_NMI_n_sync <= cpu_NMI_n; cpu_IRQ_n_sync <= cpu_IRQ_n; end if; end if; end process; -------------------------------------------------------- -- clock enable generator -- 4MHz -- cpu_clken active on cycle 0, 4, 8, 12 -- address/data changes on cycle 1, 5, 9, 13 -- phi0 active on cycle 1..2 -- phi1 active on cycle 2..3 -- phi2 active on cycle 3..0 -- phi3 active on cycle 0..1 -------------------------------------------------------- clk_gen : process(clk_16M00, RSTn) begin if rising_edge(clk_16M00) then clken_counter <= clken_counter + 1; cpu_clken <= clken_counter(0) and clken_counter(1); phi0 <= not clken_counter(1); phi1 <= phi0; phi2 <= phi1; phi3 <= phi2; end if; end process; -------------------------------------------------------- -- led generator -------------------------------------------------------- led_gen : process(clk_16M00, RSTn) begin if rising_edge(clk_16M00) then led_counter <= led_counter + 1; led_ram <= led_counter(23); led_halt <= not led_counter(23); end if; end process; end BEHAVIORAL;
context c1 is library ieee; end context c1; -- try multiline context c1 is library ieee; end context c1; context c1 is library ieee; end context c1 ; context c1 is library ieee; end ; context c1 is library ieee; end --comment context ; -- Try single line context c1 is library ieee; use ieee.std_logic_1164; end; context c1 is library ieee; use ieee.std_logic_1164; end context; context c1 is library ieee; use ieee.std_logic_1164; end context c1; -- Check comments context -- comment 1 c1 -- comment 2 is -- comment 3 library ieee; -- comment 4 end -- comment 5 context -- comment 6 c1 -- comment 7 ; -- comment 8
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: grpci2_ahb_mst -- File: grpci2_ahb_mst.vhd -- Author: Nils-Johan Wessman - Aeroflex Gaisler -- Description: GRPCI2 AHB master interface ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; use grlib.amba.all; use grlib.devices.all; use work.pcilib2.all; entity grpci2_ahb_mst is generic( hindex : integer := 0; venid : integer := VENDOR_GAISLER; devid : integer := 0; version : integer := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; dmai0 : in dma_ahb_in_type; dmao0 : out dma_ahb_out_type; dmai1 : in dma_ahb_in_type; dmao1 : out dma_ahb_out_type ); end entity; architecture rtl of grpci2_ahb_mst is type reg_type is record bg : std_ulogic; --bus granted bo : std_ulogic; --bus owner, 0=dma0, 1=dma1 ba : std_ulogic; --bus active bb : std_ulogic; --1kB burst boundary detected retry : std_ulogic; end record; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( venid, devid, 0, version, 0), others => zero32); signal r, rin : reg_type; begin comb : process(rst, r, dmai1, dmai0, ahbmi) is variable v : reg_type; variable htrans : std_logic_vector(1 downto 0); variable hbusreq : std_ulogic; variable hwrite : std_ulogic; variable haddr : std_logic_vector(31 downto 0); variable hwdata : std_logic_vector(31 downto 0); variable nbo : std_ulogic; variable retry1 : std_ulogic; variable retry0 : std_ulogic; variable ready0 : std_ulogic; variable ready1 : std_ulogic; variable error0 : std_ulogic; variable error1 : std_ulogic; variable grant1 : std_ulogic; variable grant0 : std_ulogic; variable hsize : std_logic_vector(2 downto 0); variable hburst : std_logic_vector(2 downto 0); begin v := r; htrans := HTRANS_IDLE; ready0 := '0'; ready1 := '0'; retry1 := '0'; retry0 := '0'; error0 := '0'; error1 := '0'; grant1 := '0'; grant0 := '0'; hsize := HSIZE_WORD; hburst := HBURST_INCR; if r.bo = '0' then hwdata := dmai0.data; else hwdata := dmai1.data; end if; hbusreq := dmai1.req or dmai0.req; if hbusreq = '1' then htrans := HTRANS_NONSEQ; end if; if r.retry = '0' then nbo := dmai1.req and not (dmai0.req and not r.bo); else nbo := r.bo; end if; if nbo = '0' then haddr := dmai0.addr; hwrite := dmai0.write; hsize := '0' & dmai0.size; if dmai0.burst = '0' then hburst := HBURST_SINGLE; end if; if (dmai0.req and r.ba and not r.bo and not r.retry and dmai0.size(1)) = '1' and dmai0.burst = '1' then htrans := HTRANS_SEQ; end if; if (dmai0.req and r.bg and ahbmi.hready and not r.retry) = '1' then grant0 := '1'; end if; else haddr := dmai1.addr; hwrite := dmai1.write; hsize := '0' & dmai1.size; if dmai1.burst = '0' then hburst := HBURST_SINGLE; end if; if (dmai1.req and r.ba and r.bo and not r.retry and dmai1.size(1)) = '1' and dmai1.burst = '1' then htrans := HTRANS_SEQ; end if; if (dmai1.req and r.bg and ahbmi.hready and not r.retry) = '1' then grant1 := '1'; end if; end if; --1 kB burst boundary if ahbmi.hready = '1' then if haddr(9 downto 2) = "11111111" then v.bb := '1'; if htrans = HTRANS_SEQ then hbusreq := '0'; end if; elsif ((dmai0.noreq and grant0) or (dmai1.noreq and grant1)) = '1' then v.bb := '1'; hbusreq := '0'; else v.bb := '0'; end if; end if; if (r.bb = '1') and (htrans /= HTRANS_IDLE) then htrans := HTRANS_NONSEQ; end if; if r.bo = '0' then if r.ba = '1' then if ahbmi.hready = '1' then case ahbmi.hresp is when HRESP_OKAY => ready0 := '1'; when HRESP_SPLIT | HRESP_RETRY => retry0 := '1'; when HRESP_ERROR => error0 := '1'; when others => null; end case; end if; end if; else if r.ba = '1' then if ahbmi.hready = '1' then case ahbmi.hresp is when HRESP_OKAY => ready1 := '1'; when HRESP_SPLIT | HRESP_RETRY => retry1 := '1'; when HRESP_ERROR => error1 := '1'; when others => null; end case; end if; end if; end if; if (r.ba = '1') and ((ahbmi.hresp = HRESP_RETRY) or (ahbmi.hresp = HRESP_SPLIT) or (ahbmi.hresp = HRESP_ERROR)) then v.retry := not ahbmi.hready; else v.retry := '0'; end if; if r.retry = '1' then htrans := HTRANS_IDLE; end if; if ahbmi.hready = '1' then v.bo := nbo; v.bg := ahbmi.hgrant(hindex); if (htrans = HTRANS_NONSEQ) or (htrans = HTRANS_SEQ) then v.ba := r.bg; else v.ba := '0'; end if; end if; if rst = '0' then v.bg := '0'; v.ba := '0'; v.bo := '0'; v.bb := '0'; end if; rin <= v; dmao1.data <= ahbreadword(ahbmi.hrdata); dmao0.data <= ahbreadword(ahbmi.hrdata); dmao1.error <= error1; dmao1.retry <= retry1; dmao1.ready <= ready1; dmao0.error <= error0; dmao0.retry <= retry0; dmao0.ready <= ready0; dmao1.grant <= grant1; dmao0.grant <= grant0; ahbmo.htrans <= htrans; ahbmo.hsize <= hsize; ahbmo.hbusreq <= hbusreq; ahbmo.haddr <= haddr; ahbmo.hwrite <= hwrite; ahbmo.hwdata <= ahbdrivedata(hwdata); ahbmo.hburst <= hburst; ahbmo.hconfig <= hconfig; ahbmo.hindex <= hindex; end process; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; ahbmo.hlock <= '0'; ahbmo.hprot <= "0011"; ahbmo.hirq <= (others => '0'); end architecture;
--???21EDA?? --?????:A-C8V4 --www.21eda.com --??LED???LED??????0??????1??? --????????21EDA???????? LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.std_logic_unsigned.ALL; ENTITY LEDA is PORT( clk:in STD_LOGIC; --System Clk led1:out STD_LOGIC_VECTOR(3 DOWNTO 0) ); END LEDA ; ARCHITECTURE light OF LEDA IS SIGNAL clk1 :std_logic; BEGIN PROCESS( clk ) VARIABLE Count : integer range 0 to 65535; BEGIN IF( clk'EVENT AND clk='1' ) THEN IF( Count > 50000 ) THEN Count := 0; clk1 <= '1'; ELSE Count := Count + 1; clk1 <= '0'; END IF; END IF; END PROCESS; --------------------------------------------------------- P2:PROCESS(clk) variable count1:INTEGER RANGE 0 TO 7; BEGIN IF clk'event AND clk='1'THEN if (clk1 = '1') then if count1<=4 then if count1=4 then count1:=0; end if; CASE count1 IS WHEN 0=>led1<="0111"; WHEN 1=>led1<="1011"; WHEN 2=>led1<="1101"; WHEN 3=>led1<="1110"; WHEN OTHERS=>led1<="1111"; END CASE; count1:=count1+1; end if; end if; end if; end process; END light;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: vcomponents -- File: vcomponents.vhd -- Author: Jiri Gaisler, Gaisler Research -- Description: Component declartions of some XILINX primitives ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package vcomponents is component ramb4_s16 port ( do : out std_logic_vector (15 downto 0); addr : in std_logic_vector (7 downto 0); clk : in std_ulogic; di : in std_logic_vector (15 downto 0); en, rst, we : in std_ulogic); end component; component RAMB4_S8 port (do : out std_logic_vector (7 downto 0); addr : in std_logic_vector (8 downto 0); clk : in std_ulogic; di : in std_logic_vector (7 downto 0); en, rst, we : in std_ulogic); end component; component RAMB4_S4 port (do : out std_logic_vector (3 downto 0); addr : in std_logic_vector (9 downto 0); clk : in std_ulogic; di : in std_logic_vector (3 downto 0); en, rst, we : in std_ulogic); end component; component RAMB4_S2 port (do : out std_logic_vector (1 downto 0); addr : in std_logic_vector (10 downto 0); clk : in std_ulogic; di : in std_logic_vector (1 downto 0); en, rst, we : in std_ulogic); end component; component RAMB4_S1 port (do : out std_logic_vector (0 downto 0); addr : in std_logic_vector (11 downto 0); clk : in std_ulogic; di : in std_logic_vector (0 downto 0); en, rst, we : in std_ulogic); end component; component RAMB4_S1_S1 port ( doa : out std_logic_vector (0 downto 0); dob : out std_logic_vector (0 downto 0); addra : in std_logic_vector (11 downto 0); addrb : in std_logic_vector (11 downto 0); clka : in std_ulogic; clkb : in std_ulogic; dia : in std_logic_vector (0 downto 0); dib : in std_logic_vector (0 downto 0); ena : in std_ulogic; enb : in std_ulogic; rsta : in std_ulogic; rstb : in std_ulogic; wea : in std_ulogic; web : in std_ulogic ); end component; component RAMB4_S2_S2 port ( doa : out std_logic_vector (1 downto 0); dob : out std_logic_vector (1 downto 0); addra : in std_logic_vector (10 downto 0); addrb : in std_logic_vector (10 downto 0); clka : in std_ulogic; clkb : in std_ulogic; dia : in std_logic_vector (1 downto 0); dib : in std_logic_vector (1 downto 0); ena : in std_ulogic; enb : in std_ulogic; rsta : in std_ulogic; rstb : in std_ulogic; wea : in std_ulogic; web : in std_ulogic ); end component; component RAMB4_S4_S4 port ( doa : out std_logic_vector (3 downto 0); dob : out std_logic_vector (3 downto 0); addra : in std_logic_vector (9 downto 0); addrb : in std_logic_vector (9 downto 0); clka : in std_ulogic; clkb : in std_ulogic; dia : in std_logic_vector (3 downto 0); dib : in std_logic_vector (3 downto 0); ena : in std_ulogic; enb : in std_ulogic; rsta : in std_ulogic; rstb : in std_ulogic; wea : in std_ulogic; web : in std_ulogic ); end component; component RAMB4_S8_S8 port ( doa : out std_logic_vector (7 downto 0); dob : out std_logic_vector (7 downto 0); addra : in std_logic_vector (8 downto 0); addrb : in std_logic_vector (8 downto 0); clka : in std_ulogic; clkb : in std_ulogic; dia : in std_logic_vector (7 downto 0); dib : in std_logic_vector (7 downto 0); ena : in std_ulogic; enb : in std_ulogic; rsta : in std_ulogic; rstb : in std_ulogic; wea : in std_ulogic; web : in std_ulogic ); end component; component RAMB4_S16_S16 port ( doa : out std_logic_vector (15 downto 0); dob : out std_logic_vector (15 downto 0); addra : in std_logic_vector (7 downto 0); addrb : in std_logic_vector (7 downto 0); clka : in std_ulogic; clkb : in std_ulogic; dia : in std_logic_vector (15 downto 0); dib : in std_logic_vector (15 downto 0); ena : in std_ulogic; enb : in std_ulogic; rsta : in std_ulogic; rstb : in std_ulogic; wea : in std_ulogic; web : in std_ulogic ); end component; component RAMB16_S1 -- pragma translate_off generic ( INIT : bit_vector := X"0"; SRVAL : bit_vector := X"0"; WRITE_MODE : string := "WRITE_FIRST"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (0 downto 0); ADDR : in std_logic_vector (13 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (0 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S2 -- pragma translate_off generic ( INIT : bit_vector := X"0"; SRVAL : bit_vector := X"0"; WRITE_MODE : string := "WRITE_FIRST"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (1 downto 0); ADDR : in std_logic_vector (12 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (1 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S4 -- pragma translate_off generic ( INIT : bit_vector := X"0"; SRVAL : bit_vector := X"0"; WRITE_MODE : string := "WRITE_FIRST"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (3 downto 0); ADDR : in std_logic_vector (11 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (3 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S9 -- pragma translate_off generic ( INIT : bit_vector := X"000"; SRVAL : bit_vector := X"000"; WRITE_MODE : string := "WRITE_FIRST"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (7 downto 0); DOP : out std_logic_vector (0 downto 0); ADDR : in std_logic_vector (10 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (7 downto 0); DIP : in std_logic_vector (0 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S18 -- pragma translate_off generic ( INIT : bit_vector := X"00000"; SRVAL : bit_vector := X"00000"; write_mode : string := "WRITE_FIRST"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (15 downto 0); DOP : out std_logic_vector (1 downto 0); ADDR : in std_logic_vector (9 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (15 downto 0); DIP : in std_logic_vector (1 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S36 -- pragma translate_off generic ( INIT : bit_vector := X"000000000"; SRVAL : bit_vector := X"000000000"; WRITE_MODE : string := "WRITE_FIRST"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (31 downto 0); DOP : out std_logic_vector (3 downto 0); ADDR : in std_logic_vector (8 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (31 downto 0); DIP : in std_logic_vector (3 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S4_S4 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"0"; INIT_B : bit_vector := X"0"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"0"; SRVAL_B : bit_vector := X"0"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (3 downto 0); ADDRA : in std_logic_vector (11 downto 0); ADDRB : in std_logic_vector (11 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (3 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic ); end component; component RAMB16_S1_S1 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"0"; INIT_B : bit_vector := X"0"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"0"; SRVAL_B : bit_vector := X"0"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (0 downto 0); DOB : out std_logic_vector (0 downto 0); ADDRA : in std_logic_vector (13 downto 0); ADDRB : in std_logic_vector (13 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (0 downto 0); DIB : in std_logic_vector (0 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic ); end component; component RAMB16_S2_S2 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"0"; INIT_B : bit_vector := X"0"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"0"; SRVAL_B : bit_vector := X"0"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (1 downto 0); DOB : out std_logic_vector (1 downto 0); ADDRA : in std_logic_vector (12 downto 0); ADDRB : in std_logic_vector (12 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (1 downto 0); DIB : in std_logic_vector (1 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic ); end component; component RAMB16_S9_S9 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"000"; INIT_B : bit_vector := X"000"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"000"; SRVAL_B : bit_vector := X"000"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (7 downto 0); DOPA : out std_logic_vector (0 downto 0); DOPB : out std_logic_vector (0 downto 0); ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (10 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (7 downto 0); DIPA : in std_logic_vector (0 downto 0); DIPB : in std_logic_vector (0 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic ); end component; component RAMB16_S18_S18 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"00000"; INIT_B : bit_vector := X"00000"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"00000"; SRVAL_B : bit_vector := X"00000"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (15 downto 0); DOB : out std_logic_vector (15 downto 0); DOPA : out std_logic_vector (1 downto 0); DOPB : out std_logic_vector (1 downto 0); ADDRA : in std_logic_vector (9 downto 0); ADDRB : in std_logic_vector (9 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (15 downto 0); DIB : in std_logic_vector (15 downto 0); DIPA : in std_logic_vector (1 downto 0); DIPB : in std_logic_vector (1 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic); end component; component RAMB16_S36_S36 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"000000000"; INIT_B : bit_vector := X"000000000"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"000000000"; SRVAL_B : bit_vector := X"000000000"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (31 downto 0); DOB : out std_logic_vector (31 downto 0); DOPA : out std_logic_vector (3 downto 0); DOPB : out std_logic_vector (3 downto 0); ADDRA : in std_logic_vector (8 downto 0); ADDRB : in std_logic_vector (8 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (31 downto 0); DIB : in std_logic_vector (31 downto 0); DIPA : in std_logic_vector (3 downto 0); DIPB : in std_logic_vector (3 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic); end component; component DCM generic ( CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false ); port ( CLKFB : in std_logic; CLKIN : in std_logic; DSSEN : in std_logic; PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; RST : in std_logic; CLK0 : out std_logic; CLK90 : out std_logic; CLK180 : out std_logic; CLK270 : out std_logic; CLK2X : out std_logic; CLK2X180 : out std_logic; CLKDV : out std_logic; CLKFX : out std_logic; CLKFX180 : out std_logic; LOCKED : out std_logic; PSDONE : out std_logic; STATUS : out std_logic_vector (7 downto 0)); end component; component DCM_SP generic ( TimingChecksOn : boolean := true; InstancePath : string := "*"; Xon : boolean := true; MsgOn : boolean := false; CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; --non-simulatable CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; --non-simulatable DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; --non-simulatable DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; --non-simulatable PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false --non-simulatable ); port ( CLK0 : out std_ulogic := '0'; CLK180 : out std_ulogic := '0'; CLK270 : out std_ulogic := '0'; CLK2X : out std_ulogic := '0'; CLK2X180 : out std_ulogic := '0'; CLK90 : out std_ulogic := '0'; CLKDV : out std_ulogic := '0'; CLKFX : out std_ulogic := '0'; CLKFX180 : out std_ulogic := '0'; LOCKED : out std_ulogic := '0'; PSDONE : out std_ulogic := '0'; STATUS : out std_logic_vector(7 downto 0) := "00000000"; CLKFB : in std_ulogic := '0'; CLKIN : in std_ulogic := '0'; DSSEN : in std_ulogic := '0'; PSCLK : in std_ulogic := '0'; PSEN : in std_ulogic := '0'; PSINCDEC : in std_ulogic := '0'; RST : in std_ulogic := '0' ); end component; component BUFGMUX port (O : out std_logic; I0, I1, S : in std_logic); end component; component BUFG port (O : out std_logic; I : in std_logic); end component; component BUFGP port (O : out std_logic; I : in std_logic); end component; component BUFGDLL port (O : out std_logic; I : in std_logic); end component; component IBUFG generic( CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25"); port (O : out std_logic; I : in std_logic); end component; component IBUF generic( CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25"); port (O : out std_ulogic; I : in std_ulogic); end component; component IOBUF generic ( CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12; IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW"); port (O : out std_ulogic; IO : inout std_logic; I, T : in std_ulogic); end component; component OBUF generic ( CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12; IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW"); port (O : out std_ulogic; I : in std_ulogic); end component; component OBUFT generic ( CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12; IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW"); port (O : out std_ulogic; I, T : in std_ulogic); end component; component CLKDLL port ( CLK0 : out std_ulogic; CLK180 : out std_ulogic; CLK270 : out std_ulogic; CLK2X : out std_ulogic; CLK90 : out std_ulogic; CLKDV : out std_ulogic; LOCKED : out std_ulogic; CLKFB : in std_ulogic; CLKIN : in std_ulogic; RST : in std_ulogic); end component; component CLKDLLHF port ( CLK0 : out std_ulogic := '0'; CLK180 : out std_ulogic := '0'; CLKDV : out std_ulogic := '0'; LOCKED : out std_ulogic := '0'; CLKFB : in std_ulogic := '0'; CLKIN : in std_ulogic := '0'; RST : in std_ulogic := '0'); end component; component BSCAN_VIRTEX port (CAPTURE : out STD_ULOGIC; DRCK1 : out STD_ULOGIC; DRCK2 : out STD_ULOGIC; RESET : out STD_ULOGIC; SEL1 : out STD_ULOGIC; SEL2 : out STD_ULOGIC; SHIFT : out STD_ULOGIC; TDI : out STD_ULOGIC; UPDATE : out STD_ULOGIC; TDO1 : in STD_ULOGIC; TDO2 : in STD_ULOGIC); end component; component BSCAN_VIRTEX2 port (CAPTURE : out STD_ULOGIC; DRCK1 : out STD_ULOGIC; DRCK2 : out STD_ULOGIC; RESET : out STD_ULOGIC; SEL1 : out STD_ULOGIC; SEL2 : out STD_ULOGIC; SHIFT : out STD_ULOGIC; TDI : out STD_ULOGIC; UPDATE : out STD_ULOGIC; TDO1 : in STD_ULOGIC; TDO2 : in STD_ULOGIC); end component; component BSCAN_SPARTAN3 port (CAPTURE : out STD_ULOGIC; DRCK1 : out STD_ULOGIC; DRCK2 : out STD_ULOGIC; RESET : out STD_ULOGIC; SEL1 : out STD_ULOGIC; SEL2 : out STD_ULOGIC; SHIFT : out STD_ULOGIC; TDI : out STD_ULOGIC; UPDATE : out STD_ULOGIC; TDO1 : in STD_ULOGIC; TDO2 : in STD_ULOGIC); end component; component BSCAN_VIRTEX4 generic ( JTAG_CHAIN : integer := 1); port ( CAPTURE : out std_ulogic; DRCK : out std_ulogic; RESET : out std_ulogic; SEL : out std_ulogic; SHIFT : out std_ulogic; TDI : out std_ulogic; UPDATE : out std_ulogic; TDO : in std_ulogic); end component; component BSCAN_VIRTEX5 generic ( JTAG_CHAIN : integer := 1); port ( CAPTURE : out std_ulogic; DRCK : out std_ulogic; RESET : out std_ulogic; SEL : out std_ulogic; SHIFT : out std_ulogic; TDI : out std_ulogic; UPDATE : out std_ulogic; TDO : in std_ulogic); end component; component IBUFDS generic ( CAPACITANCE : string := "DONT_CARE"; DIFF_TERM : boolean := FALSE; IBUF_DELAY_VALUE : string := "0"; IFD_DELAY_VALUE : string := "AUTO"; IOSTANDARD : string := "DEFAULT"); port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic ); end component; component IBUFDS_LVDS_25 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component IBUFGDS_LVDS_25 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component IOBUFDS generic( CAPACITANCE : string := "DONT_CARE"; IBUF_DELAY_VALUE : string := "0"; IFD_DELAY_VALUE : string := "AUTO"; IOSTANDARD : string := "DEFAULT"); port ( O : out std_ulogic; IO : inout std_ulogic; IOB : inout std_ulogic; I : in std_ulogic; T : in std_ulogic ); end component; component OBUFDS generic( CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "DEFAULT" ); port( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic ); end component; component OBUFDS_LVDS_25 port ( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic); end component; component OBUFTDS_LVDS_25 port ( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic; T : in std_ulogic); end component; component IBUFGDS is generic( CAPACITANCE : string := "DONT_CARE"; DIFF_TERM : boolean := FALSE; IBUF_DELAY_VALUE : string := "0"; IOSTANDARD : string := "DEFAULT"); port (O : out std_logic; I, IB : in std_logic); end component; component IBUFDS_LVDS_33 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component IBUFGDS_LVDS_33 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component OBUFDS_LVDS_33 port ( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic); end component; component OBUFTDS_LVDS_33 port ( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic; T : in std_ulogic); end component; component FDCPE generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; CLR : in std_ulogic; D : in std_ulogic; PRE : in std_ulogic); end component; component IDDR generic ( DDR_CLK_EDGE : string := "OPPOSITE_EDGE"; INIT_Q1 : bit := '0'; INIT_Q2 : bit := '0'; SRTYPE : string := "SYNC"); port ( Q1 : out std_ulogic; Q2 : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component ODDR generic ( DDR_CLK_EDGE : string := "OPPOSITE_EDGE"; INIT : bit := '0'; SRTYPE : string := "SYNC"); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component IFDDRRSE port ( Q0 : out std_ulogic; Q1 : out std_ulogic; C0 : in std_ulogic; C1 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component OFDDRRSE port ( Q : out std_ulogic; C0 : in std_ulogic; C1 : in std_ulogic; CE : in std_ulogic; D0 : in std_ulogic; D1 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component FDDRRSE generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C0 : in std_ulogic; C1 : in std_ulogic; CE : in std_ulogic; D0 : in std_ulogic; D1 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component IDELAY generic ( IOBDELAY_TYPE : string := "DEFAULT"; IOBDELAY_VALUE : integer := 0); port ( O : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; I : in std_ulogic; INC : in std_ulogic; RST : in std_ulogic); end component; component IDELAYCTRL port ( RDY : out std_ulogic; REFCLK : in std_ulogic; RST : in std_ulogic); end component; component BUFIO port ( O : out std_ulogic; I : in std_ulogic); end component; component BUFR generic ( BUFR_DIVIDE : string := "BYPASS"; SIM_DEVICE : string := "VIRTEX4"); port ( O : out std_ulogic; CE : in std_ulogic; CLR : in std_ulogic; I : in std_ulogic); end component; component ODDR2 generic ( DDR_ALIGNMENT : string := "NONE"; INIT : bit := '0'; SRTYPE : string := "SYNC" ); port ( Q : out std_ulogic; C0 : in std_ulogic; C1 : in std_ulogic; CE : in std_ulogic; D0 : in std_ulogic; D1 : in std_ulogic; R : in std_ulogic; S : in std_ulogic ); end component; component IDDR2 generic ( DDR_ALIGNMENT : string := "NONE"; INIT_Q0 : bit := '0'; INIT_Q1 : bit := '0'; SRTYPE : string := "SYNC" ); port ( Q0 : out std_ulogic; Q1 : out std_ulogic; C0 : in std_ulogic; C1 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic ); end component; component SYSMON generic ( INIT_40 : bit_vector := X"0000"; INIT_41 : bit_vector := X"0000"; INIT_42 : bit_vector := X"0800"; INIT_43 : bit_vector := X"0000"; INIT_44 : bit_vector := X"0000"; INIT_45 : bit_vector := X"0000"; INIT_46 : bit_vector := X"0000"; INIT_47 : bit_vector := X"0000"; INIT_48 : bit_vector := X"0000"; INIT_49 : bit_vector := X"0000"; INIT_4A : bit_vector := X"0000"; INIT_4B : bit_vector := X"0000"; INIT_4C : bit_vector := X"0000"; INIT_4D : bit_vector := X"0000"; INIT_4E : bit_vector := X"0000"; INIT_4F : bit_vector := X"0000"; INIT_50 : bit_vector := X"0000"; INIT_51 : bit_vector := X"0000"; INIT_52 : bit_vector := X"0000"; INIT_53 : bit_vector := X"0000"; INIT_54 : bit_vector := X"0000"; INIT_55 : bit_vector := X"0000"; INIT_56 : bit_vector := X"0000"; INIT_57 : bit_vector := X"0000"; SIM_MONITOR_FILE : string := "design.txt" ); port ( ALM : out std_logic_vector(2 downto 0); BUSY : out std_ulogic; CHANNEL : out std_logic_vector(4 downto 0); DO : out std_logic_vector(15 downto 0); DRDY : out std_ulogic; EOC : out std_ulogic; EOS : out std_ulogic; JTAGBUSY : out std_ulogic; JTAGLOCKED : out std_ulogic; JTAGMODIFIED : out std_ulogic; OT : out std_ulogic; CONVST : in std_ulogic; CONVSTCLK : in std_ulogic; DADDR : in std_logic_vector(6 downto 0); DCLK : in std_ulogic; DEN : in std_ulogic; DI : in std_logic_vector(15 downto 0); DWE : in std_ulogic; RESET : in std_ulogic; VAUXN : in std_logic_vector(15 downto 0); VAUXP : in std_logic_vector(15 downto 0); VN : in std_ulogic; VP : in std_ulogic ); end component; component FDRSE generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component FDR generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; R : in std_ulogic); end component; component FDRE generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic); end component; component FD generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic); end component; component FDRS generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component FDE generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic); end component; component MUXF5 port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic); end component; component VCC port ( P : out std_ulogic := '1'); end component; component GND port ( G : out std_ulogic := '0'); end component; component INV port ( O : out std_ulogic; I : in std_ulogic ); end component; component LUT2_L generic ( INIT : bit_vector := X"0" ); port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic ); end component; component LUT4 generic ( INIT : bit_vector := X"0000" ); port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic ); end component; component LUT3 generic ( INIT : bit_vector := X"00" ); port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic ); end component; component LUT2 generic ( INIT : bit_vector := X"0" ); port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic ); end component; component FDC generic ( INIT : bit := '0' ); port ( Q : out std_ulogic; C : in std_ulogic; CLR : in std_ulogic; D : in std_ulogic ); end component; component LUT3_L generic ( INIT : bit_vector := X"00" ); port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic ); end component; component LUT1 generic ( INIT : bit_vector := X"0" ); port ( O : out std_ulogic; I0 : in std_ulogic ); end component; component LUT4_L generic ( INIT : bit_vector := X"0000" ); port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic ); end component; component FDCE generic ( INIT : bit := '0' ); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; CLR : in std_ulogic; D : in std_ulogic ); end component; component FDC_1 generic ( INIT : bit := '0' ); port ( Q : out std_ulogic; C : in std_ulogic; CLR : in std_ulogic; D : in std_ulogic ); end component; component FDP generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; PRE : in std_ulogic ); end component; component FDS generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; S : in std_ulogic ); end component; component MUXCY port ( O : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; component LUT1_L generic ( INIT : bit_vector := X"0" ); port ( LO : out std_ulogic; I0 : in std_ulogic ); end component; component MUXF6 port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF5_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component XORCY port ( O : out std_ulogic; CI : in std_ulogic; LI : in std_ulogic ); end component; component MUXCY_L port ( LO : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; component FDSE generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; S : in std_ulogic ); end component; component MULT_AND port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic ); end component; component SRL16E generic ( INIT : bit_vector := X"0000" ); port ( Q : out STD_ULOGIC; A0 : in STD_ULOGIC; A1 : in STD_ULOGIC; A2 : in STD_ULOGIC; A3 : in STD_ULOGIC; CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; component ROM256X1 generic ( INIT : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); port ( O : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; A4 : in std_ulogic; A5 : in std_ulogic; A6 : in std_ulogic; A7 : in std_ulogic ); end component; component FDPE generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; PRE : in std_ulogic ); end component; component MULT18X18 port ( P : out std_logic_vector (35 downto 0); A : in std_logic_vector (17 downto 0); B : in std_logic_vector (17 downto 0) ); end component; component MULT18X18S port ( P : out std_logic_vector (35 downto 0); A : in std_logic_vector (17 downto 0); B : in std_logic_vector (17 downto 0); C : in std_ulogic; CE : in std_ulogic; R : in std_ulogic ); end component; component MUXF7 port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component IODELAY generic ( DELAY_SRC : string := "I"; HIGH_PERFORMANCE_MODE : boolean := true; IDELAY_TYPE : string := "DEFAULT"; IDELAY_VALUE : integer := 0; ODELAY_VALUE : integer := 0; REFCLK_FREQUENCY : real := 200.0; SIGNAL_PATTERN : string := "DATA" ); port ( DATAOUT : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; DATAIN : in std_ulogic; IDATAIN : in std_ulogic; INC : in std_ulogic; ODATAIN : in std_ulogic; RST : in std_ulogic; T : in std_ulogic ); end component; component ISERDES generic ( BITSLIP_ENABLE : boolean := false; DATA_RATE : string := "DDR"; DATA_WIDTH : integer := 4; INIT_Q1 : bit := '0'; INIT_Q2 : bit := '0'; INIT_Q3 : bit := '0'; INIT_Q4 : bit := '0'; INTERFACE_TYPE : string := "MEMORY"; IOBDELAY : string := "NONE"; IOBDELAY_TYPE : string := "DEFAULT"; IOBDELAY_VALUE : integer := 0; NUM_CE : integer := 2; SERDES_MODE : string := "MASTER"; SRVAL_Q1 : bit := '0'; SRVAL_Q2 : bit := '0'; SRVAL_Q3 : bit := '0'; SRVAL_Q4 : bit := '0' ); port ( O : out std_ulogic; Q1 : out std_ulogic; Q2 : out std_ulogic; Q3 : out std_ulogic; Q4 : out std_ulogic; Q5 : out std_ulogic; Q6 : out std_ulogic; SHIFTOUT1 : out std_ulogic; SHIFTOUT2 : out std_ulogic; BITSLIP : in std_ulogic; CE1 : in std_ulogic; CE2 : in std_ulogic; CLK : in std_ulogic; CLKDIV : in std_ulogic; D : in std_ulogic; DLYCE : in std_ulogic; DLYINC : in std_ulogic; DLYRST : in std_ulogic; OCLK : in std_ulogic; REV : in std_ulogic; SHIFTIN1 : in std_ulogic; SHIFTIN2 : in std_ulogic; SR : in std_ulogic ); end component; component RAM16X1S generic ( INIT : bit_vector(15 downto 0) := X"0000" ); port ( O : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; D : in std_ulogic; WCLK : in std_ulogic; WE : in std_ulogic ); end component; component RAM16X1D generic ( INIT : bit_vector(15 downto 0) := X"0000" ); port ( DPO : out std_ulogic; SPO : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; D : in std_ulogic; DPRA0 : in std_ulogic; DPRA1 : in std_ulogic; DPRA2 : in std_ulogic; DPRA3 : in std_ulogic; WCLK : in std_ulogic; WE : in std_ulogic ); end component; component ROM32X1 generic ( INIT : bit_vector := X"00000000" ); port ( O : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; A4 : in std_ulogic ); end component; component DSP48 generic ( AREG : integer := 1; B_INPUT : string := "DIRECT"; BREG : integer := 1; CARRYINREG : integer := 1; CARRYINSELREG : integer := 1; CREG : integer := 1; LEGACY_MODE : string := "MULT18X18S"; MREG : integer := 1; OPMODEREG : integer := 1; PREG : integer := 1; SUBTRACTREG : integer := 1 ); port ( BCOUT : out std_logic_vector(17 downto 0); P : out std_logic_vector(47 downto 0); PCOUT : out std_logic_vector(47 downto 0); A : in std_logic_vector(17 downto 0); B : in std_logic_vector(17 downto 0); BCIN : in std_logic_vector(17 downto 0); C : in std_logic_vector(47 downto 0); CARRYIN : in std_ulogic; CARRYINSEL : in std_logic_vector(1 downto 0); CEA : in std_ulogic; CEB : in std_ulogic; CEC : in std_ulogic; CECARRYIN : in std_ulogic; CECINSUB : in std_ulogic; CECTRL : in std_ulogic; CEM : in std_ulogic; CEP : in std_ulogic; CLK : in std_ulogic; OPMODE : in std_logic_vector(6 downto 0); PCIN : in std_logic_vector(47 downto 0); RSTA : in std_ulogic; RSTB : in std_ulogic; RSTC : in std_ulogic; RSTCARRYIN : in std_ulogic; RSTCTRL : in std_ulogic; RSTM : in std_ulogic; RSTP : in std_ulogic; SUBTRACT : in std_ulogic ); end component; component RAMB16 generic ( DOA_REG : integer := 0; DOB_REG : integer := 0; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"000000000"; INIT_B : bit_vector := X"000000000"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INVERT_CLK_DOA_REG : boolean := false; INVERT_CLK_DOB_REG : boolean := false; RAM_EXTENSION_A : string := "NONE"; RAM_EXTENSION_B : string := "NONE"; READ_WIDTH_A : integer := 0; READ_WIDTH_B : integer := 0; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"000000000"; SRVAL_B : bit_vector := X"000000000"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST"; WRITE_WIDTH_A : integer := 0; WRITE_WIDTH_B : integer := 0 ); port ( CASCADEOUTA : out std_ulogic; CASCADEOUTB : out std_ulogic; DOA : out std_logic_vector (31 downto 0); DOB : out std_logic_vector (31 downto 0); DOPA : out std_logic_vector (3 downto 0); DOPB : out std_logic_vector (3 downto 0); ADDRA : in std_logic_vector (14 downto 0); ADDRB : in std_logic_vector (14 downto 0); CASCADEINA : in std_ulogic; CASCADEINB : in std_ulogic; CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (31 downto 0); DIB : in std_logic_vector (31 downto 0); DIPA : in std_logic_vector (3 downto 0); DIPB : in std_logic_vector (3 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; REGCEA : in std_ulogic; REGCEB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_logic_vector (3 downto 0); WEB : in std_logic_vector (3 downto 0) ); end component; component MUXF8 port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component RAM64X1D generic ( INIT : bit_vector(63 downto 0) := X"0000000000000000"); port ( DPO : out std_ulogic; SPO : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; A4 : in std_ulogic; A5 : in std_ulogic; D : in std_ulogic; DPRA0 : in std_ulogic; DPRA1 : in std_ulogic; DPRA2 : in std_ulogic; DPRA3 : in std_ulogic; DPRA4 : in std_ulogic; DPRA5 : in std_ulogic; WCLK : in std_ulogic; WE : in std_ulogic ); end component; component BUF port ( O : out std_ulogic; I : in std_ulogic ); end component; component LUT5 generic ( INIT : bit_vector := X"00000000" ); port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic; I4 : in std_ulogic ); end component; component LUT5_L generic ( INIT : bit_vector := X"00000000" ); port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic; I4 : in std_ulogic ); end component; component LUT6 generic ( INIT : bit_vector := X"0000000000000000" ); port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic; I4 : in std_ulogic; I5 : in std_ulogic ); end component; component LUT6_L generic ( INIT : bit_vector := X"0000000000000000" ); port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic; I4 : in std_ulogic; I5 : in std_ulogic ); end component; component RAM128X1S generic ( INIT : bit_vector(127 downto 0) := X"00000000000000000000000000000000" ); port ( O : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; A4 : in std_ulogic; A5 : in std_ulogic; A6 : in std_ulogic; D : in std_ulogic; WCLK : in std_ulogic; WE : in std_ulogic ); end component; end;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: vcomponents -- File: vcomponents.vhd -- Author: Jiri Gaisler, Gaisler Research -- Description: Component declartions of some XILINX primitives ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package vcomponents is component ramb4_s16 port ( do : out std_logic_vector (15 downto 0); addr : in std_logic_vector (7 downto 0); clk : in std_ulogic; di : in std_logic_vector (15 downto 0); en, rst, we : in std_ulogic); end component; component RAMB4_S8 port (do : out std_logic_vector (7 downto 0); addr : in std_logic_vector (8 downto 0); clk : in std_ulogic; di : in std_logic_vector (7 downto 0); en, rst, we : in std_ulogic); end component; component RAMB4_S4 port (do : out std_logic_vector (3 downto 0); addr : in std_logic_vector (9 downto 0); clk : in std_ulogic; di : in std_logic_vector (3 downto 0); en, rst, we : in std_ulogic); end component; component RAMB4_S2 port (do : out std_logic_vector (1 downto 0); addr : in std_logic_vector (10 downto 0); clk : in std_ulogic; di : in std_logic_vector (1 downto 0); en, rst, we : in std_ulogic); end component; component RAMB4_S1 port (do : out std_logic_vector (0 downto 0); addr : in std_logic_vector (11 downto 0); clk : in std_ulogic; di : in std_logic_vector (0 downto 0); en, rst, we : in std_ulogic); end component; component RAMB4_S1_S1 port ( doa : out std_logic_vector (0 downto 0); dob : out std_logic_vector (0 downto 0); addra : in std_logic_vector (11 downto 0); addrb : in std_logic_vector (11 downto 0); clka : in std_ulogic; clkb : in std_ulogic; dia : in std_logic_vector (0 downto 0); dib : in std_logic_vector (0 downto 0); ena : in std_ulogic; enb : in std_ulogic; rsta : in std_ulogic; rstb : in std_ulogic; wea : in std_ulogic; web : in std_ulogic ); end component; component RAMB4_S2_S2 port ( doa : out std_logic_vector (1 downto 0); dob : out std_logic_vector (1 downto 0); addra : in std_logic_vector (10 downto 0); addrb : in std_logic_vector (10 downto 0); clka : in std_ulogic; clkb : in std_ulogic; dia : in std_logic_vector (1 downto 0); dib : in std_logic_vector (1 downto 0); ena : in std_ulogic; enb : in std_ulogic; rsta : in std_ulogic; rstb : in std_ulogic; wea : in std_ulogic; web : in std_ulogic ); end component; component RAMB4_S4_S4 port ( doa : out std_logic_vector (3 downto 0); dob : out std_logic_vector (3 downto 0); addra : in std_logic_vector (9 downto 0); addrb : in std_logic_vector (9 downto 0); clka : in std_ulogic; clkb : in std_ulogic; dia : in std_logic_vector (3 downto 0); dib : in std_logic_vector (3 downto 0); ena : in std_ulogic; enb : in std_ulogic; rsta : in std_ulogic; rstb : in std_ulogic; wea : in std_ulogic; web : in std_ulogic ); end component; component RAMB4_S8_S8 port ( doa : out std_logic_vector (7 downto 0); dob : out std_logic_vector (7 downto 0); addra : in std_logic_vector (8 downto 0); addrb : in std_logic_vector (8 downto 0); clka : in std_ulogic; clkb : in std_ulogic; dia : in std_logic_vector (7 downto 0); dib : in std_logic_vector (7 downto 0); ena : in std_ulogic; enb : in std_ulogic; rsta : in std_ulogic; rstb : in std_ulogic; wea : in std_ulogic; web : in std_ulogic ); end component; component RAMB4_S16_S16 port ( doa : out std_logic_vector (15 downto 0); dob : out std_logic_vector (15 downto 0); addra : in std_logic_vector (7 downto 0); addrb : in std_logic_vector (7 downto 0); clka : in std_ulogic; clkb : in std_ulogic; dia : in std_logic_vector (15 downto 0); dib : in std_logic_vector (15 downto 0); ena : in std_ulogic; enb : in std_ulogic; rsta : in std_ulogic; rstb : in std_ulogic; wea : in std_ulogic; web : in std_ulogic ); end component; component RAMB16_S1 -- pragma translate_off generic ( INIT : bit_vector := X"0"; SRVAL : bit_vector := X"0"; WRITE_MODE : string := "WRITE_FIRST"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (0 downto 0); ADDR : in std_logic_vector (13 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (0 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S2 -- pragma translate_off generic ( INIT : bit_vector := X"0"; SRVAL : bit_vector := X"0"; WRITE_MODE : string := "WRITE_FIRST"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (1 downto 0); ADDR : in std_logic_vector (12 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (1 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S4 -- pragma translate_off generic ( INIT : bit_vector := X"0"; SRVAL : bit_vector := X"0"; WRITE_MODE : string := "WRITE_FIRST"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (3 downto 0); ADDR : in std_logic_vector (11 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (3 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S9 -- pragma translate_off generic ( INIT : bit_vector := X"000"; SRVAL : bit_vector := X"000"; WRITE_MODE : string := "WRITE_FIRST"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (7 downto 0); DOP : out std_logic_vector (0 downto 0); ADDR : in std_logic_vector (10 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (7 downto 0); DIP : in std_logic_vector (0 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S18 -- pragma translate_off generic ( INIT : bit_vector := X"00000"; SRVAL : bit_vector := X"00000"; write_mode : string := "WRITE_FIRST"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (15 downto 0); DOP : out std_logic_vector (1 downto 0); ADDR : in std_logic_vector (9 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (15 downto 0); DIP : in std_logic_vector (1 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S36 -- pragma translate_off generic ( INIT : bit_vector := X"000000000"; SRVAL : bit_vector := X"000000000"; WRITE_MODE : string := "WRITE_FIRST"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( DO : out std_logic_vector (31 downto 0); DOP : out std_logic_vector (3 downto 0); ADDR : in std_logic_vector (8 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (31 downto 0); DIP : in std_logic_vector (3 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; component RAMB16_S4_S4 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"0"; INIT_B : bit_vector := X"0"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"0"; SRVAL_B : bit_vector := X"0"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (3 downto 0); ADDRA : in std_logic_vector (11 downto 0); ADDRB : in std_logic_vector (11 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (3 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic ); end component; component RAMB16_S1_S1 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"0"; INIT_B : bit_vector := X"0"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"0"; SRVAL_B : bit_vector := X"0"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (0 downto 0); DOB : out std_logic_vector (0 downto 0); ADDRA : in std_logic_vector (13 downto 0); ADDRB : in std_logic_vector (13 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (0 downto 0); DIB : in std_logic_vector (0 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic ); end component; component RAMB16_S2_S2 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"0"; INIT_B : bit_vector := X"0"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"0"; SRVAL_B : bit_vector := X"0"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (1 downto 0); DOB : out std_logic_vector (1 downto 0); ADDRA : in std_logic_vector (12 downto 0); ADDRB : in std_logic_vector (12 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (1 downto 0); DIB : in std_logic_vector (1 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic ); end component; component RAMB16_S9_S9 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"000"; INIT_B : bit_vector := X"000"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"000"; SRVAL_B : bit_vector := X"000"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (7 downto 0); DOPA : out std_logic_vector (0 downto 0); DOPB : out std_logic_vector (0 downto 0); ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (10 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (7 downto 0); DIPA : in std_logic_vector (0 downto 0); DIPB : in std_logic_vector (0 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic ); end component; component RAMB16_S18_S18 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"00000"; INIT_B : bit_vector := X"00000"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"00000"; SRVAL_B : bit_vector := X"00000"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (15 downto 0); DOB : out std_logic_vector (15 downto 0); DOPA : out std_logic_vector (1 downto 0); DOPB : out std_logic_vector (1 downto 0); ADDRA : in std_logic_vector (9 downto 0); ADDRB : in std_logic_vector (9 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (15 downto 0); DIB : in std_logic_vector (15 downto 0); DIPA : in std_logic_vector (1 downto 0); DIPB : in std_logic_vector (1 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic); end component; component RAMB16_S36_S36 -- pragma translate_off generic ( INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"000000000"; INIT_B : bit_vector := X"000000000"; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"000000000"; SRVAL_B : bit_vector := X"000000000"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST" ); -- pragma translate_on port ( DOA : out std_logic_vector (31 downto 0); DOB : out std_logic_vector (31 downto 0); DOPA : out std_logic_vector (3 downto 0); DOPB : out std_logic_vector (3 downto 0); ADDRA : in std_logic_vector (8 downto 0); ADDRB : in std_logic_vector (8 downto 0); CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (31 downto 0); DIB : in std_logic_vector (31 downto 0); DIPA : in std_logic_vector (3 downto 0); DIPB : in std_logic_vector (3 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic); end component; component DCM generic ( CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false ); port ( CLKFB : in std_logic; CLKIN : in std_logic; DSSEN : in std_logic; PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; RST : in std_logic; CLK0 : out std_logic; CLK90 : out std_logic; CLK180 : out std_logic; CLK270 : out std_logic; CLK2X : out std_logic; CLK2X180 : out std_logic; CLKDV : out std_logic; CLKFX : out std_logic; CLKFX180 : out std_logic; LOCKED : out std_logic; PSDONE : out std_logic; STATUS : out std_logic_vector (7 downto 0)); end component; component DCM_SP generic ( TimingChecksOn : boolean := true; InstancePath : string := "*"; Xon : boolean := true; MsgOn : boolean := false; CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; --non-simulatable CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; --non-simulatable DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; --non-simulatable DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; --non-simulatable PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false --non-simulatable ); port ( CLK0 : out std_ulogic := '0'; CLK180 : out std_ulogic := '0'; CLK270 : out std_ulogic := '0'; CLK2X : out std_ulogic := '0'; CLK2X180 : out std_ulogic := '0'; CLK90 : out std_ulogic := '0'; CLKDV : out std_ulogic := '0'; CLKFX : out std_ulogic := '0'; CLKFX180 : out std_ulogic := '0'; LOCKED : out std_ulogic := '0'; PSDONE : out std_ulogic := '0'; STATUS : out std_logic_vector(7 downto 0) := "00000000"; CLKFB : in std_ulogic := '0'; CLKIN : in std_ulogic := '0'; DSSEN : in std_ulogic := '0'; PSCLK : in std_ulogic := '0'; PSEN : in std_ulogic := '0'; PSINCDEC : in std_ulogic := '0'; RST : in std_ulogic := '0' ); end component; component BUFGMUX port (O : out std_logic; I0, I1, S : in std_logic); end component; component BUFG port (O : out std_logic; I : in std_logic); end component; component BUFGP port (O : out std_logic; I : in std_logic); end component; component BUFGDLL port (O : out std_logic; I : in std_logic); end component; component IBUFG generic( CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25"); port (O : out std_logic; I : in std_logic); end component; component IBUF generic( CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25"); port (O : out std_ulogic; I : in std_ulogic); end component; component IOBUF generic ( CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12; IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW"); port (O : out std_ulogic; IO : inout std_logic; I, T : in std_ulogic); end component; component OBUF generic ( CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12; IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW"); port (O : out std_ulogic; I : in std_ulogic); end component; component OBUFT generic ( CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12; IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW"); port (O : out std_ulogic; I, T : in std_ulogic); end component; component CLKDLL port ( CLK0 : out std_ulogic; CLK180 : out std_ulogic; CLK270 : out std_ulogic; CLK2X : out std_ulogic; CLK90 : out std_ulogic; CLKDV : out std_ulogic; LOCKED : out std_ulogic; CLKFB : in std_ulogic; CLKIN : in std_ulogic; RST : in std_ulogic); end component; component CLKDLLHF port ( CLK0 : out std_ulogic := '0'; CLK180 : out std_ulogic := '0'; CLKDV : out std_ulogic := '0'; LOCKED : out std_ulogic := '0'; CLKFB : in std_ulogic := '0'; CLKIN : in std_ulogic := '0'; RST : in std_ulogic := '0'); end component; component BSCAN_VIRTEX port (CAPTURE : out STD_ULOGIC; DRCK1 : out STD_ULOGIC; DRCK2 : out STD_ULOGIC; RESET : out STD_ULOGIC; SEL1 : out STD_ULOGIC; SEL2 : out STD_ULOGIC; SHIFT : out STD_ULOGIC; TDI : out STD_ULOGIC; UPDATE : out STD_ULOGIC; TDO1 : in STD_ULOGIC; TDO2 : in STD_ULOGIC); end component; component BSCAN_VIRTEX2 port (CAPTURE : out STD_ULOGIC; DRCK1 : out STD_ULOGIC; DRCK2 : out STD_ULOGIC; RESET : out STD_ULOGIC; SEL1 : out STD_ULOGIC; SEL2 : out STD_ULOGIC; SHIFT : out STD_ULOGIC; TDI : out STD_ULOGIC; UPDATE : out STD_ULOGIC; TDO1 : in STD_ULOGIC; TDO2 : in STD_ULOGIC); end component; component BSCAN_SPARTAN3 port (CAPTURE : out STD_ULOGIC; DRCK1 : out STD_ULOGIC; DRCK2 : out STD_ULOGIC; RESET : out STD_ULOGIC; SEL1 : out STD_ULOGIC; SEL2 : out STD_ULOGIC; SHIFT : out STD_ULOGIC; TDI : out STD_ULOGIC; UPDATE : out STD_ULOGIC; TDO1 : in STD_ULOGIC; TDO2 : in STD_ULOGIC); end component; component BSCAN_VIRTEX4 generic ( JTAG_CHAIN : integer := 1); port ( CAPTURE : out std_ulogic; DRCK : out std_ulogic; RESET : out std_ulogic; SEL : out std_ulogic; SHIFT : out std_ulogic; TDI : out std_ulogic; UPDATE : out std_ulogic; TDO : in std_ulogic); end component; component BSCAN_VIRTEX5 generic ( JTAG_CHAIN : integer := 1); port ( CAPTURE : out std_ulogic; DRCK : out std_ulogic; RESET : out std_ulogic; SEL : out std_ulogic; SHIFT : out std_ulogic; TDI : out std_ulogic; UPDATE : out std_ulogic; TDO : in std_ulogic); end component; component IBUFDS generic ( CAPACITANCE : string := "DONT_CARE"; DIFF_TERM : boolean := FALSE; IBUF_DELAY_VALUE : string := "0"; IFD_DELAY_VALUE : string := "AUTO"; IOSTANDARD : string := "DEFAULT"); port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic ); end component; component IBUFDS_LVDS_25 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component IBUFGDS_LVDS_25 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component IOBUFDS generic( CAPACITANCE : string := "DONT_CARE"; IBUF_DELAY_VALUE : string := "0"; IFD_DELAY_VALUE : string := "AUTO"; IOSTANDARD : string := "DEFAULT"); port ( O : out std_ulogic; IO : inout std_ulogic; IOB : inout std_ulogic; I : in std_ulogic; T : in std_ulogic ); end component; component OBUFDS generic( CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "DEFAULT" ); port( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic ); end component; component OBUFDS_LVDS_25 port ( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic); end component; component OBUFTDS_LVDS_25 port ( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic; T : in std_ulogic); end component; component IBUFGDS is generic( CAPACITANCE : string := "DONT_CARE"; DIFF_TERM : boolean := FALSE; IBUF_DELAY_VALUE : string := "0"; IOSTANDARD : string := "DEFAULT"); port (O : out std_logic; I, IB : in std_logic); end component; component IBUFDS_LVDS_33 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component IBUFGDS_LVDS_33 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component OBUFDS_LVDS_33 port ( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic); end component; component OBUFTDS_LVDS_33 port ( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic; T : in std_ulogic); end component; component FDCPE generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; CLR : in std_ulogic; D : in std_ulogic; PRE : in std_ulogic); end component; component IDDR generic ( DDR_CLK_EDGE : string := "OPPOSITE_EDGE"; INIT_Q1 : bit := '0'; INIT_Q2 : bit := '0'; SRTYPE : string := "SYNC"); port ( Q1 : out std_ulogic; Q2 : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component ODDR generic ( DDR_CLK_EDGE : string := "OPPOSITE_EDGE"; INIT : bit := '0'; SRTYPE : string := "SYNC"); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component IFDDRRSE port ( Q0 : out std_ulogic; Q1 : out std_ulogic; C0 : in std_ulogic; C1 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component OFDDRRSE port ( Q : out std_ulogic; C0 : in std_ulogic; C1 : in std_ulogic; CE : in std_ulogic; D0 : in std_ulogic; D1 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component FDDRRSE generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C0 : in std_ulogic; C1 : in std_ulogic; CE : in std_ulogic; D0 : in std_ulogic; D1 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component IDELAY generic ( IOBDELAY_TYPE : string := "DEFAULT"; IOBDELAY_VALUE : integer := 0); port ( O : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; I : in std_ulogic; INC : in std_ulogic; RST : in std_ulogic); end component; component IDELAYCTRL port ( RDY : out std_ulogic; REFCLK : in std_ulogic; RST : in std_ulogic); end component; component BUFIO port ( O : out std_ulogic; I : in std_ulogic); end component; component BUFR generic ( BUFR_DIVIDE : string := "BYPASS"; SIM_DEVICE : string := "VIRTEX4"); port ( O : out std_ulogic; CE : in std_ulogic; CLR : in std_ulogic; I : in std_ulogic); end component; component ODDR2 generic ( DDR_ALIGNMENT : string := "NONE"; INIT : bit := '0'; SRTYPE : string := "SYNC" ); port ( Q : out std_ulogic; C0 : in std_ulogic; C1 : in std_ulogic; CE : in std_ulogic; D0 : in std_ulogic; D1 : in std_ulogic; R : in std_ulogic; S : in std_ulogic ); end component; component IDDR2 generic ( DDR_ALIGNMENT : string := "NONE"; INIT_Q0 : bit := '0'; INIT_Q1 : bit := '0'; SRTYPE : string := "SYNC" ); port ( Q0 : out std_ulogic; Q1 : out std_ulogic; C0 : in std_ulogic; C1 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic ); end component; component SYSMON generic ( INIT_40 : bit_vector := X"0000"; INIT_41 : bit_vector := X"0000"; INIT_42 : bit_vector := X"0800"; INIT_43 : bit_vector := X"0000"; INIT_44 : bit_vector := X"0000"; INIT_45 : bit_vector := X"0000"; INIT_46 : bit_vector := X"0000"; INIT_47 : bit_vector := X"0000"; INIT_48 : bit_vector := X"0000"; INIT_49 : bit_vector := X"0000"; INIT_4A : bit_vector := X"0000"; INIT_4B : bit_vector := X"0000"; INIT_4C : bit_vector := X"0000"; INIT_4D : bit_vector := X"0000"; INIT_4E : bit_vector := X"0000"; INIT_4F : bit_vector := X"0000"; INIT_50 : bit_vector := X"0000"; INIT_51 : bit_vector := X"0000"; INIT_52 : bit_vector := X"0000"; INIT_53 : bit_vector := X"0000"; INIT_54 : bit_vector := X"0000"; INIT_55 : bit_vector := X"0000"; INIT_56 : bit_vector := X"0000"; INIT_57 : bit_vector := X"0000"; SIM_MONITOR_FILE : string := "design.txt" ); port ( ALM : out std_logic_vector(2 downto 0); BUSY : out std_ulogic; CHANNEL : out std_logic_vector(4 downto 0); DO : out std_logic_vector(15 downto 0); DRDY : out std_ulogic; EOC : out std_ulogic; EOS : out std_ulogic; JTAGBUSY : out std_ulogic; JTAGLOCKED : out std_ulogic; JTAGMODIFIED : out std_ulogic; OT : out std_ulogic; CONVST : in std_ulogic; CONVSTCLK : in std_ulogic; DADDR : in std_logic_vector(6 downto 0); DCLK : in std_ulogic; DEN : in std_ulogic; DI : in std_logic_vector(15 downto 0); DWE : in std_ulogic; RESET : in std_ulogic; VAUXN : in std_logic_vector(15 downto 0); VAUXP : in std_logic_vector(15 downto 0); VN : in std_ulogic; VP : in std_ulogic ); end component; component FDRSE generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component FDR generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; R : in std_ulogic); end component; component FDRE generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic); end component; component FD generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic); end component; component FDRS generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component FDE generic ( INIT : bit := '0'); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic); end component; component MUXF5 port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic); end component; component VCC port ( P : out std_ulogic := '1'); end component; component GND port ( G : out std_ulogic := '0'); end component; component INV port ( O : out std_ulogic; I : in std_ulogic ); end component; component LUT2_L generic ( INIT : bit_vector := X"0" ); port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic ); end component; component LUT4 generic ( INIT : bit_vector := X"0000" ); port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic ); end component; component LUT3 generic ( INIT : bit_vector := X"00" ); port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic ); end component; component LUT2 generic ( INIT : bit_vector := X"0" ); port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic ); end component; component FDC generic ( INIT : bit := '0' ); port ( Q : out std_ulogic; C : in std_ulogic; CLR : in std_ulogic; D : in std_ulogic ); end component; component LUT3_L generic ( INIT : bit_vector := X"00" ); port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic ); end component; component LUT1 generic ( INIT : bit_vector := X"0" ); port ( O : out std_ulogic; I0 : in std_ulogic ); end component; component LUT4_L generic ( INIT : bit_vector := X"0000" ); port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic ); end component; component FDCE generic ( INIT : bit := '0' ); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; CLR : in std_ulogic; D : in std_ulogic ); end component; component FDC_1 generic ( INIT : bit := '0' ); port ( Q : out std_ulogic; C : in std_ulogic; CLR : in std_ulogic; D : in std_ulogic ); end component; component FDP generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; PRE : in std_ulogic ); end component; component FDS generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; S : in std_ulogic ); end component; component MUXCY port ( O : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; component LUT1_L generic ( INIT : bit_vector := X"0" ); port ( LO : out std_ulogic; I0 : in std_ulogic ); end component; component MUXF6 port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF5_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component XORCY port ( O : out std_ulogic; CI : in std_ulogic; LI : in std_ulogic ); end component; component MUXCY_L port ( LO : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; component FDSE generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; S : in std_ulogic ); end component; component MULT_AND port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic ); end component; component SRL16E generic ( INIT : bit_vector := X"0000" ); port ( Q : out STD_ULOGIC; A0 : in STD_ULOGIC; A1 : in STD_ULOGIC; A2 : in STD_ULOGIC; A3 : in STD_ULOGIC; CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; component ROM256X1 generic ( INIT : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); port ( O : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; A4 : in std_ulogic; A5 : in std_ulogic; A6 : in std_ulogic; A7 : in std_ulogic ); end component; component FDPE generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; PRE : in std_ulogic ); end component; component MULT18X18 port ( P : out std_logic_vector (35 downto 0); A : in std_logic_vector (17 downto 0); B : in std_logic_vector (17 downto 0) ); end component; component MULT18X18S port ( P : out std_logic_vector (35 downto 0); A : in std_logic_vector (17 downto 0); B : in std_logic_vector (17 downto 0); C : in std_ulogic; CE : in std_ulogic; R : in std_ulogic ); end component; component MUXF7 port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component IODELAY generic ( DELAY_SRC : string := "I"; HIGH_PERFORMANCE_MODE : boolean := true; IDELAY_TYPE : string := "DEFAULT"; IDELAY_VALUE : integer := 0; ODELAY_VALUE : integer := 0; REFCLK_FREQUENCY : real := 200.0; SIGNAL_PATTERN : string := "DATA" ); port ( DATAOUT : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; DATAIN : in std_ulogic; IDATAIN : in std_ulogic; INC : in std_ulogic; ODATAIN : in std_ulogic; RST : in std_ulogic; T : in std_ulogic ); end component; component ISERDES generic ( BITSLIP_ENABLE : boolean := false; DATA_RATE : string := "DDR"; DATA_WIDTH : integer := 4; INIT_Q1 : bit := '0'; INIT_Q2 : bit := '0'; INIT_Q3 : bit := '0'; INIT_Q4 : bit := '0'; INTERFACE_TYPE : string := "MEMORY"; IOBDELAY : string := "NONE"; IOBDELAY_TYPE : string := "DEFAULT"; IOBDELAY_VALUE : integer := 0; NUM_CE : integer := 2; SERDES_MODE : string := "MASTER"; SRVAL_Q1 : bit := '0'; SRVAL_Q2 : bit := '0'; SRVAL_Q3 : bit := '0'; SRVAL_Q4 : bit := '0' ); port ( O : out std_ulogic; Q1 : out std_ulogic; Q2 : out std_ulogic; Q3 : out std_ulogic; Q4 : out std_ulogic; Q5 : out std_ulogic; Q6 : out std_ulogic; SHIFTOUT1 : out std_ulogic; SHIFTOUT2 : out std_ulogic; BITSLIP : in std_ulogic; CE1 : in std_ulogic; CE2 : in std_ulogic; CLK : in std_ulogic; CLKDIV : in std_ulogic; D : in std_ulogic; DLYCE : in std_ulogic; DLYINC : in std_ulogic; DLYRST : in std_ulogic; OCLK : in std_ulogic; REV : in std_ulogic; SHIFTIN1 : in std_ulogic; SHIFTIN2 : in std_ulogic; SR : in std_ulogic ); end component; component RAM16X1S generic ( INIT : bit_vector(15 downto 0) := X"0000" ); port ( O : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; D : in std_ulogic; WCLK : in std_ulogic; WE : in std_ulogic ); end component; component RAM16X1D generic ( INIT : bit_vector(15 downto 0) := X"0000" ); port ( DPO : out std_ulogic; SPO : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; D : in std_ulogic; DPRA0 : in std_ulogic; DPRA1 : in std_ulogic; DPRA2 : in std_ulogic; DPRA3 : in std_ulogic; WCLK : in std_ulogic; WE : in std_ulogic ); end component; component ROM32X1 generic ( INIT : bit_vector := X"00000000" ); port ( O : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; A4 : in std_ulogic ); end component; component DSP48 generic ( AREG : integer := 1; B_INPUT : string := "DIRECT"; BREG : integer := 1; CARRYINREG : integer := 1; CARRYINSELREG : integer := 1; CREG : integer := 1; LEGACY_MODE : string := "MULT18X18S"; MREG : integer := 1; OPMODEREG : integer := 1; PREG : integer := 1; SUBTRACTREG : integer := 1 ); port ( BCOUT : out std_logic_vector(17 downto 0); P : out std_logic_vector(47 downto 0); PCOUT : out std_logic_vector(47 downto 0); A : in std_logic_vector(17 downto 0); B : in std_logic_vector(17 downto 0); BCIN : in std_logic_vector(17 downto 0); C : in std_logic_vector(47 downto 0); CARRYIN : in std_ulogic; CARRYINSEL : in std_logic_vector(1 downto 0); CEA : in std_ulogic; CEB : in std_ulogic; CEC : in std_ulogic; CECARRYIN : in std_ulogic; CECINSUB : in std_ulogic; CECTRL : in std_ulogic; CEM : in std_ulogic; CEP : in std_ulogic; CLK : in std_ulogic; OPMODE : in std_logic_vector(6 downto 0); PCIN : in std_logic_vector(47 downto 0); RSTA : in std_ulogic; RSTB : in std_ulogic; RSTC : in std_ulogic; RSTCARRYIN : in std_ulogic; RSTCTRL : in std_ulogic; RSTM : in std_ulogic; RSTP : in std_ulogic; SUBTRACT : in std_ulogic ); end component; component RAMB16 generic ( DOA_REG : integer := 0; DOB_REG : integer := 0; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_A : bit_vector := X"000000000"; INIT_B : bit_vector := X"000000000"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INVERT_CLK_DOA_REG : boolean := false; INVERT_CLK_DOB_REG : boolean := false; RAM_EXTENSION_A : string := "NONE"; RAM_EXTENSION_B : string := "NONE"; READ_WIDTH_A : integer := 0; READ_WIDTH_B : integer := 0; SIM_COLLISION_CHECK : string := "ALL"; SRVAL_A : bit_vector := X"000000000"; SRVAL_B : bit_vector := X"000000000"; WRITE_MODE_A : string := "WRITE_FIRST"; WRITE_MODE_B : string := "WRITE_FIRST"; WRITE_WIDTH_A : integer := 0; WRITE_WIDTH_B : integer := 0 ); port ( CASCADEOUTA : out std_ulogic; CASCADEOUTB : out std_ulogic; DOA : out std_logic_vector (31 downto 0); DOB : out std_logic_vector (31 downto 0); DOPA : out std_logic_vector (3 downto 0); DOPB : out std_logic_vector (3 downto 0); ADDRA : in std_logic_vector (14 downto 0); ADDRB : in std_logic_vector (14 downto 0); CASCADEINA : in std_ulogic; CASCADEINB : in std_ulogic; CLKA : in std_ulogic; CLKB : in std_ulogic; DIA : in std_logic_vector (31 downto 0); DIB : in std_logic_vector (31 downto 0); DIPA : in std_logic_vector (3 downto 0); DIPB : in std_logic_vector (3 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; REGCEA : in std_ulogic; REGCEB : in std_ulogic; SSRA : in std_ulogic; SSRB : in std_ulogic; WEA : in std_logic_vector (3 downto 0); WEB : in std_logic_vector (3 downto 0) ); end component; component MUXF8 port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component RAM64X1D generic ( INIT : bit_vector(63 downto 0) := X"0000000000000000"); port ( DPO : out std_ulogic; SPO : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; A4 : in std_ulogic; A5 : in std_ulogic; D : in std_ulogic; DPRA0 : in std_ulogic; DPRA1 : in std_ulogic; DPRA2 : in std_ulogic; DPRA3 : in std_ulogic; DPRA4 : in std_ulogic; DPRA5 : in std_ulogic; WCLK : in std_ulogic; WE : in std_ulogic ); end component; component BUF port ( O : out std_ulogic; I : in std_ulogic ); end component; component LUT5 generic ( INIT : bit_vector := X"00000000" ); port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic; I4 : in std_ulogic ); end component; component LUT5_L generic ( INIT : bit_vector := X"00000000" ); port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic; I4 : in std_ulogic ); end component; component LUT6 generic ( INIT : bit_vector := X"0000000000000000" ); port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic; I4 : in std_ulogic; I5 : in std_ulogic ); end component; component LUT6_L generic ( INIT : bit_vector := X"0000000000000000" ); port ( LO : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; I3 : in std_ulogic; I4 : in std_ulogic; I5 : in std_ulogic ); end component; component RAM128X1S generic ( INIT : bit_vector(127 downto 0) := X"00000000000000000000000000000000" ); port ( O : out std_ulogic; A0 : in std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; A3 : in std_ulogic; A4 : in std_ulogic; A5 : in std_ulogic; A6 : in std_ulogic; D : in std_ulogic; WCLK : in std_ulogic; WE : in std_ulogic ); end component; end;
------------------------------------------------------------------------------- -- _________ _____ _____ ____ _____ ___ ____ -- -- |_ ___ | |_ _| |_ _| |_ \|_ _| |_ ||_ _| -- -- | |_ \_| | | | | | \ | | | |_/ / -- -- | _| | | _ | | | |\ \| | | __'. -- -- _| |_ _| |__/ | _| |_ _| |_\ |_ _| | \ \_ -- -- |_____| |________| |_____| |_____|\____| |____||____| -- -- -- ------------------------------------------------------------------------------- -- -- -- Avalon MM interface for PWM -- -- -- ------------------------------------------------------------------------------- -- Copyright 2014 NTB University of Applied Sciences in Technology -- -- -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- -- you may not use this file except in compliance with the License. -- -- You may obtain a copy of the License at -- -- -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- -- -- Unless required by applicable law or agreed to in writing, software -- -- distributed under the License is distributed on an "AS IS" BASIS, -- -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- -- See the License for the specific language governing permissions and -- -- limitations under the License. -- ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; PACKAGE info_device_pkg IS CONSTANT c_int_number_of_descr_register: INTEGER := 7; CONSTANT info_device_address_width : INTEGER := 5; COMPONENT info_device IS GENERIC ( unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); description: STD_LOGIC_VECTOR (c_int_number_of_descr_register*c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); dev_size: INTEGER := 0 ); PORT ( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_avs_address : IN STD_LOGIC_VECTOR(info_device_address_width-1 DOWNTO 0); isl_avs_read : IN STD_LOGIC; isl_avs_write : IN STD_LOGIC; osl_avs_waitrequest : OUT STD_LOGIC; islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) ); END COMPONENT; CONSTANT info_device_subtype_id : INTEGER := 0; CONSTANT info_device_interface_version : INTEGER := 0; CONSTANT c_usig_typdef_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_typdef_address,info_device_address_width); CONSTANT c_usig_mem_size_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_mem_size_address,info_device_address_width); CONSTANT c_usig_unique_id_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_unique_id_address,info_device_address_width); CONSTANT c_usig_dev_size_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_number_of_std_registers, info_device_address_width); CONSTANT c_usig_description_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := c_usig_dev_size_address + 1; CONSTANT c_usig_max_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := c_usig_dev_size_address + c_int_number_of_descr_register; END PACKAGE info_device_pkg; LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.info_device_pkg.ALL; USE work.fLink_definitions.ALL; ENTITY info_device IS GENERIC ( unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); description: STD_LOGIC_VECTOR (c_int_number_of_descr_register*c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); dev_size: INTEGER := 0 ); PORT ( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_avs_address : IN STD_LOGIC_VECTOR(info_device_address_width-1 DOWNTO 0); isl_avs_read : IN STD_LOGIC; isl_avs_write : IN STD_LOGIC; osl_avs_waitrequest : OUT STD_LOGIC; islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) ); END ENTITY info_device; ARCHITECTURE rtl OF info_device IS BEGIN -- combinatoric process comb_proc : PROCESS (isl_reset_n,isl_avs_write,islv_avs_address,isl_avs_read,islv_avs_write_data,isl_clk) VARIABLE description_part: INTEGER := 0; VARIABLE address: UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(0,info_device_address_width); BEGIN --type conversion address := UNSIGNED(islv_avs_address); --standard values oslv_avs_read_data <= (OTHERS => '0'); --avalon slave interface read part IF isl_avs_read = '1' THEN CASE address IS WHEN c_usig_typdef_address => oslv_avs_read_data ((c_fLink_interface_version_length + c_fLink_subtype_length + c_fLink_id_length - 1) DOWNTO (c_fLink_interface_version_length + c_fLink_subtype_length)) <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_info_id,c_fLink_id_length)); oslv_avs_read_data((c_fLink_interface_version_length + c_fLink_subtype_length - 1) DOWNTO c_fLink_interface_version_length) <= STD_LOGIC_VECTOR(to_unsigned(info_device_subtype_id,c_fLink_subtype_length)); oslv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) <= STD_LOGIC_VECTOR(to_unsigned(info_device_interface_version,c_fLink_interface_version_length)); WHEN c_usig_mem_size_address => oslv_avs_read_data(info_device_address_width+2) <= '1'; WHEN c_usig_unique_id_address => oslv_avs_read_data <= unique_id; WHEN c_usig_dev_size_address => oslv_avs_read_data <= std_logic_vector(to_unsigned(dev_size,c_fLink_avs_data_width)); WHEN OTHERS => IF address >= c_usig_description_address AND address <= c_usig_max_address THEN description_part := to_integer(address - c_usig_description_address); oslv_avs_read_data <= description(((c_int_number_of_descr_register-description_part))*32-1 DOWNTO (c_int_number_of_descr_register-description_part-1)*32); END IF; END CASE; END IF; END PROCESS comb_proc; osl_avs_waitrequest <= '0'; END rtl;
------------------------------------------------------------------------------- -- _________ _____ _____ ____ _____ ___ ____ -- -- |_ ___ | |_ _| |_ _| |_ \|_ _| |_ ||_ _| -- -- | |_ \_| | | | | | \ | | | |_/ / -- -- | _| | | _ | | | |\ \| | | __'. -- -- _| |_ _| |__/ | _| |_ _| |_\ |_ _| | \ \_ -- -- |_____| |________| |_____| |_____|\____| |____||____| -- -- -- ------------------------------------------------------------------------------- -- -- -- Avalon MM interface for PWM -- -- -- ------------------------------------------------------------------------------- -- Copyright 2014 NTB University of Applied Sciences in Technology -- -- -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- -- you may not use this file except in compliance with the License. -- -- You may obtain a copy of the License at -- -- -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- -- -- Unless required by applicable law or agreed to in writing, software -- -- distributed under the License is distributed on an "AS IS" BASIS, -- -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- -- See the License for the specific language governing permissions and -- -- limitations under the License. -- ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; PACKAGE info_device_pkg IS CONSTANT c_int_number_of_descr_register: INTEGER := 7; CONSTANT info_device_address_width : INTEGER := 5; COMPONENT info_device IS GENERIC ( unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); description: STD_LOGIC_VECTOR (c_int_number_of_descr_register*c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); dev_size: INTEGER := 0 ); PORT ( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_avs_address : IN STD_LOGIC_VECTOR(info_device_address_width-1 DOWNTO 0); isl_avs_read : IN STD_LOGIC; isl_avs_write : IN STD_LOGIC; osl_avs_waitrequest : OUT STD_LOGIC; islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) ); END COMPONENT; CONSTANT info_device_subtype_id : INTEGER := 0; CONSTANT info_device_interface_version : INTEGER := 0; CONSTANT c_usig_typdef_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_typdef_address,info_device_address_width); CONSTANT c_usig_mem_size_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_mem_size_address,info_device_address_width); CONSTANT c_usig_unique_id_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_unique_id_address,info_device_address_width); CONSTANT c_usig_dev_size_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_number_of_std_registers, info_device_address_width); CONSTANT c_usig_description_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := c_usig_dev_size_address + 1; CONSTANT c_usig_max_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := c_usig_dev_size_address + c_int_number_of_descr_register; END PACKAGE info_device_pkg; LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.info_device_pkg.ALL; USE work.fLink_definitions.ALL; ENTITY info_device IS GENERIC ( unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); description: STD_LOGIC_VECTOR (c_int_number_of_descr_register*c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); dev_size: INTEGER := 0 ); PORT ( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_avs_address : IN STD_LOGIC_VECTOR(info_device_address_width-1 DOWNTO 0); isl_avs_read : IN STD_LOGIC; isl_avs_write : IN STD_LOGIC; osl_avs_waitrequest : OUT STD_LOGIC; islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) ); END ENTITY info_device; ARCHITECTURE rtl OF info_device IS BEGIN -- combinatoric process comb_proc : PROCESS (isl_reset_n,isl_avs_write,islv_avs_address,isl_avs_read,islv_avs_write_data,isl_clk) VARIABLE description_part: INTEGER := 0; VARIABLE address: UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(0,info_device_address_width); BEGIN --type conversion address := UNSIGNED(islv_avs_address); --standard values oslv_avs_read_data <= (OTHERS => '0'); --avalon slave interface read part IF isl_avs_read = '1' THEN CASE address IS WHEN c_usig_typdef_address => oslv_avs_read_data ((c_fLink_interface_version_length + c_fLink_subtype_length + c_fLink_id_length - 1) DOWNTO (c_fLink_interface_version_length + c_fLink_subtype_length)) <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_info_id,c_fLink_id_length)); oslv_avs_read_data((c_fLink_interface_version_length + c_fLink_subtype_length - 1) DOWNTO c_fLink_interface_version_length) <= STD_LOGIC_VECTOR(to_unsigned(info_device_subtype_id,c_fLink_subtype_length)); oslv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) <= STD_LOGIC_VECTOR(to_unsigned(info_device_interface_version,c_fLink_interface_version_length)); WHEN c_usig_mem_size_address => oslv_avs_read_data(info_device_address_width+2) <= '1'; WHEN c_usig_unique_id_address => oslv_avs_read_data <= unique_id; WHEN c_usig_dev_size_address => oslv_avs_read_data <= std_logic_vector(to_unsigned(dev_size,c_fLink_avs_data_width)); WHEN OTHERS => IF address >= c_usig_description_address AND address <= c_usig_max_address THEN description_part := to_integer(address - c_usig_description_address); oslv_avs_read_data <= description(((c_int_number_of_descr_register-description_part))*32-1 DOWNTO (c_int_number_of_descr_register-description_part-1)*32); END IF; END CASE; END IF; END PROCESS comb_proc; osl_avs_waitrequest <= '0'; END rtl;
------------------------------------------------------------------------------- -- _________ _____ _____ ____ _____ ___ ____ -- -- |_ ___ | |_ _| |_ _| |_ \|_ _| |_ ||_ _| -- -- | |_ \_| | | | | | \ | | | |_/ / -- -- | _| | | _ | | | |\ \| | | __'. -- -- _| |_ _| |__/ | _| |_ _| |_\ |_ _| | \ \_ -- -- |_____| |________| |_____| |_____|\____| |____||____| -- -- -- ------------------------------------------------------------------------------- -- -- -- Avalon MM interface for PWM -- -- -- ------------------------------------------------------------------------------- -- Copyright 2014 NTB University of Applied Sciences in Technology -- -- -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- -- you may not use this file except in compliance with the License. -- -- You may obtain a copy of the License at -- -- -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- -- -- Unless required by applicable law or agreed to in writing, software -- -- distributed under the License is distributed on an "AS IS" BASIS, -- -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- -- See the License for the specific language governing permissions and -- -- limitations under the License. -- ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; PACKAGE info_device_pkg IS CONSTANT c_int_number_of_descr_register: INTEGER := 7; CONSTANT info_device_address_width : INTEGER := 5; COMPONENT info_device IS GENERIC ( unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); description: STD_LOGIC_VECTOR (c_int_number_of_descr_register*c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); dev_size: INTEGER := 0 ); PORT ( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_avs_address : IN STD_LOGIC_VECTOR(info_device_address_width-1 DOWNTO 0); isl_avs_read : IN STD_LOGIC; isl_avs_write : IN STD_LOGIC; osl_avs_waitrequest : OUT STD_LOGIC; islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) ); END COMPONENT; CONSTANT info_device_subtype_id : INTEGER := 0; CONSTANT info_device_interface_version : INTEGER := 0; CONSTANT c_usig_typdef_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_typdef_address,info_device_address_width); CONSTANT c_usig_mem_size_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_mem_size_address,info_device_address_width); CONSTANT c_usig_unique_id_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_unique_id_address,info_device_address_width); CONSTANT c_usig_dev_size_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_number_of_std_registers, info_device_address_width); CONSTANT c_usig_description_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := c_usig_dev_size_address + 1; CONSTANT c_usig_max_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := c_usig_dev_size_address + c_int_number_of_descr_register; END PACKAGE info_device_pkg; LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.info_device_pkg.ALL; USE work.fLink_definitions.ALL; ENTITY info_device IS GENERIC ( unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); description: STD_LOGIC_VECTOR (c_int_number_of_descr_register*c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); dev_size: INTEGER := 0 ); PORT ( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_avs_address : IN STD_LOGIC_VECTOR(info_device_address_width-1 DOWNTO 0); isl_avs_read : IN STD_LOGIC; isl_avs_write : IN STD_LOGIC; osl_avs_waitrequest : OUT STD_LOGIC; islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) ); END ENTITY info_device; ARCHITECTURE rtl OF info_device IS BEGIN -- combinatoric process comb_proc : PROCESS (isl_reset_n,isl_avs_write,islv_avs_address,isl_avs_read,islv_avs_write_data,isl_clk) VARIABLE description_part: INTEGER := 0; VARIABLE address: UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(0,info_device_address_width); BEGIN --type conversion address := UNSIGNED(islv_avs_address); --standard values oslv_avs_read_data <= (OTHERS => '0'); --avalon slave interface read part IF isl_avs_read = '1' THEN CASE address IS WHEN c_usig_typdef_address => oslv_avs_read_data ((c_fLink_interface_version_length + c_fLink_subtype_length + c_fLink_id_length - 1) DOWNTO (c_fLink_interface_version_length + c_fLink_subtype_length)) <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_info_id,c_fLink_id_length)); oslv_avs_read_data((c_fLink_interface_version_length + c_fLink_subtype_length - 1) DOWNTO c_fLink_interface_version_length) <= STD_LOGIC_VECTOR(to_unsigned(info_device_subtype_id,c_fLink_subtype_length)); oslv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) <= STD_LOGIC_VECTOR(to_unsigned(info_device_interface_version,c_fLink_interface_version_length)); WHEN c_usig_mem_size_address => oslv_avs_read_data(info_device_address_width+2) <= '1'; WHEN c_usig_unique_id_address => oslv_avs_read_data <= unique_id; WHEN c_usig_dev_size_address => oslv_avs_read_data <= std_logic_vector(to_unsigned(dev_size,c_fLink_avs_data_width)); WHEN OTHERS => IF address >= c_usig_description_address AND address <= c_usig_max_address THEN description_part := to_integer(address - c_usig_description_address); oslv_avs_read_data <= description(((c_int_number_of_descr_register-description_part))*32-1 DOWNTO (c_int_number_of_descr_register-description_part-1)*32); END IF; END CASE; END IF; END PROCESS comb_proc; osl_avs_waitrequest <= '0'; END rtl;
------------------------------------------------------------------------------- -- _________ _____ _____ ____ _____ ___ ____ -- -- |_ ___ | |_ _| |_ _| |_ \|_ _| |_ ||_ _| -- -- | |_ \_| | | | | | \ | | | |_/ / -- -- | _| | | _ | | | |\ \| | | __'. -- -- _| |_ _| |__/ | _| |_ _| |_\ |_ _| | \ \_ -- -- |_____| |________| |_____| |_____|\____| |____||____| -- -- -- ------------------------------------------------------------------------------- -- -- -- Avalon MM interface for PWM -- -- -- ------------------------------------------------------------------------------- -- Copyright 2014 NTB University of Applied Sciences in Technology -- -- -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- -- you may not use this file except in compliance with the License. -- -- You may obtain a copy of the License at -- -- -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- -- -- Unless required by applicable law or agreed to in writing, software -- -- distributed under the License is distributed on an "AS IS" BASIS, -- -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- -- See the License for the specific language governing permissions and -- -- limitations under the License. -- ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; PACKAGE info_device_pkg IS CONSTANT c_int_number_of_descr_register: INTEGER := 7; CONSTANT info_device_address_width : INTEGER := 5; COMPONENT info_device IS GENERIC ( unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); description: STD_LOGIC_VECTOR (c_int_number_of_descr_register*c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); dev_size: INTEGER := 0 ); PORT ( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_avs_address : IN STD_LOGIC_VECTOR(info_device_address_width-1 DOWNTO 0); isl_avs_read : IN STD_LOGIC; isl_avs_write : IN STD_LOGIC; osl_avs_waitrequest : OUT STD_LOGIC; islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) ); END COMPONENT; CONSTANT info_device_subtype_id : INTEGER := 0; CONSTANT info_device_interface_version : INTEGER := 0; CONSTANT c_usig_typdef_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_typdef_address,info_device_address_width); CONSTANT c_usig_mem_size_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_mem_size_address,info_device_address_width); CONSTANT c_usig_unique_id_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_unique_id_address,info_device_address_width); CONSTANT c_usig_dev_size_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_number_of_std_registers, info_device_address_width); CONSTANT c_usig_description_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := c_usig_dev_size_address + 1; CONSTANT c_usig_max_address : UNSIGNED(info_device_address_width-1 DOWNTO 0) := c_usig_dev_size_address + c_int_number_of_descr_register; END PACKAGE info_device_pkg; LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.info_device_pkg.ALL; USE work.fLink_definitions.ALL; ENTITY info_device IS GENERIC ( unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); description: STD_LOGIC_VECTOR (c_int_number_of_descr_register*c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0'); dev_size: INTEGER := 0 ); PORT ( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_avs_address : IN STD_LOGIC_VECTOR(info_device_address_width-1 DOWNTO 0); isl_avs_read : IN STD_LOGIC; isl_avs_write : IN STD_LOGIC; osl_avs_waitrequest : OUT STD_LOGIC; islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0); islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) ); END ENTITY info_device; ARCHITECTURE rtl OF info_device IS BEGIN -- combinatoric process comb_proc : PROCESS (isl_reset_n,isl_avs_write,islv_avs_address,isl_avs_read,islv_avs_write_data,isl_clk) VARIABLE description_part: INTEGER := 0; VARIABLE address: UNSIGNED(info_device_address_width-1 DOWNTO 0) := to_unsigned(0,info_device_address_width); BEGIN --type conversion address := UNSIGNED(islv_avs_address); --standard values oslv_avs_read_data <= (OTHERS => '0'); --avalon slave interface read part IF isl_avs_read = '1' THEN CASE address IS WHEN c_usig_typdef_address => oslv_avs_read_data ((c_fLink_interface_version_length + c_fLink_subtype_length + c_fLink_id_length - 1) DOWNTO (c_fLink_interface_version_length + c_fLink_subtype_length)) <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_info_id,c_fLink_id_length)); oslv_avs_read_data((c_fLink_interface_version_length + c_fLink_subtype_length - 1) DOWNTO c_fLink_interface_version_length) <= STD_LOGIC_VECTOR(to_unsigned(info_device_subtype_id,c_fLink_subtype_length)); oslv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) <= STD_LOGIC_VECTOR(to_unsigned(info_device_interface_version,c_fLink_interface_version_length)); WHEN c_usig_mem_size_address => oslv_avs_read_data(info_device_address_width+2) <= '1'; WHEN c_usig_unique_id_address => oslv_avs_read_data <= unique_id; WHEN c_usig_dev_size_address => oslv_avs_read_data <= std_logic_vector(to_unsigned(dev_size,c_fLink_avs_data_width)); WHEN OTHERS => IF address >= c_usig_description_address AND address <= c_usig_max_address THEN description_part := to_integer(address - c_usig_description_address); oslv_avs_read_data <= description(((c_int_number_of_descr_register-description_part))*32-1 DOWNTO (c_int_number_of_descr_register-description_part-1)*32); END IF; END CASE; END IF; END PROCESS comb_proc; osl_avs_waitrequest <= '0'; END rtl;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3073.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c12s06b02x00p06n01i03073pkg is type positive_cons_vector is array (15 downto 0) of positive; constant C19 : positive_cons_vector := (others => 3); end c12s06b02x00p06n01i03073pkg; use work.c12s06b02x00p06n01i03073pkg.all; ENTITY c12s06b02x00p06n01i03073ent_a IS PORT ( F1: OUT integer ; F3: IN positive_cons_vector; FF: OUT integer := 0 ); END c12s06b02x00p06n01i03073ent_a; ARCHITECTURE c12s06b02x00p06n01i03073arch_a OF c12s06b02x00p06n01i03073ent_a IS BEGIN TESTING: PROCESS begin F1 <= 3; wait for 0 ns; assert F3'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3'active = true)) then F1 <= 11; end if; assert F3(0)'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3(0)'active = true)) then F1 <= 11; end if; assert F3(15)'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3(15)'active = true)) then F1 <= 11; end if; wait; END PROCESS; END c12s06b02x00p06n01i03073arch_a; use work.c12s06b02x00p06n01i03073pkg.all; ENTITY c12s06b02x00p06n01i03073ent IS END c12s06b02x00p06n01i03073ent; ARCHITECTURE c12s06b02x00p06n01i03073arch OF c12s06b02x00p06n01i03073ent IS function scalar_complex(s : integer) return positive_cons_vector is begin return C19; end scalar_complex; component model PORT ( F1: OUT integer; F3: IN positive_cons_vector; FF: OUT integer ); end component; for T1 : model use entity work.c12s06b02x00p06n01i03073ent_a(c12s06b02x00p06n01i03073arch_a); signal S1 : positive_cons_vector; signal S3 : integer; signal SS : integer := 0; BEGIN T1: model port map ( scalar_complex(F1) => S1, F3 => scalar_complex(S3), FF => SS ); TESTING: PROCESS BEGIN S3 <= 3; wait for 0 ns; assert S1'active = true report"no activity on F3 when there is activity on actual" severity failure; assert S1(0)'active = true report"no activity on F3 when there is activity on actual" severity failure; assert S1(15)'active = true report"no activity on F3 when there is activity on actual" severity failure; assert NOT(S1'active = true and S1(0)'active = true and S1(15)'active = true and SS = 0) report "***PASSED TEST: c12s06b02x00p06n01i03073" severity NOTE; assert (S1'active = true and S1(0)'active = true and S1(15)'active = true and SS = 0) report "***FAILED TEST: c12s06b02x00p06n01i03073 - Not every scalar subelement is active if the source itself is active." severity ERROR; wait; END PROCESS TESTING; END c12s06b02x00p06n01i03073arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3073.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c12s06b02x00p06n01i03073pkg is type positive_cons_vector is array (15 downto 0) of positive; constant C19 : positive_cons_vector := (others => 3); end c12s06b02x00p06n01i03073pkg; use work.c12s06b02x00p06n01i03073pkg.all; ENTITY c12s06b02x00p06n01i03073ent_a IS PORT ( F1: OUT integer ; F3: IN positive_cons_vector; FF: OUT integer := 0 ); END c12s06b02x00p06n01i03073ent_a; ARCHITECTURE c12s06b02x00p06n01i03073arch_a OF c12s06b02x00p06n01i03073ent_a IS BEGIN TESTING: PROCESS begin F1 <= 3; wait for 0 ns; assert F3'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3'active = true)) then F1 <= 11; end if; assert F3(0)'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3(0)'active = true)) then F1 <= 11; end if; assert F3(15)'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3(15)'active = true)) then F1 <= 11; end if; wait; END PROCESS; END c12s06b02x00p06n01i03073arch_a; use work.c12s06b02x00p06n01i03073pkg.all; ENTITY c12s06b02x00p06n01i03073ent IS END c12s06b02x00p06n01i03073ent; ARCHITECTURE c12s06b02x00p06n01i03073arch OF c12s06b02x00p06n01i03073ent IS function scalar_complex(s : integer) return positive_cons_vector is begin return C19; end scalar_complex; component model PORT ( F1: OUT integer; F3: IN positive_cons_vector; FF: OUT integer ); end component; for T1 : model use entity work.c12s06b02x00p06n01i03073ent_a(c12s06b02x00p06n01i03073arch_a); signal S1 : positive_cons_vector; signal S3 : integer; signal SS : integer := 0; BEGIN T1: model port map ( scalar_complex(F1) => S1, F3 => scalar_complex(S3), FF => SS ); TESTING: PROCESS BEGIN S3 <= 3; wait for 0 ns; assert S1'active = true report"no activity on F3 when there is activity on actual" severity failure; assert S1(0)'active = true report"no activity on F3 when there is activity on actual" severity failure; assert S1(15)'active = true report"no activity on F3 when there is activity on actual" severity failure; assert NOT(S1'active = true and S1(0)'active = true and S1(15)'active = true and SS = 0) report "***PASSED TEST: c12s06b02x00p06n01i03073" severity NOTE; assert (S1'active = true and S1(0)'active = true and S1(15)'active = true and SS = 0) report "***FAILED TEST: c12s06b02x00p06n01i03073 - Not every scalar subelement is active if the source itself is active." severity ERROR; wait; END PROCESS TESTING; END c12s06b02x00p06n01i03073arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3073.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c12s06b02x00p06n01i03073pkg is type positive_cons_vector is array (15 downto 0) of positive; constant C19 : positive_cons_vector := (others => 3); end c12s06b02x00p06n01i03073pkg; use work.c12s06b02x00p06n01i03073pkg.all; ENTITY c12s06b02x00p06n01i03073ent_a IS PORT ( F1: OUT integer ; F3: IN positive_cons_vector; FF: OUT integer := 0 ); END c12s06b02x00p06n01i03073ent_a; ARCHITECTURE c12s06b02x00p06n01i03073arch_a OF c12s06b02x00p06n01i03073ent_a IS BEGIN TESTING: PROCESS begin F1 <= 3; wait for 0 ns; assert F3'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3'active = true)) then F1 <= 11; end if; assert F3(0)'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3(0)'active = true)) then F1 <= 11; end if; assert F3(15)'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3(15)'active = true)) then F1 <= 11; end if; wait; END PROCESS; END c12s06b02x00p06n01i03073arch_a; use work.c12s06b02x00p06n01i03073pkg.all; ENTITY c12s06b02x00p06n01i03073ent IS END c12s06b02x00p06n01i03073ent; ARCHITECTURE c12s06b02x00p06n01i03073arch OF c12s06b02x00p06n01i03073ent IS function scalar_complex(s : integer) return positive_cons_vector is begin return C19; end scalar_complex; component model PORT ( F1: OUT integer; F3: IN positive_cons_vector; FF: OUT integer ); end component; for T1 : model use entity work.c12s06b02x00p06n01i03073ent_a(c12s06b02x00p06n01i03073arch_a); signal S1 : positive_cons_vector; signal S3 : integer; signal SS : integer := 0; BEGIN T1: model port map ( scalar_complex(F1) => S1, F3 => scalar_complex(S3), FF => SS ); TESTING: PROCESS BEGIN S3 <= 3; wait for 0 ns; assert S1'active = true report"no activity on F3 when there is activity on actual" severity failure; assert S1(0)'active = true report"no activity on F3 when there is activity on actual" severity failure; assert S1(15)'active = true report"no activity on F3 when there is activity on actual" severity failure; assert NOT(S1'active = true and S1(0)'active = true and S1(15)'active = true and SS = 0) report "***PASSED TEST: c12s06b02x00p06n01i03073" severity NOTE; assert (S1'active = true and S1(0)'active = true and S1(15)'active = true and SS = 0) report "***FAILED TEST: c12s06b02x00p06n01i03073 - Not every scalar subelement is active if the source itself is active." severity ERROR; wait; END PROCESS TESTING; END c12s06b02x00p06n01i03073arch;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library altera_mf; use altera_mf.altpll; -- pragma translate_on entity stratix3_pll is generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_freq : integer := 25000; clk2xen : integer := 0; sdramen : integer := 0 ); port ( inclk0 : in std_ulogic; c0 : out std_ulogic; c0_2x : out std_ulogic; e0 : out std_ulogic; locked : out std_ulogic ); end; architecture rtl of stratix3_pll is component altpll generic ( intended_device_family : string := "Stratix III" ; operation_mode : string := "NORMAL" ; compensate_clock : string := "CLK0"; inclk0_input_frequency : positive; width_clock : positive := 10; clk0_multiply_by : positive := 1; clk0_divide_by : positive := 1; clk1_multiply_by : positive := 1; clk1_divide_by : positive := 1; clk2_multiply_by : positive := 1; clk2_divide_by : positive := 1 ); port ( inclk : in std_logic_vector(1 downto 0); clkena : in std_logic_vector(5 downto 0); clk : out std_logic_vector(width_clock-1 downto 0); locked : out std_logic ); end component; signal clkena : std_logic_vector (5 downto 0); signal clkout : std_logic_vector (9 downto 0); signal inclk : std_logic_vector (1 downto 0); signal fb : std_logic; constant clk_period : integer := 1000000000/clk_freq; constant CLK_MUL2X : integer := clk_mul * 2; begin clkena(5 downto 3) <= (others => '0'); clkena(0) <= '1'; clkena(1) <= '1' when sdramen = 1 else '0'; clkena(2) <= '1' when clk2xen = 1 else '0'; inclk <= '0' & inclk0; c0 <= clkout(0); c0_2x <= clkout(2); e0 <= clkout(1); sden : if sdramen = 1 generate altpll0 : altpll generic map ( intended_device_family => "Stratix III", --operation_mode => "ZERO_DELAY_BUFFER", inclk0_input_frequency => clk_period, operation_mode => "NORMAL", inclk0_input_frequency => clk_period, width_clock => 10, compensate_clock => "CLK1", clk0_multiply_by => clk_mul, clk0_divide_by => clk_div, clk1_multiply_by => clk_mul, clk1_divide_by => clk_div, clk2_multiply_by => CLK_MUL2X, clk2_divide_by => clk_div) port map ( clkena => clkena, inclk => inclk, clk => clkout, locked => locked); end generate; nosd : if sdramen = 0 generate altpll0 : altpll generic map ( intended_device_family => "Stratix III", operation_mode => "NORMAL", inclk0_input_frequency => clk_period, width_clock => 10, clk0_multiply_by => clk_mul, clk0_divide_by => clk_div, clk1_multiply_by => clk_mul, clk1_divide_by => clk_div, clk2_multiply_by => CLK_MUL2X, clk2_divide_by => clk_div) port map ( clkena => clkena, inclk => inclk, clk => clkout, locked => locked); end generate; end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library altera_mf; library grlib; use grlib.stdlib.all; -- pragma translate_on library techmap; use techmap.gencomp.all; entity clkgen_stratixiii is generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; sdinvclk : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0; tech : integer := 0); port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- double clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type); end; architecture rtl of clkgen_stratixiii is constant VERSION : integer := 1; constant CLKIN_PERIOD : integer := 20; signal clk_i : std_logic; signal clkint, pciclkint : std_logic; signal pllclk, pllclkn : std_logic; -- generated clocks signal s_clk : std_logic; component stratix3_pll generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_freq : integer := 25000; clk2xen : integer := 0; sdramen : integer := 0 ); port ( inclk0 : in std_ulogic; c0 : out std_ulogic; c0_2x : out std_ulogic; e0 : out std_ulogic; locked : out std_ulogic); end component; begin cgo.pcilock <= '1'; -- c0 : if (PCISYSCLK = 0) generate -- Clkint <= Clkin; -- end generate; -- c1 : if (PCISYSCLK = 1) generate -- Clkint <= pciclkin; -- end generate; -- c2 : if (PCIEN = 1) generate -- p0 : if (PCIDLL = 1) generate -- pciclkint <= pciclkin; -- pciclk <= pciclkint; -- end generate; -- p1 : if (PCIDLL = 0) generate -- u0 : if (PCISYSCLK = 0) generate -- pciclkint <= pciclkin; -- end generate; -- pciclk <= clk_i when (PCISYSCLK = 1) else pciclkint; -- end generate; -- end generate; -- c3 : if (PCIEN = 0) generate -- pciclk <= Clkint; -- end generate; c0: if (PCISYSCLK = 0) or (PCIEN = 0) generate clkint <= clkin; end generate c0; c1: if PCIEN /= 0 generate d0: if PCISYSCLK = 1 generate clkint <= pciclkin; end generate d0; pciclk <= pciclkin; end generate c1; c2: if PCIEN = 0 generate pciclk <= '0'; end generate c2; sdclk_pll : stratix3_pll generic map (clk_mul, clk_div, freq, clk2xen, sdramen) port map ( inclk0 => clkint, e0 => sdclk, c0 => s_clk, c0_2x => clk2x, locked => cgo.clklock); clk <= s_clk; clkn <= not s_clk; -- pragma translate_off bootmsg : report_version generic map ( "clkgen_stratixiii" & ": altpll sdram/pci clock generator, version " & tost(VERSION), "clkgen_stratixiii" & ": Frequency " & tost(freq) & " KHz, PLL scaler " & tost(clk_mul) & "/" & tost(clk_div)); -- pragma translate_on end;
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY PIT IS PORT( clk : in std_logic; rst : in std_logic; bus_dq : inout std_logic_vector(15 downto 0); bus_rw : in std_logic; bus_req : in std_logic; bus_addr : in std_logic_vector(15 downto 0); int : out std_logic_vector(2 downto 0); bus_ack : out std_logic_vector(2 downto 0) ); END PIT; ARCHITECTURE behavioral OF PIT IS -- Internal Registers signal div1 : unsigned(15 downto 0) := x"ffff"; signal div1c : unsigned(15 downto 0):= x"0000"; signal f1 : std_logic :='0'; signal div2 : unsigned(15 downto 0):= x"ffff"; signal div2c : unsigned(15 downto 0):= x"0000"; signal f2 : std_logic:='0'; signal div3 : unsigned(15 downto 0):= x"ffff"; signal div3c : unsigned(15 downto 0):= x"0000"; signal f3 : std_logic:='0'; signal controller : std_logic_vector(15 downto 0) := x"0000"; signal counter1 : unsigned(15 downto 0):= x"000A"; signal counter2 : unsigned(15 downto 0):= x"0064"; signal counter3 : unsigned(15 downto 0):= x"03E8"; signal inta : std_logic_vector(2 downto 0); BEGIN process(clk, rst) begin if(rst='1') then controller <= (others => '0'); div1 <= (others => '1'); div2 <= (others => '1'); div3 <= (others => '1'); elsif(rising_edge(clk)) then bus_ack <= "000"; if(bus_req = '1') then case bus_addr is when x"0990" => if(bus_rw = '1') then bus_dq <= (others => 'Z'); div1 <= unsigned(bus_dq); else bus_dq <= std_logic_vector(counter1); bus_ack <= "001"; end if; when x"0991" => if(bus_rw = '1') then bus_dq <= (others => 'Z'); div2 <= unsigned(bus_dq); else bus_dq <=std_logic_vector(counter2); bus_ack <= "010"; end if; when x"0992" => if(bus_rw = '1') then bus_dq <= (others => 'Z'); div3 <= unsigned(bus_dq); else bus_dq <=std_logic_vector(counter3); bus_ack <= "100"; end if; when x"0993" => if(bus_rw = '1') then bus_dq <= (others => 'Z'); controller <= bus_dq; else bus_dq <= (others => '0'); end if; when others => end case; end if; end if; end process; --geradore de clock process(clk, rst) begin if(rst = '1') then div1c <= x"0000"; elsif(rising_edge(clk)) then IF div1c < div1 THEN div1c <= div1c + '1'; ELSE div1c <= x"0000"; f1 <= not f1; END IF; IF div2c < div2 THEN div2c <= div2c + '1'; ELSE div2c <= x"0000"; f2 <= not f2; END IF; IF div3c < div3 THEN div3c <= div3c + '1'; ELSE div3c <= x"0000"; f3 <= not f3; END IF; end if; end process; process(f1, div1, rst) begin if(rst='1') then counter1 <= x"000A"; elsif(rising_edge(f1)) then counter1 <= counter1 - 1; if(std_logic_vector(counter1) = x"0000") then counter1 <= x"000A"; end if; end if; end process; process(f2, div2, rst) begin if(rst = '1') then counter2<= x"0064"; elsif(rising_edge(f2)) then counter2 <= counter2 - 1; if(std_logic_vector(counter2) = x"0000") then counter2 <= x"0064"; end if; end if; end process; process(f3, div3, rst) begin if(rst = '1') then counter3 <= x"03E8"; elsif(rising_edge(f3)) then counter3 <= counter3 - 1; if(std_logic_vector(counter3) = x"0000") then counter3 <= x"03E8"; end if; end if; end process; process(rst, clk) begin if(rst = '1') then int <= "000"; elsif(rising_edge(clk))then int <= "000"; if(std_logic_vector(counter1) = x"0000") then if(controller(0) = '1' and inta(0) = '0') then int(0) <= '1'; inta(0) <= '1'; end if; else inta(0) <= '0'; end if; if(std_logic_vector(counter2) = x"0000") then if(controller(1) = '1' and inta(1) = '0') then int(1) <= '1'; inta(1) <= '1'; end if; else inta(1) <= '0'; end if; if(std_logic_vector(counter3) = x"0000") then if(controller(2) = '1' and inta(2) = '0') then int(2) <= '1'; inta(2) <= '1'; end if; else inta(2) <= '0'; end if; end if; end process; END behavioral;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block icjLJZRn9b7kAiN7HJoVTij8wp0z4fpxbEzGkjk8ra/KGBbFPiymrFpuMiEUkOBcYIDce67Nv8/4 PokfK2FqWQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SSgHR/oNMGlE33Xkrgi3R7aM0rgpVlV9U5NIbrTTvwpj4zRv3wL1Fs31vxsj+sA2oT28daTg4ha3 uSgOhF1WuDlj/AU7mIIB+7MbJy+tPWq5ubWH4zsw6osSC72LCFhMEuLxKJs3PfC+NKWPffPc39dL kdAnliaynZpezWH+4xE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xP0+auSLpqgc3xyqWhs38/SVRIk5SPJjMu8yajcMhqoFU2cMHB9FeWWuQZ4L9iy+CLFh6/qTSrp2 XHjIPJR9MyVu9E0W1p9Hyp7XBL9xNQxrli1DF8AQ4iOqQIfh38eQyfslNIqsSlGPSoQSeN2WlfDV KxJtN8fuAHbYPLC/WBi3Sh1bitDN3pNGDxS077Rpwk3RwgLVvxLZ6xUyKvcPD/iPNBDHAf2pQFz+ myDBuGryrYC1iJ7UWgoc0ZscSOy42wZ1/YtnBDR2JQ2WZ5eKIcnbAFaR9jLL+VaBq5X0fH+ZWg/Y mkw9EPmllce2Ojv0XZpUuclRjhBo/wFIhFiokA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oIw2aNNHu6u3Gxdoax14t+0wqU+7D47TkV26t0M4ApZKiquy+ZOBUChVo9eEADBNqkvogJAd9CVE pr7Fgain4kw8vXKjf+epk+1u+lq+j/i1TUXwkPQhP6bWrioLL/8fmuKWCvDnTxJGneUfBKQHWgYQ ZWsqm1aEh6q9MW9OvgY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JwzS8radByaiWqm6jvGPQxvx0AQrO62lZd2N19a6+D1Dy0uFxhpUXoeZKkKBtB8gBJzaYeyFt7ay V+GIAWXDRepNrY1fv/ihYaVtPRf6bFWm8+w1VGtPMk0E/CdX6vs1FkzhT2gBLhM9gpkiAj/rOajl sXR4BCji91GZKDdsulkxFReYciAV+ZaqIqIbbtTjc2MCXPy6J0t/GnbYqjBnx3H8E2rU7VvaGc4A 1P0g7Qnf6qD0tENEbuXYGQ8EgZJ8OHdaqmMSa3K5YBC7bUomoOp1xWrvcQhQv+HHHpGc09wF1VM/ 1rlk8WtZslwJV27OrmoeDQtAXmQ6l9a40TPqfQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10432) `protect data_block 2p33RrC5oeW1hoRGPJJP+ad7aGGKwlB6qLIvAMFyOjTda4YCiH7SLL5pXZ64MKNkH1QCZ3ZYAD00 Tl9nYTJhhskOG/mA8TpLg3AiO0gbdoPEd4XWHXa/l6NnZifJTAgbJfkOwuvwC5mbCzaTwgLuUR8W SuBo/itwqh4CMiOZUwMVV5dX293G1FNQgMCH3G5IdriZs+ISO3d5hoaUbFAFazImmGEx/Kb735Ro cDVdtfjNbwSVvyrXkObE+lVsUVW/JpVdRCLmkLxeN9JVHnyX7V5ZgIWn1nAq64UaHlpkWrjxyaJT QUAuLijghGUBQVXSLafrK8mi1gfKoJruwvTBg0yzcQF3al4eluO5VZoK5KzJ9W0iM1ZfGxbG6ZjK e6NdzEAcz63UY0H4ntmuTjV6EX6josX3wvRwwRKdR6UxhRPc2GadaZxagiEsDbV5P5XwhhTHAbZN eN3oxFVIeHvQXwt9lfqvCRo5kxoxaWMtiLHOQF9SwlLmpYykosl5kPXPidtkj5YOJQEbT+rGYaXp P9Euu091xWArPlslvUR/o4cSZpo2hUR80nOuVfAAhHO0UZ2EItcmLaQ2S6fLRbAS89hzxKZJBMBY EITXDXyObWwVnN1X/4Dg5+TIbyef+jJxCiMAfRIF7ogZR3P608G+NGLJTARlbBQi+9AjaG3/toBs fF7MWj3qb+e+uzk3DnoNbo36V7GnjrPUVAF09+uOh9kIgO3XW0MX1G4DBcxAyUBHpNGrtmw52scb yze0FA5OQa5i0Cm+HDPU07mh+WEJ5Z4TE+DMbnVqgICxsZ8NBCPtsgj18PfNE8RDFKuCnnJpHwil M7YJatcAVRZnTjoM1bjaM7asxdMH5LLyX3C+HHiSdp8eIHup77iBi0IZ65OQyMpSndMICfiwlEAL WA+08Yf3T/mGrApIjBehaFb6ZsGjoJYXPedmtig9JwOgdqlVr0PMiJ8w0LyYJtMdoG04BN8uIfdE pBNThtzlGl3dblMvEtQLkDSpANR9/IRIVDcfPFSuDmTRgS5HR0fkDi3RTi7I7Dl052qbr++rmrAd tBSuq4dgrShhQUjR6mdrl0DaLbHQ+83HZQOO6LqVZyt7vME/MoqRjQJe4mmzURtoczSEZ3L4u6wz d4u/jmZLHrQVP8Vvg/PHKZfhNn7KCFGOMgG6/bI3h3iVOsMh+p8NoVAONS44QpJHnCvnT7HddGUG TuTsfmnn97IEPXtlLnwdNnDjuUC5RaLqaV1R7lwIUa9SwK8ej+zzE7pVEuAVupA4aSy2As+eGeXE xY4RHCZLmh4cLpG6IPjaUYKWaJbRJNTg4sgnpS7qismA0xOSzN5J3XJMA9nzeWSHZcYjSJ4rti6A 41lEgjb4wiaT+1vvsGc9D9VdG7oMEDP51oNYApTu+dBEu5WqubU6huwAlFUBw0aCPQvi13FIKvCk rpxFspS9Ir8xJpFl4Sw00GMLx7u9frS2sH031RLEf5PDj6/UeT0UycivqkjQUAdtOBumcHp9VYFI XtIhp9QeniR81Jlsln1RQOZ+3L04Dhztd3oEsLKWMO7sFMZZJZdJEGXLGKSkRgRgB90JFK8Z2D/W nTgim8eyIiKKYBdIneCE5DPgvyOXgJRBCxEsnaK3wcxkkMp9xhmyoT/oQvEUV+NdpZYLheKEUzHQ DooEdZW4FDHfzhfPcU/4txHaewWlRwmjsGzY6wOOeOjmLcmznuS4NUmTQ846K5MjNTVNeucjrEcB epnnpZTfRqRvQo/aeJoMpQfhgkyAgTpEeJjOLUeJ33nqQAIRi5BDm1Trxm1jmgipKkqtwwIBvrrx SU3Xq+Zy0Z/ke/ZTgoaX/zFRwxsfuBOdOur1k7qNfZI/zKGsycZG2ZrjfTsES5Akdn3UxnTg/nV/ RR9gohDEeekui85++T2mH/7g52wniu8SkqmoFXxW9EK00KcOwe8nrKOWVWQDKjo7Q4NjqSXMYv8O tTDdy9c9j+YcheS00VP+QqUOi+9CL3k4MsLpTBFzL389FBJUIhV25eZcgxgqOF6XT7VT6IcvMkJl 2UgvSyFt45UgvkmKLHOhRIqX1OlKTQF27E/Huskuff2/3zhqu8m7egBOvzbLtQ1DD/92tv3Mj8j2 S63DOdcBXaG29cVAVOl89YxP2zSgbpLmBG4CFF4y/j2m7GjwdP/Okm6fXgkGQX1tsME/Ql/G8+xP r2OYyLQEMIcQTc/WZlBSYo+2nUcdqlRVyb8+igwkcxUQBGcHNHWKAn9PbQtTMEHlSbrqZmRFI1Ci PQ35fhiwYaYUKhWOnIeLml90X0wctXEjBiwdng85Nlm7M3E2SPH8pDSxmnu3gdzlXEf56/wMFHi6 Jjv8PQBNwUXypZxLBAtDJg9cmiX1Kdt+sgXpPL1aRTcNUCK48oI8xwlX2Y2xyvKHD8PDBPiOhmB7 NUN58MnO+k5b0lwi+N1J3FLWKeaKE9TvbYIDQ34DAQO5BYSfRRarfcYIMW2shieAA2ghMMXnQ+yY 0pytHE+2KnJosYNORg3B0Vn8bTNX8Pte3/Wbtv49IQdXLvK7g7TPX60C8cuqmIqXnF1mdOUNkLEs y5NE/t3CuTWO8PZ6GtoBCe4ShvF67iZbQUO0ksJza7c2HJKzEpo9OtBxsMTNC7JaI8s3/Pgqd8x8 7C/rPqwcYTzK5hzCARZgyVlYVv5ow82h6g4t0QfzSX0ncd+9W/ZFsgvnk0H4LUaxH0X6fD/b6R10 KmcVotd+QCO9jVDyEF5LbYXU9ULr3Kmc0q7K1Wp40Deom7jT1FUOnMnvvpw6VzaHYGoYaY6hK212 TuuCKKYWYYsKvhwkfnHBiltWp1yAQak4ryKl6rdzqY0Mr9cETNDFbf9FGK7EeE8nX3wXaJDx+cHz NGN5rRAN3UxLha978SIjasnli8sf/dpS/ZZWp2gMvCeeHO8ZqixeTq6kU9u6onJ+bGI3qBdv9eON jLNrW5qPRRAf/Fi7oHHI1/N/+5OuHGqt4eieRFVlpNGILP931LfGJTmgXve9Enu9vlyi+4FbQTuF t9KCqqvvVMoVC9By/WiViwVYMuBMXqLPMkKHJkPL1g8jEu1Ectlk6G4GxvDA2PvPlNK/kMEtJk9S twdhxjR38wXBUiifY8sj0b17N9Rua0sAbsidpXG772RJXnAbVALvpM7MlKGMkyyUZb+KTiJ4rSgG +mVfyUtSyJKv05nZy0NbsiCj74c8Xwf9O/JXwGKMgLTQT80TEfgYz2OVbMlQmQuStgENnynLaCW+ GqQkneYiwcYl++wjOEfFs23+7xwaIUGKEFkL6FQ2buO71BMRNJTqrVdjzlCua1q/CEudm9RRXklA +Qc59HDD1SPEsHaNBGUF7qP6kl7UkwCui7bBqTUkb0ebXEQ6rT8UEf4xQ+2Hdoj5YZDTL1mZ/Fep 1ZN4XPA5n/YOst0gsomPE+qm2QsnqWGU2L8qkhL4FsPU5vdvS49ybyc5zE+B7FcJD43+eEuaQvYe x6N5/h9jXYX0eBEyOlqmijPSD71XPkHjs7XEysW87mmQ3nfKljyAmCFTA1Xf9gKgJylGgeAAD5/x pK3Us16TYoVs3CYMp3vDDEfot5LIJakYCpKWwXhZIsBbDrqgtuzdSxq4IQIUOK0RfxY0QZhWRz53 wITL+1iLG2VWL/mRTbtZs+E9gnPt2dLvUfPSJmvCsaCAGYfUgTEvIxl1RfqUMDJM8PqDfsGlCwTP KJXIOJiBuD5Ch+4+ZjbQthTc00iBq+XXX9zAKlnkn7NaJNGcBRQYDItS+U9lha0kFM46cOcq0y3j nnIUjAsacJtwGuD7AgghtTnh90XDgQ+XAb7MfDGaGm7NKvcGrBruNSPUXeAYwmBP0mb6iF3/zW4a /9Ge+I7Ncu/qNJcgXGBcNHG2x+Ju4zYPt9elVmFPWgntMG8FgslFKMcAJE6EYd2pMskkM0TtJC5R XEjQjK8F4KzHSXpxA7LknH19zto9WKdDMDrHrPbBoIjpOH07V7f3JNK/YaQjyqVBiA+kxzokYIjb d9HkFldbtb7o7nwp9cvRv4oOFmM0rdehQ7Eb0FdjZsQcLCUqiH3sCF6h/vSj5oIwdK3Rbsjzw8oj WjYkv0sFf9qjV8D5xCIKDik6SE29YjiYuw/yFrafIjT0RytNItekokVRiPkpt+hgu8rKcRd0tzlP XUYkLcNNa0lDgi7sDUMJsBjAJlWEVfaCvzyVoebPT22dAsmRJURaXwOunSXemsWRUZnydCtD888f N1IQ4qhD3efhyUZ8D1An426L7AnseXoPvWdkgji1EG4vMg4CTHzqGb0jr94tbei1AyrBWp/HRroH YP4YXidPWs9wZV7Mfs99vp7dusPG+Yf9ZkV5S+QqRHH+vpMCY9OcsVouHjgQdHkbDy+F1QO67bOQ Dnrcdzt6GLrPwEfpvh+5/W5Xd6Yn0c2tnyyvEc2ENaRRS2x9NC7ejIUhBVhjQucEjmc9B+jBAgpT GfboiHHghRtGmq1QJYzsc5t+sgitGuDio7+t3hIdrhxAw0GahXdJ2ZN805muFWcNgnbyEpCdPVIX u7ZsIT4bUpYi0c+ALThxGhsBriOKvP7i8YnUrXsKxd/74Bqv35q0j4ffI8cSpVZBOp4ue+xICQqX W2q8vlrUKSHtnbPCl3iHlFS7UY1ke2VMa9m+WYgMo3RTp9wmycYB1AkKj067SjLJZ4AfFXRAclq1 a0rdD5ZidherccaY9rw1wn0TR0/gxb9NNqW24WQjh0Nrz2Kbqv9wyTdBJNwtC7pfvdmthpNVzU2U PDT73YuEAZ35G0Ktk4bvTSw2h33jxfFT+/9vOxN4vy/K29AFJiBOuL3R8V2f9kQ6ZWy8K+m68bue op0YJuGc4TGcZjakBIDsdwmpoQsQ0Aid0+Ep9QqWw+mmKmVtkL1m03oXw0l02I8rvGf4bm6/9m2u 54xmib2jKT1vk9qXk8lPp/Wa9ddC+uTHCHetIRt9sOlfW0Cjs8wxM5kNjDjyjp7p/PID8oShMz5x SKJKmufmrIEq72kn90cjWldbowzx/j5lohIOFyiEr7J4Hx5fIBQdC+O1LkHGs1w9JKAsoQ/eZz5W zdkSDFUhNb1V+XUDEYFnGdTJBbRLV2ColbvksZDTqxHpLZwNwywWcQYXbyc3o8jeEuEV2c2Csdcg FxTguht5hd55/ryEiJPBpHrbiIFmRE+DVdgvbhTcJIqlQ6M9On7i/4yq3vRJ83vLyP5QAZ5lyyVJ iAn8MhEY9sdcZfl2ugONoOunOB3MhLtnCgLrnJd+lSbwIuLIl0Rq+Hg3KYlTsmb3RJ8nnFXbtNMm SgvAOYz63LozGMpW90M40nFaYu860PoLFl37svgQnhPTcxSp7bbetfGp+nZ1mSRPtQtTaRpWn1uM u/8n/2QEOjOqXzyYgP1A67vICHh+wNNm7r4miI1Uz7Yk8eKkvoCS/nwPWkrBb4otnzIDdkthlRCC JTLLLGLyitEdY03lCJsUV5w0iTNC+g7dtFp5TpFhPxp9jwuc3SWys5P3ZcftLMUdaq82MsTIE1Rc 2V2QINcqemXPFUI31BBoLJmBFEg+3YX8E5KmAqhFlboTW1oxuD9ZMeBlBVU9sMuZVVZ0UQR5yyyK XV2tcLgZSwW5CsKQ+ftDBX18CeNslBEiAZApkPw420T/F3ed+3GiY/gd7Y0CtRY8hqZHV4RrIYfR FEATJJeCFH+cCqK3/+fEA9zhjo91A4QfE0XCtH8M82Y52+8bIZxBt5tlzsLEfAX0xxOm+ijZSnFS PpYRu6V+8ju/BESUMrAG260eOp/Hc21pki2a4DgJzbh3T4lez9x+005uaB+Yyv/JepmaaYZKQCoT yI0/qi/VpPVjkLY6p1vVg5lPPANuwVPzTvk7NwQjX05UPvT00kTgT86niPh9P+BCFVFa1naBf5iE SQy0RRHtHIR0R4eK4tqXCgmPUGL7MnWZ2VGnhVUaMs8QlFNGumaHUX0LnLurvOIYl2KqljmclERu VZInS6ntI7GpVUfG1dxJrE98xSH78LPC/mgql0VEek1TG8caNZkTSoKlCOce/ftpd/pCHGneIsnW Hvfwgu3rv0iae0HBczt3x+cFb2ePNkUiUb832m4aP9wuXVrMG5kxK+0Q2CSKvm/LDwKezRnzo1S3 Xklbt+Q6uNdv6ekm74R1KPoMvhRjHhn7UNXC9ON/m8z7FQ3WNacve1pfiRTdGMSbm8DUMKjLGG5C MQKMTofmFLUm/ieoLVfhuj56Hgi65oUGMPYHgoCF7FWycx9Hr131lEac6zsEUO81/p1WylH2bflX EYqQbAJn59y1liYbkMAEUwvE5NQ6LWMcYxgYculQsdopxaZRoYbhfOC1OM5JwHgqrWXzQYpyZK7R esZiNXKDQztInPlr+GCZ0yluAHrSPiKYbS1dCswTk/xVRW+Xsrf1+u/MGIblZdj8/3ETmHRIowTn sqeb3TNJbVIuygSlPCjzWW+8WPyKj1JzGug49bTTIoA+pwIJ1OYArTf0/kApQZ5scGqIT5yrluSW xLJ+Az7rD2TMhAyqY+OOQRAyoho8n2lk1TRkT9olXuLk57Zdzof8kJBs9RyxheNFB/+/ipYy7FR4 d+f6OgJmXqjgiSimuZfzWnI/tJbYRKCyGBrNCNkMUesCSzdoqfbkmNrO75np/uZC6i/ZWNHcaCS1 mSgb5p47FN+N15CdJuQdsn6elEzu4MbLwhE88MJZdt5ntqCC28BdhpSXmkLewmr5EWPAJ+mr9/xY aKOAFnm9kriIEo56XpJpOMQOdaRVLxTs++usgfCUZAp7q+2kLm36zPb4/52p4OSUTSzVYq/ObGzn T/4c/E5jNk04M/i47dbehPDgYRFgEWMmVjd43NVhNu7EwOpGRbibsAqisUvZiX3ribN6K4FEKsHB iMXI9IDVHwrFI8QkulYGOPLP7tFm2Km185dZOVC438ftKc5qiXnqsKv7YcIcbB+6HxU39Ow/gkWw /9t4/ZmKWKrZCI7mHAI6F7X3JcCY9kM2RqC5teKEfmo39OyiNOR6j6K0BykQsthE7/GcwQ0gCyWq C23AfDJJyMgn/IEJdGDJa++snUGA4M+8g/Yqx2AKKqGO2l78gYQbW7fxjv6noAFuZja7dzXCQFUn wPJCh2F1QF17ktusLLKeII4bXCv+uaWTRD6sm0BWtRxPfpnz0tCrZ5fDFfYwWFKEeShkeHIC4brw KEGZSFxlErxC00DldHojpmEgvyfrWeJn0nIpEelcUT5cStcqnjX/ee3aYz0+spDE54bZIAlHGs4I GR2vlGoRM4FXoz5Jqd8LLyJadulNuKyU8+zTwAbejgF6VvBlDnSVX2epanjj7Svq7WaCoHSTrsAr tbk5cfZneweuovurZEKUYBh2zZ/pT1e5RZqdyx2wN33yVR9KMxOxG0E2MpkvKsyWZDV0ptKcuCKG odi8pgQWaSq1xOQKGJtRhI+PcUufzSCd0kC0ZA2qQt5kVVc+giE3c38n/aFJOoWKer/O10AyNEwa y2pnC6Y018ticYjXDqsGLZ69tjvhu19lugx5YEFV9+VMNWiYDIs01BE7pwTQyJqODFpKX4t7+vfR jO3xynn22E9EezjFCmzII1MzcxQUNQkBBaPRIT02YZI3p6vgsZAu1DNBsAbGZyLThNDtZz3IRkOH LF9qXuW/m+CDHzmAJDs3PjCOvbVVKwWxy/agWC/jraf5fsZHoHZm8A5ceql3SE5ykt+hphqJbVTs 756PmsG68gIAvzPLRJogoSVt2ZzFliWTrEcjh4r7HzfMG8rwZwIai1biLN2qbPyKbpfQrocDFsiZ V5/6RrLZneaVaFd/HL5QvkenlzEvWPS5XNWiorEP8E6n7kCMMsZQiwSLuTHcins7QIoP+ybx4idI bvsDGfrb/4aZ+RWG87Bfk9GZv9HJQezRyCtKwPVj49hUwsJX+8ZuA1O1RxDbm8S4ctuFZH4UCVzE dmSMR4vAfVmxAYcaNw6eNeRaHzPnKscB/h28jpGCqCJ3eISYvuo5ZRZLJTu+XuHv+3eQwSXjwXb0 dInqAqXx82UVWYRX2YYB3t4SoFn5VP81+jdmTnl6sHQS9Sha1iKzbJ47hKnRwGgMaUA9ljD3F4Up f9V62CLeMnrXOdvro0qeKYyT57gYMHgCEfAj4T5jctZfvp+NOYy0A2MC24xGbHmjwLJ3D/M1+9X4 8vaMsY3tbueHcr+dtNmVXr1yAIb1nf3c7NGrHXkhgIgnPXintdA2hl0HHGtTsojFLyFWUuVwBXEh Bx6l4l12SDSKvmZPo0zF+2bt6gIlGAsCdzEyf12gxWxqjEZ2Wg31ZhOHQT8Uxl1K1u7WwXu06/1M KUa/Hq1esRqf8Ij04n1GnML15r72bOIUTzfR1mZoEk4G+9fx3w3AVmVy0Z2BPF82FgL21AJU9vBw imWaZh51Vg+7cTIyvCMU6WMIq++2XlmuKRF3pgr6icU5L0Seu3FGqU9GZ2XLscSqaZSLzh1gjnvD 4OzL+CMDoZVmqanqy1jG/A7ACsl8fePfzzEo4YS75jaVKK0OH3q0fqnxu5tnP0lzDmPVdx/N5a+3 cSQUJNITH+EXhTaHyUGPdf7Vtl2w6xP5Vj0hynxX71sP7/fCwaPiD/KQK84mmwCAi48FHe2BnvcL 3izWiLCIGnrblEyR8QbjawaseX8/VravDgh33O6pwiKjKREdKDZfyCiiEx1wAAFUTotnrR7GWEdy QG1xG2tqPv6xuco90FHwiYlL/BJ6ZCexP1Ris8rxpJYrXKJkdWyDanlQsNH23l/aGOIcxU/12KzJ bSluuJaeBkEqsMTwHwFOlCJnbyMWayKiOFZ0LH2RiMlK0WH1YjX+ynwrED4ydJY3OtDHohHBGUhx xPSg9AxtM3i1yYMHDua3IrCJiA3VlDaZbRoOTmAnBacqJsQY/IoBVQUvzPs794/ki1AlNAf1BKMQ rG4Zl4odgg0zJsP9JpKeN0sUBMEAPG02HmTk4qGkn1hGFQGfBwUY2nj/TupmR9WenRx4gCVDjMgm sC8QLSGEgGcVEdfaCrV9jQ8DZKNIKf96+CHD1kZ/wTBcX4efm0PnZT2it41+fQeh/xBD+jFsTWw1 EB8n3JxzjKbFpPwbCNcP/bjaaeou9ImdwRohdGJSHu/RaGZirGOXszHDiqYj4xS8FAJ90EmHtWBn y/0QGXSNTtPMsdINiUCJkPjz3ic+ovejhB26xv2TqEcfIUG/WJ/n6T2Td8hEHkqQ7XZ6LkTzN7c6 /e4rxDH3mzTJH4dkktFEQsFtuN/jrlOBVBdH4Do8yZ37mIBm19k3fhILkXxo4rdSD0Vzw0+d+hfS vuFtSyqLYNJ1OYoMxsVreHmD32L/LwVIvxXMsGA3PvvTAAS33PdajGl1oJQZR8WNAEpjsaHRATyz 5t8/VUWK04fw/EMs7+w33q9Sq1hWvWNdcm+/tjNZYRTh/2kMdaZ0aWjoog5nu9c2m84pLMbh3ZN0 ggUyMNgiqXSLqquBuMKfSt3IbkGVu0Um9QMRTwnFHDUBsKmLCB3YkcsXTRGHBEKW5EDdfYl1vS/2 8KHojDIVr2up46hOJkTF1i+tqkvUa9wTjWlQn6G6PMSOKgh7S/y9dSvkzqRriwh0+qjkIbZuQoS3 Trgqw3XqGHKiC9XPw5aKOPKts6gxRgnU8sp1Fi5lZlVHc0BrQMdicwDUjFiU4K1YBiAzKD1OoLde a6mZMWLx2H8IRi3n7Q9+gYuYwpJwdA5jLgRuldoTxmA/5EChaEKXojhw86EzzC0QR5BaEJVjSQ3k DQCcUG7/NJqEUxTxWh2j32qWK3qgMS/rGg7hosiJ9KbTi3Knj5IbUrMs831YIUQRLyyhKHp+ip+h E16UQDDUh2HdSyt3AovMJ57374/YJI4KHjHz5GQ9Ia5elN/Lz/JeSeurCqoF2Xp5uNjV4N+kLnaI s5uC7MnRGmqbp+O5wYSIGZ0gotw28AyqOEau1Atb/k63PPPKqW2NOgJdxBjO/1EBkzCSdzXnT6ft 81v2IpRLrpPncDngMRfJ1vdrRfUVpGmQcfcRkLODOMuatc7eyol8RbqoHrgMpW0mI/GACcjJNpNC Y785LJmtyPeFNwi1Nc46Rc8Pw4AKzErPpvsxddNcn+0jiXQ28NNmH25D6ESM392aaARST2su+iGD BJ0RG9Bql2/OEpTmr5vH3Hf5wJxzXGJe7W+t83QvgUjcyi3s5OKy7+VD9CIZPBVFYG3Tu+MBiEU9 OrHCWiDhvlpXOwg9XlmZmt8LcYsRrd9uuizxdaaqm7mvCZdeoExyrozy0D+HZMZiya1PTzRv2iTP JiBTLnsVNY4LWVymZcoAMNrDi+FE1EEp1jO9FH4DdqvZc2P7ALss5T9XQuCdjxkYnpD0tnJktH4p bwqCRYdDMegCKxdAUbr9YNE+58dl+kF+FR/ohFczhTQwQl5DLHWxjfMWeCjCL3sEfai8JcRUUlZj lZYWAR0QCvXswpad7UzRREKpon8bBwjI7vfD3hCW4GBLZttoQ2UYs+NQbDW8YUC6NHPeiMDavVnW khRhD61DXTb2/1BtZ4qGYDO9UlRW5MlG8FunzvbsOVBO6GZ2xRjMTbuzyRZUuX51Zpq+QvM6ZV0t 5Y4o+lE9uRsylcrfHWhc5OZCuGl1SMzsjSAjSlBgTkMPyAEZQVeXY0xUpUF+aPXPTi9OTeJJXbAs yFldh4pR83j5xWmF+03eBz2eyryaTirNTmZVfYWKyGOsA5hKUOQ2rMsqMZ/wbV2u0+uO6lPbc2wM wQqAh95S3cVFJDZUzZXKEORoiiNZC/1msKy8wWWbKRYcLtdCWL6uQ7JRAON3jM9ldDL8GmlzW7OS gqk6qNeXv19nxe1OcTOOIbKnLFCH5e75Qob3CjfrHBuX2T/9nTxE2QNqMEUP6wOyHiY12buIBYQt KcaD+DECPcXXOL7zhc4GMgxHycJJVlvtvJiAXcy0AWMrHwGYYcG+qlkRwBRQfkkR85PUBNv6It/Y iFDBXPVE/5N/a/k6wogyeKYSJYs+w4jxOYAJNuPXTVj8a+3rpc4W8pH4FhfoBGU4s7I/SDkPqw1j FdVp9hMFxBL1Ou5r2Oj9YA0HN4UtMqu9LcdkHQaip/nxBkDE8khIHqgz869opsrcNgJQSFKlbehI eOWlxAzcqIvXQsG0g2LgbrkOa4n9P57uCQTfkprldTeFDZi6nmZbkrVZq8SBnupWaG/lpoHTAu1c 8gUhXoN7g7skEVCuZSiP0EdBu0R+njQ9IT0Ns0pIDuyUi+VjNkJuSg6wehVlJFqRYKttSTWxq8zy XQ0kjCP5Q2r1Bfk1dlvkfP9Sfidkh1eBlIbRiWuzijXhpnrPKZXP/QaZsQJwp/PrzQ7f7LXO/N6P QvDp+MiAJGL0kEg07EfUn/U5Jmy6i6ZltxSRsdtQzrBy5DetPeLzPGn0ggnIWpXwFDduQb8iUvVe KFZsJDQ+jyv3glijAMH9gunWzjBJCdCG0SIkagQt2RtA7Fv8C21sglujyVljy7HmpnhmBa+C4IKz rLVMuH9/jX2gOHLfqj1f6ahC7I1frptHgAEs6w4yQ0OfkNIxJ8CDdPU+Xg6T60iTuaeqq0RAEsNc IlySalXq1xzuJ5VNbhzARQaBq298en24IhqAbgcQD5yM62GqH0hAYXygvIyhmA79VAUcZJq73eis AArUoOUPwTP2EmsF4/MobPzUJbUgdrPTeQOGuF4noPXICtz3M+att/y2HFpXu2lvOwsuVlyvDM2z aiTxzlXlITwPeMw1KFnTj/weIrjWloznKf3Q9x0qg+jq5aw5cCdMNI3NGhnA1QCtfhfFTMTgu2qs p1umG966LPD//Jzck6TeqvJ4sZKBBXzctPhoksJVkzhU46EtWLbiLzosdUlpA7oc3P0gYwg93P5J d1qDxJclg3119Q9AbBaJ8L/cU4ZWMhkaAssHKodOQe12IvFml5x5zLdwWFnbcsG3hGAlCR3gNRrB 62FZnrIe2cwhiKiWrlLFJ2Hl8BO3NcNm2Yh16YNM89fUwM5ybyp6gS4+xySQiHJW3TIlETFX+3oq UgeV2WIMct1NQxGiwynAqi2jENGpQD/RgHv2WtdMFpmTdMVnLf6TNt+QBHszC/6ibpTv94J4QWco rgyJNsNLu4v340jojyzNurGpoYfmoIXuw1IBnuaZu8JYRRdmfNw9qgXIR4Kl5PlPO2PP75FDUuzF YBJ61mK3Zu+8zLAxrkbdKFxrz2D/NKuit19WgVeO0ayyuraqnxUmv0PhqKmAYSjOl4M1l2Zz1DS0 QDAW2Gy12zDQQhGPKfwpdFfEpoDXrxVbasQU6/1pzZPxWNvO8xdfeBbePTXQmhbUO9BLBTAU7vV2 8Mk32DAwzl4bj64Y0O7SHDCrzkr6rua03+ldnJC/x1AlZxcYFiV+NGIjfSzIJsgCteW57jksceah ZF4ZcmXJMmYvw/x5oQMA4Zl9NaFzgxVMFfYXZGorMcozKpY8DFx6HDEzqgevdWfls/ueXtiqd5Nm 0BrqrnPZDFJkDbLVV1TRVid4H9JDlJSarqzulnzhV0anD802fZ9p6js+Khpl5I0xZvDHq5HGoH7w uwREbZ5rvfo6mQ56ijuPrlwxOtwbasDJgsf+sB1ioz+ps4803cH+gLPHcLcl/201X2/n0uER4hJk AMIcRGIDXgA31GDmhZ2TxpA6jpcYjkHDoX5DfbEXKAqWknoPsJ3Rb12NjFNhNYP5ueZVWkOUbtAD bqdfIbncEu/3bH9HQlASMAEcE51fcNqjmu7svF3LXCmbAEFqa6Eu7vGe8vlBW8jiM3JAQhoVwhLe qML67RaxvhOnLXRGyl2H4vpM3N2fBaNjym8Lbuz7KbGfiD72Z3Pfup09bfWVjyr4xNRFxWh4IaIa SWed9DNxm9hvaxDbEKey+I3ySZnSyttY7SJmljs7Q8Wly6sYJVxsQDsXUjsyTYqb4a+noDV2Jqsx DzegePCR9isaideuTAq15RnVz5Og42irfop1r5B/KqTusNm3YcwY4m9vYqjZru5gbMm/z2vh3csF diSK6yvygMsWhaZptoVwsEnkoNr4UIVPLh3P/ZQFYnKA066F7Z44a3zZeiC2WvFHLokt0R91IDQ+ y0uDqwuzHGBiRKBOyFJr7HBQcB29iyIR+eX732sCWqIXoQ1I8a93MeCf4XXsjldKW3RuKX8pQTMW vYt0oAfpKy0UZjKH6Ef0JUWE5DAaKIsYF6JKR1T3xKhV4f687hba+0ktMOQ3VXrwUFYqxHcZv56E ypDXW+3j9dv5BDgW0SI0fisuu6HnrbNx1maPl1e2xjmmdqe6bpN3mkUgpPfc6Ch6OqsVMahrruFN q6iSoiI2dxlWrDni9LlkrmUcYfundZ4rkuJ0/pVqXV3T82SEX7uGZo1WVMZgMbiw/XPucQyF2crZ ezI+AXu1t9QG4AnJsM9cc4Oi7ENlgEb8mleKPpfxiGHWqyrlxv5CxmyB168It53m56WarnaQrEIK BNxOyM+pTIaEeisC1ZvhQQxkZ9B7S62mqdeqwl5qsgpm9/B+cj3YYmv5p1qYz34cqzSlmY4pK4AV oyfz2/aQYSTFVPCc2fuL3uaj1NhQvAsxlqBPzo0qRuOtNuFnjoT3gT1ZZ5G/Fb1oJzvzxHNtrX7Q 04eq0eWk7MGuubARvrjf/mytw9vXXJOPjwOV6ilUr/FiRFRHlstU3aGj7mqpTvbNLYpRJaHDCHhX hn9+U8rRE2IO8hpqZCskSHOYKTbPiMKySZhp4a3ZhwCCW5rq2WxJxqQDoR7si1pqtQalvlQUDa8F DAHnAwe7U0rkTCXQORy9FD0VKxcyXnWY/eoi5uzhlazrj8hEKjtKQSbzUfoV7xx1s8i22GrBql7D dw== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block icjLJZRn9b7kAiN7HJoVTij8wp0z4fpxbEzGkjk8ra/KGBbFPiymrFpuMiEUkOBcYIDce67Nv8/4 PokfK2FqWQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SSgHR/oNMGlE33Xkrgi3R7aM0rgpVlV9U5NIbrTTvwpj4zRv3wL1Fs31vxsj+sA2oT28daTg4ha3 uSgOhF1WuDlj/AU7mIIB+7MbJy+tPWq5ubWH4zsw6osSC72LCFhMEuLxKJs3PfC+NKWPffPc39dL kdAnliaynZpezWH+4xE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xP0+auSLpqgc3xyqWhs38/SVRIk5SPJjMu8yajcMhqoFU2cMHB9FeWWuQZ4L9iy+CLFh6/qTSrp2 XHjIPJR9MyVu9E0W1p9Hyp7XBL9xNQxrli1DF8AQ4iOqQIfh38eQyfslNIqsSlGPSoQSeN2WlfDV KxJtN8fuAHbYPLC/WBi3Sh1bitDN3pNGDxS077Rpwk3RwgLVvxLZ6xUyKvcPD/iPNBDHAf2pQFz+ myDBuGryrYC1iJ7UWgoc0ZscSOy42wZ1/YtnBDR2JQ2WZ5eKIcnbAFaR9jLL+VaBq5X0fH+ZWg/Y mkw9EPmllce2Ojv0XZpUuclRjhBo/wFIhFiokA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oIw2aNNHu6u3Gxdoax14t+0wqU+7D47TkV26t0M4ApZKiquy+ZOBUChVo9eEADBNqkvogJAd9CVE pr7Fgain4kw8vXKjf+epk+1u+lq+j/i1TUXwkPQhP6bWrioLL/8fmuKWCvDnTxJGneUfBKQHWgYQ ZWsqm1aEh6q9MW9OvgY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JwzS8radByaiWqm6jvGPQxvx0AQrO62lZd2N19a6+D1Dy0uFxhpUXoeZKkKBtB8gBJzaYeyFt7ay V+GIAWXDRepNrY1fv/ihYaVtPRf6bFWm8+w1VGtPMk0E/CdX6vs1FkzhT2gBLhM9gpkiAj/rOajl sXR4BCji91GZKDdsulkxFReYciAV+ZaqIqIbbtTjc2MCXPy6J0t/GnbYqjBnx3H8E2rU7VvaGc4A 1P0g7Qnf6qD0tENEbuXYGQ8EgZJ8OHdaqmMSa3K5YBC7bUomoOp1xWrvcQhQv+HHHpGc09wF1VM/ 1rlk8WtZslwJV27OrmoeDQtAXmQ6l9a40TPqfQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10432) `protect data_block 2p33RrC5oeW1hoRGPJJP+ad7aGGKwlB6qLIvAMFyOjTda4YCiH7SLL5pXZ64MKNkH1QCZ3ZYAD00 Tl9nYTJhhskOG/mA8TpLg3AiO0gbdoPEd4XWHXa/l6NnZifJTAgbJfkOwuvwC5mbCzaTwgLuUR8W SuBo/itwqh4CMiOZUwMVV5dX293G1FNQgMCH3G5IdriZs+ISO3d5hoaUbFAFazImmGEx/Kb735Ro cDVdtfjNbwSVvyrXkObE+lVsUVW/JpVdRCLmkLxeN9JVHnyX7V5ZgIWn1nAq64UaHlpkWrjxyaJT QUAuLijghGUBQVXSLafrK8mi1gfKoJruwvTBg0yzcQF3al4eluO5VZoK5KzJ9W0iM1ZfGxbG6ZjK e6NdzEAcz63UY0H4ntmuTjV6EX6josX3wvRwwRKdR6UxhRPc2GadaZxagiEsDbV5P5XwhhTHAbZN eN3oxFVIeHvQXwt9lfqvCRo5kxoxaWMtiLHOQF9SwlLmpYykosl5kPXPidtkj5YOJQEbT+rGYaXp P9Euu091xWArPlslvUR/o4cSZpo2hUR80nOuVfAAhHO0UZ2EItcmLaQ2S6fLRbAS89hzxKZJBMBY EITXDXyObWwVnN1X/4Dg5+TIbyef+jJxCiMAfRIF7ogZR3P608G+NGLJTARlbBQi+9AjaG3/toBs fF7MWj3qb+e+uzk3DnoNbo36V7GnjrPUVAF09+uOh9kIgO3XW0MX1G4DBcxAyUBHpNGrtmw52scb yze0FA5OQa5i0Cm+HDPU07mh+WEJ5Z4TE+DMbnVqgICxsZ8NBCPtsgj18PfNE8RDFKuCnnJpHwil M7YJatcAVRZnTjoM1bjaM7asxdMH5LLyX3C+HHiSdp8eIHup77iBi0IZ65OQyMpSndMICfiwlEAL WA+08Yf3T/mGrApIjBehaFb6ZsGjoJYXPedmtig9JwOgdqlVr0PMiJ8w0LyYJtMdoG04BN8uIfdE pBNThtzlGl3dblMvEtQLkDSpANR9/IRIVDcfPFSuDmTRgS5HR0fkDi3RTi7I7Dl052qbr++rmrAd tBSuq4dgrShhQUjR6mdrl0DaLbHQ+83HZQOO6LqVZyt7vME/MoqRjQJe4mmzURtoczSEZ3L4u6wz d4u/jmZLHrQVP8Vvg/PHKZfhNn7KCFGOMgG6/bI3h3iVOsMh+p8NoVAONS44QpJHnCvnT7HddGUG TuTsfmnn97IEPXtlLnwdNnDjuUC5RaLqaV1R7lwIUa9SwK8ej+zzE7pVEuAVupA4aSy2As+eGeXE xY4RHCZLmh4cLpG6IPjaUYKWaJbRJNTg4sgnpS7qismA0xOSzN5J3XJMA9nzeWSHZcYjSJ4rti6A 41lEgjb4wiaT+1vvsGc9D9VdG7oMEDP51oNYApTu+dBEu5WqubU6huwAlFUBw0aCPQvi13FIKvCk rpxFspS9Ir8xJpFl4Sw00GMLx7u9frS2sH031RLEf5PDj6/UeT0UycivqkjQUAdtOBumcHp9VYFI XtIhp9QeniR81Jlsln1RQOZ+3L04Dhztd3oEsLKWMO7sFMZZJZdJEGXLGKSkRgRgB90JFK8Z2D/W nTgim8eyIiKKYBdIneCE5DPgvyOXgJRBCxEsnaK3wcxkkMp9xhmyoT/oQvEUV+NdpZYLheKEUzHQ DooEdZW4FDHfzhfPcU/4txHaewWlRwmjsGzY6wOOeOjmLcmznuS4NUmTQ846K5MjNTVNeucjrEcB epnnpZTfRqRvQo/aeJoMpQfhgkyAgTpEeJjOLUeJ33nqQAIRi5BDm1Trxm1jmgipKkqtwwIBvrrx SU3Xq+Zy0Z/ke/ZTgoaX/zFRwxsfuBOdOur1k7qNfZI/zKGsycZG2ZrjfTsES5Akdn3UxnTg/nV/ RR9gohDEeekui85++T2mH/7g52wniu8SkqmoFXxW9EK00KcOwe8nrKOWVWQDKjo7Q4NjqSXMYv8O tTDdy9c9j+YcheS00VP+QqUOi+9CL3k4MsLpTBFzL389FBJUIhV25eZcgxgqOF6XT7VT6IcvMkJl 2UgvSyFt45UgvkmKLHOhRIqX1OlKTQF27E/Huskuff2/3zhqu8m7egBOvzbLtQ1DD/92tv3Mj8j2 S63DOdcBXaG29cVAVOl89YxP2zSgbpLmBG4CFF4y/j2m7GjwdP/Okm6fXgkGQX1tsME/Ql/G8+xP r2OYyLQEMIcQTc/WZlBSYo+2nUcdqlRVyb8+igwkcxUQBGcHNHWKAn9PbQtTMEHlSbrqZmRFI1Ci PQ35fhiwYaYUKhWOnIeLml90X0wctXEjBiwdng85Nlm7M3E2SPH8pDSxmnu3gdzlXEf56/wMFHi6 Jjv8PQBNwUXypZxLBAtDJg9cmiX1Kdt+sgXpPL1aRTcNUCK48oI8xwlX2Y2xyvKHD8PDBPiOhmB7 NUN58MnO+k5b0lwi+N1J3FLWKeaKE9TvbYIDQ34DAQO5BYSfRRarfcYIMW2shieAA2ghMMXnQ+yY 0pytHE+2KnJosYNORg3B0Vn8bTNX8Pte3/Wbtv49IQdXLvK7g7TPX60C8cuqmIqXnF1mdOUNkLEs y5NE/t3CuTWO8PZ6GtoBCe4ShvF67iZbQUO0ksJza7c2HJKzEpo9OtBxsMTNC7JaI8s3/Pgqd8x8 7C/rPqwcYTzK5hzCARZgyVlYVv5ow82h6g4t0QfzSX0ncd+9W/ZFsgvnk0H4LUaxH0X6fD/b6R10 KmcVotd+QCO9jVDyEF5LbYXU9ULr3Kmc0q7K1Wp40Deom7jT1FUOnMnvvpw6VzaHYGoYaY6hK212 TuuCKKYWYYsKvhwkfnHBiltWp1yAQak4ryKl6rdzqY0Mr9cETNDFbf9FGK7EeE8nX3wXaJDx+cHz NGN5rRAN3UxLha978SIjasnli8sf/dpS/ZZWp2gMvCeeHO8ZqixeTq6kU9u6onJ+bGI3qBdv9eON jLNrW5qPRRAf/Fi7oHHI1/N/+5OuHGqt4eieRFVlpNGILP931LfGJTmgXve9Enu9vlyi+4FbQTuF t9KCqqvvVMoVC9By/WiViwVYMuBMXqLPMkKHJkPL1g8jEu1Ectlk6G4GxvDA2PvPlNK/kMEtJk9S twdhxjR38wXBUiifY8sj0b17N9Rua0sAbsidpXG772RJXnAbVALvpM7MlKGMkyyUZb+KTiJ4rSgG +mVfyUtSyJKv05nZy0NbsiCj74c8Xwf9O/JXwGKMgLTQT80TEfgYz2OVbMlQmQuStgENnynLaCW+ GqQkneYiwcYl++wjOEfFs23+7xwaIUGKEFkL6FQ2buO71BMRNJTqrVdjzlCua1q/CEudm9RRXklA +Qc59HDD1SPEsHaNBGUF7qP6kl7UkwCui7bBqTUkb0ebXEQ6rT8UEf4xQ+2Hdoj5YZDTL1mZ/Fep 1ZN4XPA5n/YOst0gsomPE+qm2QsnqWGU2L8qkhL4FsPU5vdvS49ybyc5zE+B7FcJD43+eEuaQvYe x6N5/h9jXYX0eBEyOlqmijPSD71XPkHjs7XEysW87mmQ3nfKljyAmCFTA1Xf9gKgJylGgeAAD5/x pK3Us16TYoVs3CYMp3vDDEfot5LIJakYCpKWwXhZIsBbDrqgtuzdSxq4IQIUOK0RfxY0QZhWRz53 wITL+1iLG2VWL/mRTbtZs+E9gnPt2dLvUfPSJmvCsaCAGYfUgTEvIxl1RfqUMDJM8PqDfsGlCwTP KJXIOJiBuD5Ch+4+ZjbQthTc00iBq+XXX9zAKlnkn7NaJNGcBRQYDItS+U9lha0kFM46cOcq0y3j nnIUjAsacJtwGuD7AgghtTnh90XDgQ+XAb7MfDGaGm7NKvcGrBruNSPUXeAYwmBP0mb6iF3/zW4a /9Ge+I7Ncu/qNJcgXGBcNHG2x+Ju4zYPt9elVmFPWgntMG8FgslFKMcAJE6EYd2pMskkM0TtJC5R XEjQjK8F4KzHSXpxA7LknH19zto9WKdDMDrHrPbBoIjpOH07V7f3JNK/YaQjyqVBiA+kxzokYIjb d9HkFldbtb7o7nwp9cvRv4oOFmM0rdehQ7Eb0FdjZsQcLCUqiH3sCF6h/vSj5oIwdK3Rbsjzw8oj WjYkv0sFf9qjV8D5xCIKDik6SE29YjiYuw/yFrafIjT0RytNItekokVRiPkpt+hgu8rKcRd0tzlP XUYkLcNNa0lDgi7sDUMJsBjAJlWEVfaCvzyVoebPT22dAsmRJURaXwOunSXemsWRUZnydCtD888f N1IQ4qhD3efhyUZ8D1An426L7AnseXoPvWdkgji1EG4vMg4CTHzqGb0jr94tbei1AyrBWp/HRroH YP4YXidPWs9wZV7Mfs99vp7dusPG+Yf9ZkV5S+QqRHH+vpMCY9OcsVouHjgQdHkbDy+F1QO67bOQ Dnrcdzt6GLrPwEfpvh+5/W5Xd6Yn0c2tnyyvEc2ENaRRS2x9NC7ejIUhBVhjQucEjmc9B+jBAgpT GfboiHHghRtGmq1QJYzsc5t+sgitGuDio7+t3hIdrhxAw0GahXdJ2ZN805muFWcNgnbyEpCdPVIX u7ZsIT4bUpYi0c+ALThxGhsBriOKvP7i8YnUrXsKxd/74Bqv35q0j4ffI8cSpVZBOp4ue+xICQqX W2q8vlrUKSHtnbPCl3iHlFS7UY1ke2VMa9m+WYgMo3RTp9wmycYB1AkKj067SjLJZ4AfFXRAclq1 a0rdD5ZidherccaY9rw1wn0TR0/gxb9NNqW24WQjh0Nrz2Kbqv9wyTdBJNwtC7pfvdmthpNVzU2U PDT73YuEAZ35G0Ktk4bvTSw2h33jxfFT+/9vOxN4vy/K29AFJiBOuL3R8V2f9kQ6ZWy8K+m68bue op0YJuGc4TGcZjakBIDsdwmpoQsQ0Aid0+Ep9QqWw+mmKmVtkL1m03oXw0l02I8rvGf4bm6/9m2u 54xmib2jKT1vk9qXk8lPp/Wa9ddC+uTHCHetIRt9sOlfW0Cjs8wxM5kNjDjyjp7p/PID8oShMz5x SKJKmufmrIEq72kn90cjWldbowzx/j5lohIOFyiEr7J4Hx5fIBQdC+O1LkHGs1w9JKAsoQ/eZz5W zdkSDFUhNb1V+XUDEYFnGdTJBbRLV2ColbvksZDTqxHpLZwNwywWcQYXbyc3o8jeEuEV2c2Csdcg FxTguht5hd55/ryEiJPBpHrbiIFmRE+DVdgvbhTcJIqlQ6M9On7i/4yq3vRJ83vLyP5QAZ5lyyVJ iAn8MhEY9sdcZfl2ugONoOunOB3MhLtnCgLrnJd+lSbwIuLIl0Rq+Hg3KYlTsmb3RJ8nnFXbtNMm SgvAOYz63LozGMpW90M40nFaYu860PoLFl37svgQnhPTcxSp7bbetfGp+nZ1mSRPtQtTaRpWn1uM u/8n/2QEOjOqXzyYgP1A67vICHh+wNNm7r4miI1Uz7Yk8eKkvoCS/nwPWkrBb4otnzIDdkthlRCC JTLLLGLyitEdY03lCJsUV5w0iTNC+g7dtFp5TpFhPxp9jwuc3SWys5P3ZcftLMUdaq82MsTIE1Rc 2V2QINcqemXPFUI31BBoLJmBFEg+3YX8E5KmAqhFlboTW1oxuD9ZMeBlBVU9sMuZVVZ0UQR5yyyK XV2tcLgZSwW5CsKQ+ftDBX18CeNslBEiAZApkPw420T/F3ed+3GiY/gd7Y0CtRY8hqZHV4RrIYfR FEATJJeCFH+cCqK3/+fEA9zhjo91A4QfE0XCtH8M82Y52+8bIZxBt5tlzsLEfAX0xxOm+ijZSnFS PpYRu6V+8ju/BESUMrAG260eOp/Hc21pki2a4DgJzbh3T4lez9x+005uaB+Yyv/JepmaaYZKQCoT yI0/qi/VpPVjkLY6p1vVg5lPPANuwVPzTvk7NwQjX05UPvT00kTgT86niPh9P+BCFVFa1naBf5iE SQy0RRHtHIR0R4eK4tqXCgmPUGL7MnWZ2VGnhVUaMs8QlFNGumaHUX0LnLurvOIYl2KqljmclERu VZInS6ntI7GpVUfG1dxJrE98xSH78LPC/mgql0VEek1TG8caNZkTSoKlCOce/ftpd/pCHGneIsnW Hvfwgu3rv0iae0HBczt3x+cFb2ePNkUiUb832m4aP9wuXVrMG5kxK+0Q2CSKvm/LDwKezRnzo1S3 Xklbt+Q6uNdv6ekm74R1KPoMvhRjHhn7UNXC9ON/m8z7FQ3WNacve1pfiRTdGMSbm8DUMKjLGG5C MQKMTofmFLUm/ieoLVfhuj56Hgi65oUGMPYHgoCF7FWycx9Hr131lEac6zsEUO81/p1WylH2bflX EYqQbAJn59y1liYbkMAEUwvE5NQ6LWMcYxgYculQsdopxaZRoYbhfOC1OM5JwHgqrWXzQYpyZK7R esZiNXKDQztInPlr+GCZ0yluAHrSPiKYbS1dCswTk/xVRW+Xsrf1+u/MGIblZdj8/3ETmHRIowTn sqeb3TNJbVIuygSlPCjzWW+8WPyKj1JzGug49bTTIoA+pwIJ1OYArTf0/kApQZ5scGqIT5yrluSW xLJ+Az7rD2TMhAyqY+OOQRAyoho8n2lk1TRkT9olXuLk57Zdzof8kJBs9RyxheNFB/+/ipYy7FR4 d+f6OgJmXqjgiSimuZfzWnI/tJbYRKCyGBrNCNkMUesCSzdoqfbkmNrO75np/uZC6i/ZWNHcaCS1 mSgb5p47FN+N15CdJuQdsn6elEzu4MbLwhE88MJZdt5ntqCC28BdhpSXmkLewmr5EWPAJ+mr9/xY aKOAFnm9kriIEo56XpJpOMQOdaRVLxTs++usgfCUZAp7q+2kLm36zPb4/52p4OSUTSzVYq/ObGzn T/4c/E5jNk04M/i47dbehPDgYRFgEWMmVjd43NVhNu7EwOpGRbibsAqisUvZiX3ribN6K4FEKsHB iMXI9IDVHwrFI8QkulYGOPLP7tFm2Km185dZOVC438ftKc5qiXnqsKv7YcIcbB+6HxU39Ow/gkWw /9t4/ZmKWKrZCI7mHAI6F7X3JcCY9kM2RqC5teKEfmo39OyiNOR6j6K0BykQsthE7/GcwQ0gCyWq C23AfDJJyMgn/IEJdGDJa++snUGA4M+8g/Yqx2AKKqGO2l78gYQbW7fxjv6noAFuZja7dzXCQFUn wPJCh2F1QF17ktusLLKeII4bXCv+uaWTRD6sm0BWtRxPfpnz0tCrZ5fDFfYwWFKEeShkeHIC4brw KEGZSFxlErxC00DldHojpmEgvyfrWeJn0nIpEelcUT5cStcqnjX/ee3aYz0+spDE54bZIAlHGs4I GR2vlGoRM4FXoz5Jqd8LLyJadulNuKyU8+zTwAbejgF6VvBlDnSVX2epanjj7Svq7WaCoHSTrsAr tbk5cfZneweuovurZEKUYBh2zZ/pT1e5RZqdyx2wN33yVR9KMxOxG0E2MpkvKsyWZDV0ptKcuCKG odi8pgQWaSq1xOQKGJtRhI+PcUufzSCd0kC0ZA2qQt5kVVc+giE3c38n/aFJOoWKer/O10AyNEwa y2pnC6Y018ticYjXDqsGLZ69tjvhu19lugx5YEFV9+VMNWiYDIs01BE7pwTQyJqODFpKX4t7+vfR jO3xynn22E9EezjFCmzII1MzcxQUNQkBBaPRIT02YZI3p6vgsZAu1DNBsAbGZyLThNDtZz3IRkOH LF9qXuW/m+CDHzmAJDs3PjCOvbVVKwWxy/agWC/jraf5fsZHoHZm8A5ceql3SE5ykt+hphqJbVTs 756PmsG68gIAvzPLRJogoSVt2ZzFliWTrEcjh4r7HzfMG8rwZwIai1biLN2qbPyKbpfQrocDFsiZ V5/6RrLZneaVaFd/HL5QvkenlzEvWPS5XNWiorEP8E6n7kCMMsZQiwSLuTHcins7QIoP+ybx4idI bvsDGfrb/4aZ+RWG87Bfk9GZv9HJQezRyCtKwPVj49hUwsJX+8ZuA1O1RxDbm8S4ctuFZH4UCVzE dmSMR4vAfVmxAYcaNw6eNeRaHzPnKscB/h28jpGCqCJ3eISYvuo5ZRZLJTu+XuHv+3eQwSXjwXb0 dInqAqXx82UVWYRX2YYB3t4SoFn5VP81+jdmTnl6sHQS9Sha1iKzbJ47hKnRwGgMaUA9ljD3F4Up f9V62CLeMnrXOdvro0qeKYyT57gYMHgCEfAj4T5jctZfvp+NOYy0A2MC24xGbHmjwLJ3D/M1+9X4 8vaMsY3tbueHcr+dtNmVXr1yAIb1nf3c7NGrHXkhgIgnPXintdA2hl0HHGtTsojFLyFWUuVwBXEh Bx6l4l12SDSKvmZPo0zF+2bt6gIlGAsCdzEyf12gxWxqjEZ2Wg31ZhOHQT8Uxl1K1u7WwXu06/1M KUa/Hq1esRqf8Ij04n1GnML15r72bOIUTzfR1mZoEk4G+9fx3w3AVmVy0Z2BPF82FgL21AJU9vBw imWaZh51Vg+7cTIyvCMU6WMIq++2XlmuKRF3pgr6icU5L0Seu3FGqU9GZ2XLscSqaZSLzh1gjnvD 4OzL+CMDoZVmqanqy1jG/A7ACsl8fePfzzEo4YS75jaVKK0OH3q0fqnxu5tnP0lzDmPVdx/N5a+3 cSQUJNITH+EXhTaHyUGPdf7Vtl2w6xP5Vj0hynxX71sP7/fCwaPiD/KQK84mmwCAi48FHe2BnvcL 3izWiLCIGnrblEyR8QbjawaseX8/VravDgh33O6pwiKjKREdKDZfyCiiEx1wAAFUTotnrR7GWEdy QG1xG2tqPv6xuco90FHwiYlL/BJ6ZCexP1Ris8rxpJYrXKJkdWyDanlQsNH23l/aGOIcxU/12KzJ bSluuJaeBkEqsMTwHwFOlCJnbyMWayKiOFZ0LH2RiMlK0WH1YjX+ynwrED4ydJY3OtDHohHBGUhx xPSg9AxtM3i1yYMHDua3IrCJiA3VlDaZbRoOTmAnBacqJsQY/IoBVQUvzPs794/ki1AlNAf1BKMQ rG4Zl4odgg0zJsP9JpKeN0sUBMEAPG02HmTk4qGkn1hGFQGfBwUY2nj/TupmR9WenRx4gCVDjMgm sC8QLSGEgGcVEdfaCrV9jQ8DZKNIKf96+CHD1kZ/wTBcX4efm0PnZT2it41+fQeh/xBD+jFsTWw1 EB8n3JxzjKbFpPwbCNcP/bjaaeou9ImdwRohdGJSHu/RaGZirGOXszHDiqYj4xS8FAJ90EmHtWBn y/0QGXSNTtPMsdINiUCJkPjz3ic+ovejhB26xv2TqEcfIUG/WJ/n6T2Td8hEHkqQ7XZ6LkTzN7c6 /e4rxDH3mzTJH4dkktFEQsFtuN/jrlOBVBdH4Do8yZ37mIBm19k3fhILkXxo4rdSD0Vzw0+d+hfS vuFtSyqLYNJ1OYoMxsVreHmD32L/LwVIvxXMsGA3PvvTAAS33PdajGl1oJQZR8WNAEpjsaHRATyz 5t8/VUWK04fw/EMs7+w33q9Sq1hWvWNdcm+/tjNZYRTh/2kMdaZ0aWjoog5nu9c2m84pLMbh3ZN0 ggUyMNgiqXSLqquBuMKfSt3IbkGVu0Um9QMRTwnFHDUBsKmLCB3YkcsXTRGHBEKW5EDdfYl1vS/2 8KHojDIVr2up46hOJkTF1i+tqkvUa9wTjWlQn6G6PMSOKgh7S/y9dSvkzqRriwh0+qjkIbZuQoS3 Trgqw3XqGHKiC9XPw5aKOPKts6gxRgnU8sp1Fi5lZlVHc0BrQMdicwDUjFiU4K1YBiAzKD1OoLde a6mZMWLx2H8IRi3n7Q9+gYuYwpJwdA5jLgRuldoTxmA/5EChaEKXojhw86EzzC0QR5BaEJVjSQ3k DQCcUG7/NJqEUxTxWh2j32qWK3qgMS/rGg7hosiJ9KbTi3Knj5IbUrMs831YIUQRLyyhKHp+ip+h E16UQDDUh2HdSyt3AovMJ57374/YJI4KHjHz5GQ9Ia5elN/Lz/JeSeurCqoF2Xp5uNjV4N+kLnaI s5uC7MnRGmqbp+O5wYSIGZ0gotw28AyqOEau1Atb/k63PPPKqW2NOgJdxBjO/1EBkzCSdzXnT6ft 81v2IpRLrpPncDngMRfJ1vdrRfUVpGmQcfcRkLODOMuatc7eyol8RbqoHrgMpW0mI/GACcjJNpNC Y785LJmtyPeFNwi1Nc46Rc8Pw4AKzErPpvsxddNcn+0jiXQ28NNmH25D6ESM392aaARST2su+iGD BJ0RG9Bql2/OEpTmr5vH3Hf5wJxzXGJe7W+t83QvgUjcyi3s5OKy7+VD9CIZPBVFYG3Tu+MBiEU9 OrHCWiDhvlpXOwg9XlmZmt8LcYsRrd9uuizxdaaqm7mvCZdeoExyrozy0D+HZMZiya1PTzRv2iTP JiBTLnsVNY4LWVymZcoAMNrDi+FE1EEp1jO9FH4DdqvZc2P7ALss5T9XQuCdjxkYnpD0tnJktH4p bwqCRYdDMegCKxdAUbr9YNE+58dl+kF+FR/ohFczhTQwQl5DLHWxjfMWeCjCL3sEfai8JcRUUlZj lZYWAR0QCvXswpad7UzRREKpon8bBwjI7vfD3hCW4GBLZttoQ2UYs+NQbDW8YUC6NHPeiMDavVnW khRhD61DXTb2/1BtZ4qGYDO9UlRW5MlG8FunzvbsOVBO6GZ2xRjMTbuzyRZUuX51Zpq+QvM6ZV0t 5Y4o+lE9uRsylcrfHWhc5OZCuGl1SMzsjSAjSlBgTkMPyAEZQVeXY0xUpUF+aPXPTi9OTeJJXbAs yFldh4pR83j5xWmF+03eBz2eyryaTirNTmZVfYWKyGOsA5hKUOQ2rMsqMZ/wbV2u0+uO6lPbc2wM wQqAh95S3cVFJDZUzZXKEORoiiNZC/1msKy8wWWbKRYcLtdCWL6uQ7JRAON3jM9ldDL8GmlzW7OS gqk6qNeXv19nxe1OcTOOIbKnLFCH5e75Qob3CjfrHBuX2T/9nTxE2QNqMEUP6wOyHiY12buIBYQt KcaD+DECPcXXOL7zhc4GMgxHycJJVlvtvJiAXcy0AWMrHwGYYcG+qlkRwBRQfkkR85PUBNv6It/Y iFDBXPVE/5N/a/k6wogyeKYSJYs+w4jxOYAJNuPXTVj8a+3rpc4W8pH4FhfoBGU4s7I/SDkPqw1j FdVp9hMFxBL1Ou5r2Oj9YA0HN4UtMqu9LcdkHQaip/nxBkDE8khIHqgz869opsrcNgJQSFKlbehI eOWlxAzcqIvXQsG0g2LgbrkOa4n9P57uCQTfkprldTeFDZi6nmZbkrVZq8SBnupWaG/lpoHTAu1c 8gUhXoN7g7skEVCuZSiP0EdBu0R+njQ9IT0Ns0pIDuyUi+VjNkJuSg6wehVlJFqRYKttSTWxq8zy XQ0kjCP5Q2r1Bfk1dlvkfP9Sfidkh1eBlIbRiWuzijXhpnrPKZXP/QaZsQJwp/PrzQ7f7LXO/N6P QvDp+MiAJGL0kEg07EfUn/U5Jmy6i6ZltxSRsdtQzrBy5DetPeLzPGn0ggnIWpXwFDduQb8iUvVe KFZsJDQ+jyv3glijAMH9gunWzjBJCdCG0SIkagQt2RtA7Fv8C21sglujyVljy7HmpnhmBa+C4IKz rLVMuH9/jX2gOHLfqj1f6ahC7I1frptHgAEs6w4yQ0OfkNIxJ8CDdPU+Xg6T60iTuaeqq0RAEsNc IlySalXq1xzuJ5VNbhzARQaBq298en24IhqAbgcQD5yM62GqH0hAYXygvIyhmA79VAUcZJq73eis AArUoOUPwTP2EmsF4/MobPzUJbUgdrPTeQOGuF4noPXICtz3M+att/y2HFpXu2lvOwsuVlyvDM2z aiTxzlXlITwPeMw1KFnTj/weIrjWloznKf3Q9x0qg+jq5aw5cCdMNI3NGhnA1QCtfhfFTMTgu2qs p1umG966LPD//Jzck6TeqvJ4sZKBBXzctPhoksJVkzhU46EtWLbiLzosdUlpA7oc3P0gYwg93P5J d1qDxJclg3119Q9AbBaJ8L/cU4ZWMhkaAssHKodOQe12IvFml5x5zLdwWFnbcsG3hGAlCR3gNRrB 62FZnrIe2cwhiKiWrlLFJ2Hl8BO3NcNm2Yh16YNM89fUwM5ybyp6gS4+xySQiHJW3TIlETFX+3oq UgeV2WIMct1NQxGiwynAqi2jENGpQD/RgHv2WtdMFpmTdMVnLf6TNt+QBHszC/6ibpTv94J4QWco rgyJNsNLu4v340jojyzNurGpoYfmoIXuw1IBnuaZu8JYRRdmfNw9qgXIR4Kl5PlPO2PP75FDUuzF YBJ61mK3Zu+8zLAxrkbdKFxrz2D/NKuit19WgVeO0ayyuraqnxUmv0PhqKmAYSjOl4M1l2Zz1DS0 QDAW2Gy12zDQQhGPKfwpdFfEpoDXrxVbasQU6/1pzZPxWNvO8xdfeBbePTXQmhbUO9BLBTAU7vV2 8Mk32DAwzl4bj64Y0O7SHDCrzkr6rua03+ldnJC/x1AlZxcYFiV+NGIjfSzIJsgCteW57jksceah ZF4ZcmXJMmYvw/x5oQMA4Zl9NaFzgxVMFfYXZGorMcozKpY8DFx6HDEzqgevdWfls/ueXtiqd5Nm 0BrqrnPZDFJkDbLVV1TRVid4H9JDlJSarqzulnzhV0anD802fZ9p6js+Khpl5I0xZvDHq5HGoH7w uwREbZ5rvfo6mQ56ijuPrlwxOtwbasDJgsf+sB1ioz+ps4803cH+gLPHcLcl/201X2/n0uER4hJk AMIcRGIDXgA31GDmhZ2TxpA6jpcYjkHDoX5DfbEXKAqWknoPsJ3Rb12NjFNhNYP5ueZVWkOUbtAD bqdfIbncEu/3bH9HQlASMAEcE51fcNqjmu7svF3LXCmbAEFqa6Eu7vGe8vlBW8jiM3JAQhoVwhLe qML67RaxvhOnLXRGyl2H4vpM3N2fBaNjym8Lbuz7KbGfiD72Z3Pfup09bfWVjyr4xNRFxWh4IaIa SWed9DNxm9hvaxDbEKey+I3ySZnSyttY7SJmljs7Q8Wly6sYJVxsQDsXUjsyTYqb4a+noDV2Jqsx DzegePCR9isaideuTAq15RnVz5Og42irfop1r5B/KqTusNm3YcwY4m9vYqjZru5gbMm/z2vh3csF diSK6yvygMsWhaZptoVwsEnkoNr4UIVPLh3P/ZQFYnKA066F7Z44a3zZeiC2WvFHLokt0R91IDQ+ y0uDqwuzHGBiRKBOyFJr7HBQcB29iyIR+eX732sCWqIXoQ1I8a93MeCf4XXsjldKW3RuKX8pQTMW vYt0oAfpKy0UZjKH6Ef0JUWE5DAaKIsYF6JKR1T3xKhV4f687hba+0ktMOQ3VXrwUFYqxHcZv56E ypDXW+3j9dv5BDgW0SI0fisuu6HnrbNx1maPl1e2xjmmdqe6bpN3mkUgpPfc6Ch6OqsVMahrruFN q6iSoiI2dxlWrDni9LlkrmUcYfundZ4rkuJ0/pVqXV3T82SEX7uGZo1WVMZgMbiw/XPucQyF2crZ ezI+AXu1t9QG4AnJsM9cc4Oi7ENlgEb8mleKPpfxiGHWqyrlxv5CxmyB168It53m56WarnaQrEIK BNxOyM+pTIaEeisC1ZvhQQxkZ9B7S62mqdeqwl5qsgpm9/B+cj3YYmv5p1qYz34cqzSlmY4pK4AV oyfz2/aQYSTFVPCc2fuL3uaj1NhQvAsxlqBPzo0qRuOtNuFnjoT3gT1ZZ5G/Fb1oJzvzxHNtrX7Q 04eq0eWk7MGuubARvrjf/mytw9vXXJOPjwOV6ilUr/FiRFRHlstU3aGj7mqpTvbNLYpRJaHDCHhX hn9+U8rRE2IO8hpqZCskSHOYKTbPiMKySZhp4a3ZhwCCW5rq2WxJxqQDoR7si1pqtQalvlQUDa8F DAHnAwe7U0rkTCXQORy9FD0VKxcyXnWY/eoi5uzhlazrj8hEKjtKQSbzUfoV7xx1s8i22GrBql7D dw== `protect end_protected
package pack1 is type ma_t is array(1 downto 0) of bit_vector(1 downto 0); end pack1; use work.pack1.all; entity arraysub is generic(par1: bit_vector(3 downto 0) := "1111"); end entity; architecture test of arraysub is signal s1, s2: ma_t; begin s1(1)<=par1(1 downto 0); s1(0)<=par1(3 downto 2); s2(1 downto 1) <= ( 0 => par1(3 downto 2) ); s2(0 downto 0) <= ( 0 => par1(1 downto 0) ); process is begin wait for 1 ns; assert s1 = ( "11", "11" ); wait; end process; end architecture;
package pack1 is type ma_t is array(1 downto 0) of bit_vector(1 downto 0); end pack1; use work.pack1.all; entity arraysub is generic(par1: bit_vector(3 downto 0) := "1111"); end entity; architecture test of arraysub is signal s1, s2: ma_t; begin s1(1)<=par1(1 downto 0); s1(0)<=par1(3 downto 2); s2(1 downto 1) <= ( 0 => par1(3 downto 2) ); s2(0 downto 0) <= ( 0 => par1(1 downto 0) ); process is begin wait for 1 ns; assert s1 = ( "11", "11" ); wait; end process; end architecture;
package pack1 is type ma_t is array(1 downto 0) of bit_vector(1 downto 0); end pack1; use work.pack1.all; entity arraysub is generic(par1: bit_vector(3 downto 0) := "1111"); end entity; architecture test of arraysub is signal s1, s2: ma_t; begin s1(1)<=par1(1 downto 0); s1(0)<=par1(3 downto 2); s2(1 downto 1) <= ( 0 => par1(3 downto 2) ); s2(0 downto 0) <= ( 0 => par1(1 downto 0) ); process is begin wait for 1 ns; assert s1 = ( "11", "11" ); wait; end process; end architecture;
package pack1 is type ma_t is array(1 downto 0) of bit_vector(1 downto 0); end pack1; use work.pack1.all; entity arraysub is generic(par1: bit_vector(3 downto 0) := "1111"); end entity; architecture test of arraysub is signal s1, s2: ma_t; begin s1(1)<=par1(1 downto 0); s1(0)<=par1(3 downto 2); s2(1 downto 1) <= ( 0 => par1(3 downto 2) ); s2(0 downto 0) <= ( 0 => par1(1 downto 0) ); process is begin wait for 1 ns; assert s1 = ( "11", "11" ); wait; end process; end architecture;
---------------------------------------------------------------------------------- -- Company: TUM CREATE -- Engineer: Andreas Ettner -- -- Create Date: 28.11.2013 13:51:50 -- Design Name: -- Module Name: aeg1500_tb - Behavioral -- Project Name: automotive ethernet gateway -- Target Devices: zynq 7000 -- Tool Versions: vivado -- -- Description: test automotive ethernet gateway -- test one port in a user side loopback -- the number of test frames and the frames size are arbitrary ---------------------------------------------------------------------------------- entity aeg_tb is end aeg_tb; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; architecture testbench of aeg_tb is -- aeg_tb properties constant NR_TEST_FRAMES : integer := 20; -- number of frames sent constant FRAME_LENGTH : integer := 64; -- ethernet frame size constant MAX_FRAME_LENGTH : integer := 1522; constant PAYLOAD_LENGTH : integer := FRAME_LENGTH - 18; -- aeg constants constant RECEIVER_DATA_WIDTH : integer := 8; constant NR_PORTS : integer := 4; constant GMII_DATA_WIDTH : integer := 8; constant TX_IFG_DELAY_WIDTH : integer := 8; constant PAUSE_VAL_WIDTH : integer := 16; ------------------------------------------------------------------------------ -- Component Declaration for Device Under Test (DUT). ------------------------------------------------------------------------------ component automotive_ethernet_gateway Generic ( RECEIVER_DATA_WIDTH : integer; NR_PORTS : integer; GMII_DATA_WIDTH : integer; TX_IFG_DELAY_WIDTH : integer; PAUSE_VAL_WIDTH : integer ); port ( -- asynchronous reset glbl_rst : in std_logic; -- 200MHz clock input from board clk_in_p : in std_logic; clk_in_n : in std_logic; phy_resetn : out std_logic; -- GMII Interface ----------------- gmii_txd : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_tx_clk : out std_logic; gmii_rxd : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; gmii_rx_clk : in std_logic; mii_tx_clk : in std_logic; -- MDIO Interface ----------------- mdio : inout std_logic; mdc : out std_logic--; -- Main example design controls ------------------------------- --reset_error : in std_logic ); end component; ------------------------------------------------------------------------------ -- types to support frame data ------------------------------------------------------------------------------ -- Tx Data and Data_valid record type data_typ is record data : std_logic_vector(7 downto 0); -- data valid : std_logic; -- data_valid error : std_logic; -- data_error end record; type frame_of_data_typ is array (natural range <>) of data_typ; -- Tx Data, Data_valid and underrun record type frame_typ is record columns : frame_of_data_typ(0 to MAX_FRAME_LENGTH);-- data field end record; ------------------------------------------------------------------------------ -- Stimulus - Frame data ------------------------------------------------------------------------------ shared variable frame_data : frame_typ; ------------------------------------------------------------------------------ -- CRC engine ------------------------------------------------------------------------------ function calc_crc (data : in std_logic_vector; fcs : in std_logic_vector) return std_logic_vector is variable crc : std_logic_vector(31 downto 0); variable crc_feedback : std_logic; begin crc := not fcs; for I in 0 to 7 loop crc_feedback := crc(0) xor data(I); crc(4 downto 0) := crc(5 downto 1); crc(5) := crc(6) xor crc_feedback; crc(7 downto 6) := crc(8 downto 7); crc(8) := crc(9) xor crc_feedback; crc(9) := crc(10) xor crc_feedback; crc(14 downto 10) := crc(15 downto 11); crc(15) := crc(16) xor crc_feedback; crc(18 downto 16) := crc(19 downto 17); crc(19) := crc(20) xor crc_feedback; crc(20) := crc(21) xor crc_feedback; crc(21) := crc(22) xor crc_feedback; crc(22) := crc(23); crc(23) := crc(24) xor crc_feedback; crc(24) := crc(25) xor crc_feedback; crc(25) := crc(26); crc(26) := crc(27) xor crc_feedback; crc(27) := crc(28) xor crc_feedback; crc(28) := crc(29); crc(29) := crc(30) xor crc_feedback; crc(30) := crc(31) xor crc_feedback; crc(31) := crc_feedback; end loop; -- return the CRC result return not crc; end calc_crc; ------------------------------------------------------------------------------ -- Test Bench signals and constants ------------------------------------------------------------------------------ -- Delay to provide setup and hold timing at the GMII/RGMII. constant dly : time := 4.8 ns; constant gtx_period : time := 2.5 ns; shared variable counter : integer := 0; -- testbench signals signal gtx_clk : std_logic; signal gtx_clkn : std_logic; signal reset : std_logic := '0'; signal demo_mode_error : std_logic := '0'; signal frames_received : std_logic_vector(7 downto 0) := x"00"; signal mdc : std_logic; signal mdio : std_logic; signal mdio_count : unsigned(5 downto 0) := (others => '0'); signal last_mdio : std_logic; signal mdio_read : std_logic; signal mdio_addr : std_logic; signal mdio_fail : std_logic; signal gmii_tx_clk : std_logic; signal gmii_tx_en : std_logic; signal gmii_tx_er : std_logic; signal gmii_txd : std_logic_vector(7 downto 0) := (others => '0'); signal gmii_rx_clk : std_logic; signal gmii_rx_dv : std_logic := '0'; signal gmii_rx_er : std_logic := '0'; signal gmii_rxd : std_logic_vector(7 downto 0) := (others => '0'); signal mii_tx_clk : std_logic := '0'; -- testbench control signals signal tx_monitor_finished_1G : boolean := false; signal management_config_finished : boolean := false; signal rx_stimulus_finished : boolean := false; signal send_complete : std_logic := '0'; signal phy_speed : std_logic_vector(1 downto 0) := "10"; signal mac_speed : std_logic_vector(1 downto 0) := "10"; signal update_speed : std_logic := '0'; signal serial_response : std_logic; signal enable_phy_loopback : std_logic := '0'; begin ------------------------------------------------------------------------------ -- Wire up Device Under Test ------------------------------------------------------------------------------ dut: automotive_ethernet_gateway Generic map ( RECEIVER_DATA_WIDTH => RECEIVER_DATA_WIDTH, NR_PORTS => NR_PORTS, GMII_DATA_WIDTH => GMII_DATA_WIDTH, TX_IFG_DELAY_WIDTH => TX_IFG_DELAY_WIDTH, PAUSE_VAL_WIDTH => PAUSE_VAL_WIDTH ) port map ( -- asynchronous reset -------------------------------- glbl_rst => reset, -- 200MHz clock input from board clk_in_p => gtx_clk, clk_in_n => gtx_clkn, phy_resetn => open, -- GMII Interface -------------------------------- gmii_txd => gmii_txd, gmii_tx_en => gmii_tx_en, gmii_tx_er => gmii_tx_er, gmii_tx_clk => gmii_tx_clk, gmii_rxd => gmii_rxd, gmii_rx_dv => gmii_rx_dv, gmii_rx_er => gmii_rx_er, gmii_rx_clk => gmii_rx_clk, mii_tx_clk => mii_tx_clk, -- MDIO Interface mdc => mdc, mdio => mdio ); ------------------------------------------------------------------------------ -- If the simulation is still going after delay below -- then something has gone wrong: terminate with an error ------------------------------------------------------------------------------ p_timebomb : process begin wait for 300 us; assert false report "ERROR - Simulation running forever!" severity failure; end process p_timebomb; ------------------------------------------------------------------------------ -- Clock drivers ------------------------------------------------------------------------------ -- drives input to an MMCM at 200MHz which creates gtx_clk at 125 MHz p_gtx_clk : process begin gtx_clk <= '0'; gtx_clkn <= '1'; wait for 80 ns; loop wait for gtx_period; gtx_clk <= '1'; gtx_clkn <= '0'; wait for gtx_period; gtx_clk <= '0'; gtx_clkn <= '1'; end loop; end process p_gtx_clk; gmii_rx_clk <= gmii_tx_clk; ----------------------------------------------------------------------------- -- reset process. ----------------------------------------------------------------------------- p_init : process procedure mac_reset is begin assert false report "Resetting core..." & cr severity note; reset <= '1'; wait for 200 ns; reset <= '0'; assert false report "Timing checks are valid" & cr severity note; end procedure mac_reset; procedure init_frame is variable length_type : std_logic_vector(15 downto 0); variable data_byte : std_logic_vector(15 downto 0); variable i : integer; begin frame_data.columns(0) := ( DATA => X"12", VALID => '1', ERROR => '0'); -- Destination Address (DA)'), frame_data.columns(1) := ( DATA => X"34", VALID => '1', ERROR => '0'); frame_data.columns(2) := ( DATA => X"56", VALID => '1', ERROR => '0'); frame_data.columns(3) := ( DATA => X"78", VALID => '1', ERROR => '0'); frame_data.columns(4) := ( DATA => X"00", VALID => '1', ERROR => '0'); frame_data.columns(5) := ( DATA => X"00", VALID => '1', ERROR => '0'); frame_data.columns(6) := ( DATA => X"5A", VALID => '1', ERROR => '0'); -- Source Address (5A) frame_data.columns(7) := ( DATA => X"02", VALID => '1', ERROR => '0'); frame_data.columns(8) := ( DATA => X"03", VALID => '1', ERROR => '0'); frame_data.columns(9) := ( DATA => X"04", VALID => '1', ERROR => '0'); frame_data.columns(10) := ( DATA => X"05", VALID => '1', ERROR => '0'); frame_data.columns(11) := ( DATA => X"06", VALID => '1', ERROR => '0'); length_type := std_logic_vector(to_unsigned(PAYLOAD_LENGTH,length_type'length)); frame_data.columns(12) := ( DATA => length_type(15 downto 8), VALID => '1', ERROR => '0'); frame_data.columns(13) := ( DATA => length_type(7 downto 0), VALID => '1', ERROR => '0'); -- Length/Type i := 14; while i < PAYLOAD_LENGTH + 14 loop data_byte := std_logic_vector(to_unsigned(i-13 ,data_byte'length)); frame_data.columns(i) := ( DATA => data_byte(7 downto 0), VALID => '1', ERROR => '0'); -- Payload i := i+1; end loop; while i < 60 loop frame_data.columns(i) := ( DATA => X"00", VALID => '1', ERROR => '0'); -- Padding i := i+1; end loop; frame_data.columns(i) := ( DATA => X"00", VALID => '0', ERROR => '0'); -- Stop writing end procedure init_frame; begin assert false report "Timing checks are not valid" & cr severity note; mac_speed <= "10"; phy_speed <= "10"; update_speed <= '0'; wait for 800 ns; mac_reset; init_frame; management_config_finished <= true; wait; end process p_init; ------------------------------------------------------------------------------ -- Stimulus process. This process will inject frames of data into the -- PHY side of the receiver. ------------------------------------------------------------------------------ p_stimulus : process ---------------------------------------------------------- -- Procedure to inject a frame into the receiver at 1Gb/s ---------------------------------------------------------- procedure send_frame_1g is variable current_col : natural := 0; -- Column counter within frame variable fcs : std_logic_vector(31 downto 0); begin wait until gmii_rx_clk'event and gmii_rx_clk = '1'; -- Reset the FCS calculation fcs := (others => '0'); -- Adding the preamble field for j in 0 to 7 loop gmii_rxd <= "01010101" after dly; gmii_rx_dv <= '1' after dly; gmii_rx_er <= '0' after dly; wait until gmii_rx_clk'event and gmii_rx_clk = '1'; end loop; -- Adding the Start of Frame Delimiter (SFD) gmii_rxd <= "11010101" after dly; gmii_rx_dv <= '1' after dly; wait until gmii_rx_clk'event and gmii_rx_clk = '1'; current_col := 0; gmii_rxd <= frame_data.columns(current_col).data after dly; gmii_rx_dv <= frame_data.columns(current_col).valid after dly; gmii_rx_er <= frame_data.columns(current_col).error after dly; fcs := calc_crc(frame_data.columns(current_col).data, fcs); wait until gmii_rx_clk'event and gmii_rx_clk = '1'; current_col := current_col + 1; -- loop over columns in frame. while frame_data.columns(current_col).valid /= '0' loop -- send one column of data gmii_rxd <= frame_data.columns(current_col).data after dly; gmii_rx_dv <= frame_data.columns(current_col).valid after dly; gmii_rx_er <= frame_data.columns(current_col).error after dly; fcs := calc_crc(frame_data.columns(current_col).data, fcs); current_col := current_col + 1; wait until gmii_rx_clk'event and gmii_rx_clk = '1'; end loop; -- Send the CRC. for j in 0 to 3 loop gmii_rxd <= fcs(((8*j)+7) downto (8*j)) after dly; gmii_rx_dv <= '1' after dly; gmii_rx_er <= '0' after dly; wait until gmii_rx_clk'event and gmii_rx_clk = '1'; end loop; -- Clear the data lines. gmii_rxd <= (others => '0') after dly; gmii_rx_dv <= '0' after dly; -- Adding the minimum Interframe gap for a receiver (8 idles) for j in 0 to 7 loop wait until gmii_rx_clk'event and gmii_rx_clk = '1'; end loop; end send_frame_1g; begin -- Wait for the Management MDIO transaction to finish. wait until management_config_finished; -- Wait for the internal resets to settle wait for 800 ns; -- inject 256 frames back to back for dest_address6 in 0 to NR_TEST_FRAMES-1 loop frame_data.columns(5).data := std_logic_vector(to_unsigned(dest_address6, frame_data.columns(5).data'length)); if dest_address6 = NR_TEST_FRAMES-3 then frame_data.columns(40).error := '1'; else frame_data.columns(40).error := '0'; end if; send_frame_1g; end loop; send_complete <= '1'; -- Wait for 1G monitor process to complete. wait until tx_monitor_finished_1G; rx_stimulus_finished <= true; -- Our work here is done if (demo_mode_error = '0') then assert false report "Test completed successfully" severity note; end if; assert false report "Simulation stopped" severity failure; end process p_stimulus; ------------------------------------------------------------------------------ -- Monitor process. This process checks the data coming out of the -- transmitter to make sure that it matches that inserted into the -- receiver. ------------------------------------------------------------------------------ p_monitor : process procedure check_frame_1g(dest_address6 : integer) is variable current_col : natural := 0; -- Column counter within frame variable fcs : std_logic_vector(31 downto 0); variable addr_comp_reg : std_logic_vector(95 downto 0); begin -- Reset the FCS calculation fcs := (others => '0'); while current_col < 12 loop addr_comp_reg((current_col*8 + 7) downto (current_col*8)) := frame_data.columns(current_col).data; current_col := current_col + 1; end loop; current_col := 0; -- Parse over the preamble field while gmii_tx_en /= '1' or gmii_txd = "01010101" loop wait until gmii_tx_clk'event and gmii_tx_clk = '1'; end loop; -- Parse over the Start of Frame Delimiter (SFD) if (gmii_txd /= "11010101") then demo_mode_error <= '1'; assert false report "SFD not present" & cr severity error; end if; wait until gmii_tx_clk'event and gmii_tx_clk = '1'; -- frame has started, loop over columns of frame while ((frame_data.columns(current_col).valid)='1') loop if gmii_tx_en /= frame_data.columns(current_col).valid then demo_mode_error <= '1'; assert false report "gmii_tx_en incorrect" & cr severity error; end if; if gmii_tx_en = '1' then -- The transmitted Destination Address was the Source Address of the injected frame if current_col < 5 then if gmii_txd(7 downto 0) /= frame_data.columns(current_col).data(7 downto 0) then demo_mode_error <= '1'; assert false report "gmii_txd incorrect during Destination Address field" & cr severity error; end if; elsif current_col = 5 then if gmii_txd(7 downto 0) /= std_logic_vector(to_unsigned(dest_address6, gmii_txd'length)) then demo_mode_error <= '1'; assert false report "gmii_txd incorrect during 6th Destination Address field" & cr severity error; end if; elsif current_col >= 6 and current_col < 12 then if gmii_txd(7 downto 0) /= frame_data.columns(current_col).data(7 downto 0) then demo_mode_error <= '1'; assert false report "gmii_txd incorrect during Source Address field" & cr severity error; end if; -- for remainder of frame else if gmii_txd(7 downto 0) /= frame_data.columns(current_col).data(7 downto 0) then demo_mode_error <= '1'; assert false report "gmii_txd incorrect" & cr severity error; end if; end if; end if; -- calculate expected crc for the frame fcs := calc_crc(gmii_txd, fcs); -- wait for next column of data current_col := current_col + 1; wait until gmii_tx_clk'event and gmii_tx_clk = '1'; end loop; -- while data valid -- Check the FCS matches that expected from calculation -- Having checked all data columns, txd must contain FCS. for j in 0 to 3 loop if gmii_tx_en = '0' then demo_mode_error <= '1'; assert false report "gmii_tx_en incorrect during FCS field" & cr severity error; end if; if gmii_txd /= fcs(((8*j)+7) downto (8*j)) then demo_mode_error <= '1'; assert false report "gmii_txd incorrect during FCS field" & cr severity error; end if; wait until gmii_tx_clk'event and gmii_tx_clk = '1'; end loop; -- j end check_frame_1g; begin -- process p_monitor -- wait for reset to complete before starting monitor to ignore false startup errors wait until management_config_finished; wait for 100 ns; for dest_address6 in 0 to NR_TEST_FRAMES-1 loop if dest_address6 mod 4 /= 3 and dest_address6 /= NR_TEST_FRAMES-3 then check_frame_1g(dest_address6); counter := counter + 1; frames_received <= std_logic_vector(to_unsigned(counter,frames_received'length)); end if; end loop; if send_complete = '0' then wait until send_complete'event and send_complete = '1'; end if; wait for 200 ns; tx_monitor_finished_1G <= true; wait; end process p_monitor; end testbench;
---------------------------------------------------------------------------------- -- Company: TUM CREATE -- Engineer: Andreas Ettner -- -- Create Date: 28.11.2013 13:51:50 -- Design Name: -- Module Name: aeg1500_tb - Behavioral -- Project Name: automotive ethernet gateway -- Target Devices: zynq 7000 -- Tool Versions: vivado -- -- Description: test automotive ethernet gateway -- test one port in a user side loopback -- the number of test frames and the frames size are arbitrary ---------------------------------------------------------------------------------- entity aeg_tb is end aeg_tb; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; architecture testbench of aeg_tb is -- aeg_tb properties constant NR_TEST_FRAMES : integer := 20; -- number of frames sent constant FRAME_LENGTH : integer := 64; -- ethernet frame size constant MAX_FRAME_LENGTH : integer := 1522; constant PAYLOAD_LENGTH : integer := FRAME_LENGTH - 18; -- aeg constants constant RECEIVER_DATA_WIDTH : integer := 8; constant NR_PORTS : integer := 4; constant GMII_DATA_WIDTH : integer := 8; constant TX_IFG_DELAY_WIDTH : integer := 8; constant PAUSE_VAL_WIDTH : integer := 16; ------------------------------------------------------------------------------ -- Component Declaration for Device Under Test (DUT). ------------------------------------------------------------------------------ component automotive_ethernet_gateway Generic ( RECEIVER_DATA_WIDTH : integer; NR_PORTS : integer; GMII_DATA_WIDTH : integer; TX_IFG_DELAY_WIDTH : integer; PAUSE_VAL_WIDTH : integer ); port ( -- asynchronous reset glbl_rst : in std_logic; -- 200MHz clock input from board clk_in_p : in std_logic; clk_in_n : in std_logic; phy_resetn : out std_logic; -- GMII Interface ----------------- gmii_txd : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_tx_clk : out std_logic; gmii_rxd : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; gmii_rx_clk : in std_logic; mii_tx_clk : in std_logic; -- MDIO Interface ----------------- mdio : inout std_logic; mdc : out std_logic--; -- Main example design controls ------------------------------- --reset_error : in std_logic ); end component; ------------------------------------------------------------------------------ -- types to support frame data ------------------------------------------------------------------------------ -- Tx Data and Data_valid record type data_typ is record data : std_logic_vector(7 downto 0); -- data valid : std_logic; -- data_valid error : std_logic; -- data_error end record; type frame_of_data_typ is array (natural range <>) of data_typ; -- Tx Data, Data_valid and underrun record type frame_typ is record columns : frame_of_data_typ(0 to MAX_FRAME_LENGTH);-- data field end record; ------------------------------------------------------------------------------ -- Stimulus - Frame data ------------------------------------------------------------------------------ shared variable frame_data : frame_typ; ------------------------------------------------------------------------------ -- CRC engine ------------------------------------------------------------------------------ function calc_crc (data : in std_logic_vector; fcs : in std_logic_vector) return std_logic_vector is variable crc : std_logic_vector(31 downto 0); variable crc_feedback : std_logic; begin crc := not fcs; for I in 0 to 7 loop crc_feedback := crc(0) xor data(I); crc(4 downto 0) := crc(5 downto 1); crc(5) := crc(6) xor crc_feedback; crc(7 downto 6) := crc(8 downto 7); crc(8) := crc(9) xor crc_feedback; crc(9) := crc(10) xor crc_feedback; crc(14 downto 10) := crc(15 downto 11); crc(15) := crc(16) xor crc_feedback; crc(18 downto 16) := crc(19 downto 17); crc(19) := crc(20) xor crc_feedback; crc(20) := crc(21) xor crc_feedback; crc(21) := crc(22) xor crc_feedback; crc(22) := crc(23); crc(23) := crc(24) xor crc_feedback; crc(24) := crc(25) xor crc_feedback; crc(25) := crc(26); crc(26) := crc(27) xor crc_feedback; crc(27) := crc(28) xor crc_feedback; crc(28) := crc(29); crc(29) := crc(30) xor crc_feedback; crc(30) := crc(31) xor crc_feedback; crc(31) := crc_feedback; end loop; -- return the CRC result return not crc; end calc_crc; ------------------------------------------------------------------------------ -- Test Bench signals and constants ------------------------------------------------------------------------------ -- Delay to provide setup and hold timing at the GMII/RGMII. constant dly : time := 4.8 ns; constant gtx_period : time := 2.5 ns; shared variable counter : integer := 0; -- testbench signals signal gtx_clk : std_logic; signal gtx_clkn : std_logic; signal reset : std_logic := '0'; signal demo_mode_error : std_logic := '0'; signal frames_received : std_logic_vector(7 downto 0) := x"00"; signal mdc : std_logic; signal mdio : std_logic; signal mdio_count : unsigned(5 downto 0) := (others => '0'); signal last_mdio : std_logic; signal mdio_read : std_logic; signal mdio_addr : std_logic; signal mdio_fail : std_logic; signal gmii_tx_clk : std_logic; signal gmii_tx_en : std_logic; signal gmii_tx_er : std_logic; signal gmii_txd : std_logic_vector(7 downto 0) := (others => '0'); signal gmii_rx_clk : std_logic; signal gmii_rx_dv : std_logic := '0'; signal gmii_rx_er : std_logic := '0'; signal gmii_rxd : std_logic_vector(7 downto 0) := (others => '0'); signal mii_tx_clk : std_logic := '0'; -- testbench control signals signal tx_monitor_finished_1G : boolean := false; signal management_config_finished : boolean := false; signal rx_stimulus_finished : boolean := false; signal send_complete : std_logic := '0'; signal phy_speed : std_logic_vector(1 downto 0) := "10"; signal mac_speed : std_logic_vector(1 downto 0) := "10"; signal update_speed : std_logic := '0'; signal serial_response : std_logic; signal enable_phy_loopback : std_logic := '0'; begin ------------------------------------------------------------------------------ -- Wire up Device Under Test ------------------------------------------------------------------------------ dut: automotive_ethernet_gateway Generic map ( RECEIVER_DATA_WIDTH => RECEIVER_DATA_WIDTH, NR_PORTS => NR_PORTS, GMII_DATA_WIDTH => GMII_DATA_WIDTH, TX_IFG_DELAY_WIDTH => TX_IFG_DELAY_WIDTH, PAUSE_VAL_WIDTH => PAUSE_VAL_WIDTH ) port map ( -- asynchronous reset -------------------------------- glbl_rst => reset, -- 200MHz clock input from board clk_in_p => gtx_clk, clk_in_n => gtx_clkn, phy_resetn => open, -- GMII Interface -------------------------------- gmii_txd => gmii_txd, gmii_tx_en => gmii_tx_en, gmii_tx_er => gmii_tx_er, gmii_tx_clk => gmii_tx_clk, gmii_rxd => gmii_rxd, gmii_rx_dv => gmii_rx_dv, gmii_rx_er => gmii_rx_er, gmii_rx_clk => gmii_rx_clk, mii_tx_clk => mii_tx_clk, -- MDIO Interface mdc => mdc, mdio => mdio ); ------------------------------------------------------------------------------ -- If the simulation is still going after delay below -- then something has gone wrong: terminate with an error ------------------------------------------------------------------------------ p_timebomb : process begin wait for 300 us; assert false report "ERROR - Simulation running forever!" severity failure; end process p_timebomb; ------------------------------------------------------------------------------ -- Clock drivers ------------------------------------------------------------------------------ -- drives input to an MMCM at 200MHz which creates gtx_clk at 125 MHz p_gtx_clk : process begin gtx_clk <= '0'; gtx_clkn <= '1'; wait for 80 ns; loop wait for gtx_period; gtx_clk <= '1'; gtx_clkn <= '0'; wait for gtx_period; gtx_clk <= '0'; gtx_clkn <= '1'; end loop; end process p_gtx_clk; gmii_rx_clk <= gmii_tx_clk; ----------------------------------------------------------------------------- -- reset process. ----------------------------------------------------------------------------- p_init : process procedure mac_reset is begin assert false report "Resetting core..." & cr severity note; reset <= '1'; wait for 200 ns; reset <= '0'; assert false report "Timing checks are valid" & cr severity note; end procedure mac_reset; procedure init_frame is variable length_type : std_logic_vector(15 downto 0); variable data_byte : std_logic_vector(15 downto 0); variable i : integer; begin frame_data.columns(0) := ( DATA => X"12", VALID => '1', ERROR => '0'); -- Destination Address (DA)'), frame_data.columns(1) := ( DATA => X"34", VALID => '1', ERROR => '0'); frame_data.columns(2) := ( DATA => X"56", VALID => '1', ERROR => '0'); frame_data.columns(3) := ( DATA => X"78", VALID => '1', ERROR => '0'); frame_data.columns(4) := ( DATA => X"00", VALID => '1', ERROR => '0'); frame_data.columns(5) := ( DATA => X"00", VALID => '1', ERROR => '0'); frame_data.columns(6) := ( DATA => X"5A", VALID => '1', ERROR => '0'); -- Source Address (5A) frame_data.columns(7) := ( DATA => X"02", VALID => '1', ERROR => '0'); frame_data.columns(8) := ( DATA => X"03", VALID => '1', ERROR => '0'); frame_data.columns(9) := ( DATA => X"04", VALID => '1', ERROR => '0'); frame_data.columns(10) := ( DATA => X"05", VALID => '1', ERROR => '0'); frame_data.columns(11) := ( DATA => X"06", VALID => '1', ERROR => '0'); length_type := std_logic_vector(to_unsigned(PAYLOAD_LENGTH,length_type'length)); frame_data.columns(12) := ( DATA => length_type(15 downto 8), VALID => '1', ERROR => '0'); frame_data.columns(13) := ( DATA => length_type(7 downto 0), VALID => '1', ERROR => '0'); -- Length/Type i := 14; while i < PAYLOAD_LENGTH + 14 loop data_byte := std_logic_vector(to_unsigned(i-13 ,data_byte'length)); frame_data.columns(i) := ( DATA => data_byte(7 downto 0), VALID => '1', ERROR => '0'); -- Payload i := i+1; end loop; while i < 60 loop frame_data.columns(i) := ( DATA => X"00", VALID => '1', ERROR => '0'); -- Padding i := i+1; end loop; frame_data.columns(i) := ( DATA => X"00", VALID => '0', ERROR => '0'); -- Stop writing end procedure init_frame; begin assert false report "Timing checks are not valid" & cr severity note; mac_speed <= "10"; phy_speed <= "10"; update_speed <= '0'; wait for 800 ns; mac_reset; init_frame; management_config_finished <= true; wait; end process p_init; ------------------------------------------------------------------------------ -- Stimulus process. This process will inject frames of data into the -- PHY side of the receiver. ------------------------------------------------------------------------------ p_stimulus : process ---------------------------------------------------------- -- Procedure to inject a frame into the receiver at 1Gb/s ---------------------------------------------------------- procedure send_frame_1g is variable current_col : natural := 0; -- Column counter within frame variable fcs : std_logic_vector(31 downto 0); begin wait until gmii_rx_clk'event and gmii_rx_clk = '1'; -- Reset the FCS calculation fcs := (others => '0'); -- Adding the preamble field for j in 0 to 7 loop gmii_rxd <= "01010101" after dly; gmii_rx_dv <= '1' after dly; gmii_rx_er <= '0' after dly; wait until gmii_rx_clk'event and gmii_rx_clk = '1'; end loop; -- Adding the Start of Frame Delimiter (SFD) gmii_rxd <= "11010101" after dly; gmii_rx_dv <= '1' after dly; wait until gmii_rx_clk'event and gmii_rx_clk = '1'; current_col := 0; gmii_rxd <= frame_data.columns(current_col).data after dly; gmii_rx_dv <= frame_data.columns(current_col).valid after dly; gmii_rx_er <= frame_data.columns(current_col).error after dly; fcs := calc_crc(frame_data.columns(current_col).data, fcs); wait until gmii_rx_clk'event and gmii_rx_clk = '1'; current_col := current_col + 1; -- loop over columns in frame. while frame_data.columns(current_col).valid /= '0' loop -- send one column of data gmii_rxd <= frame_data.columns(current_col).data after dly; gmii_rx_dv <= frame_data.columns(current_col).valid after dly; gmii_rx_er <= frame_data.columns(current_col).error after dly; fcs := calc_crc(frame_data.columns(current_col).data, fcs); current_col := current_col + 1; wait until gmii_rx_clk'event and gmii_rx_clk = '1'; end loop; -- Send the CRC. for j in 0 to 3 loop gmii_rxd <= fcs(((8*j)+7) downto (8*j)) after dly; gmii_rx_dv <= '1' after dly; gmii_rx_er <= '0' after dly; wait until gmii_rx_clk'event and gmii_rx_clk = '1'; end loop; -- Clear the data lines. gmii_rxd <= (others => '0') after dly; gmii_rx_dv <= '0' after dly; -- Adding the minimum Interframe gap for a receiver (8 idles) for j in 0 to 7 loop wait until gmii_rx_clk'event and gmii_rx_clk = '1'; end loop; end send_frame_1g; begin -- Wait for the Management MDIO transaction to finish. wait until management_config_finished; -- Wait for the internal resets to settle wait for 800 ns; -- inject 256 frames back to back for dest_address6 in 0 to NR_TEST_FRAMES-1 loop frame_data.columns(5).data := std_logic_vector(to_unsigned(dest_address6, frame_data.columns(5).data'length)); if dest_address6 = NR_TEST_FRAMES-3 then frame_data.columns(40).error := '1'; else frame_data.columns(40).error := '0'; end if; send_frame_1g; end loop; send_complete <= '1'; -- Wait for 1G monitor process to complete. wait until tx_monitor_finished_1G; rx_stimulus_finished <= true; -- Our work here is done if (demo_mode_error = '0') then assert false report "Test completed successfully" severity note; end if; assert false report "Simulation stopped" severity failure; end process p_stimulus; ------------------------------------------------------------------------------ -- Monitor process. This process checks the data coming out of the -- transmitter to make sure that it matches that inserted into the -- receiver. ------------------------------------------------------------------------------ p_monitor : process procedure check_frame_1g(dest_address6 : integer) is variable current_col : natural := 0; -- Column counter within frame variable fcs : std_logic_vector(31 downto 0); variable addr_comp_reg : std_logic_vector(95 downto 0); begin -- Reset the FCS calculation fcs := (others => '0'); while current_col < 12 loop addr_comp_reg((current_col*8 + 7) downto (current_col*8)) := frame_data.columns(current_col).data; current_col := current_col + 1; end loop; current_col := 0; -- Parse over the preamble field while gmii_tx_en /= '1' or gmii_txd = "01010101" loop wait until gmii_tx_clk'event and gmii_tx_clk = '1'; end loop; -- Parse over the Start of Frame Delimiter (SFD) if (gmii_txd /= "11010101") then demo_mode_error <= '1'; assert false report "SFD not present" & cr severity error; end if; wait until gmii_tx_clk'event and gmii_tx_clk = '1'; -- frame has started, loop over columns of frame while ((frame_data.columns(current_col).valid)='1') loop if gmii_tx_en /= frame_data.columns(current_col).valid then demo_mode_error <= '1'; assert false report "gmii_tx_en incorrect" & cr severity error; end if; if gmii_tx_en = '1' then -- The transmitted Destination Address was the Source Address of the injected frame if current_col < 5 then if gmii_txd(7 downto 0) /= frame_data.columns(current_col).data(7 downto 0) then demo_mode_error <= '1'; assert false report "gmii_txd incorrect during Destination Address field" & cr severity error; end if; elsif current_col = 5 then if gmii_txd(7 downto 0) /= std_logic_vector(to_unsigned(dest_address6, gmii_txd'length)) then demo_mode_error <= '1'; assert false report "gmii_txd incorrect during 6th Destination Address field" & cr severity error; end if; elsif current_col >= 6 and current_col < 12 then if gmii_txd(7 downto 0) /= frame_data.columns(current_col).data(7 downto 0) then demo_mode_error <= '1'; assert false report "gmii_txd incorrect during Source Address field" & cr severity error; end if; -- for remainder of frame else if gmii_txd(7 downto 0) /= frame_data.columns(current_col).data(7 downto 0) then demo_mode_error <= '1'; assert false report "gmii_txd incorrect" & cr severity error; end if; end if; end if; -- calculate expected crc for the frame fcs := calc_crc(gmii_txd, fcs); -- wait for next column of data current_col := current_col + 1; wait until gmii_tx_clk'event and gmii_tx_clk = '1'; end loop; -- while data valid -- Check the FCS matches that expected from calculation -- Having checked all data columns, txd must contain FCS. for j in 0 to 3 loop if gmii_tx_en = '0' then demo_mode_error <= '1'; assert false report "gmii_tx_en incorrect during FCS field" & cr severity error; end if; if gmii_txd /= fcs(((8*j)+7) downto (8*j)) then demo_mode_error <= '1'; assert false report "gmii_txd incorrect during FCS field" & cr severity error; end if; wait until gmii_tx_clk'event and gmii_tx_clk = '1'; end loop; -- j end check_frame_1g; begin -- process p_monitor -- wait for reset to complete before starting monitor to ignore false startup errors wait until management_config_finished; wait for 100 ns; for dest_address6 in 0 to NR_TEST_FRAMES-1 loop if dest_address6 mod 4 /= 3 and dest_address6 /= NR_TEST_FRAMES-3 then check_frame_1g(dest_address6); counter := counter + 1; frames_received <= std_logic_vector(to_unsigned(counter,frames_received'length)); end if; end loop; if send_complete = '0' then wait until send_complete'event and send_complete = '1'; end if; wait for 200 ns; tx_monitor_finished_1G <= true; wait; end process p_monitor; end testbench;