content
stringlengths 1
1.04M
⌀ |
---|
-------------------------------------------------------------------------------
-- Title : Testbench for design "beacon_robot"
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2011
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.hdlc_pkg.all;
use work.bus_pkg.all;
use work.reg_file_pkg.all;
use work.fifo_sync_pkg.all;
use work.reset_pkg.all;
use work.uart_pkg.all;
use work.utils_pkg.all;
use work.uart_tb_pkg.all;
-------------------------------------------------------------------------------
entity toplevel_tb is
end toplevel_tb;
-------------------------------------------------------------------------------
architecture tb of toplevel_tb is
signal clk : std_logic := '0';
signal rsrx : std_logic := '1';
signal rstx : std_logic := '1';
signal led : std_logic_vector(7 downto 0);
signal sw : std_logic_vector(7 downto 0);
signal so : std_logic_vector(1 downto 0);
signal do : std_logic_vector(1 downto 0);
signal si : std_logic_vector(1 downto 0);
signal di : std_logic_vector(1 downto 0);
begin
toplevel_1 : entity work.toplevel
generic map (
RESET_IMPL => sync)
port map (
so => so,
do => do,
si => si,
di => di,
rsrx => rsrx,
rstx => rstx,
led => led,
sw => sw,
clk => clk);
-- clock generation
Clk <= not Clk after 10 ns;
-- loopback
si(0) <= so(1);
di(0) <= do(1);
si(1) <= so(0);
di(1) <= do(0);
process
begin
wait for 25 ns;
--reset_n <= '0';
wait for 5 us;
-- enable port 0
uart_transmit(rsrx, "0" & x"7e", 250000);
uart_transmit(rsrx, "0" & x"20", 250000);
uart_transmit(rsrx, "0" & x"00", 250000);
uart_transmit(rsrx, "0" & x"12", 250000);
uart_transmit(rsrx, "0" & x"04", 250000);
uart_transmit(rsrx, "0" & x"03", 250000);
uart_transmit(rsrx, "0" & x"4d", 250000); -- crc good
wait for 100 us;
-- enable port 1
uart_transmit(rsrx, "0" & x"7e", 250000);
uart_transmit(rsrx, "0" & x"20", 250000);
uart_transmit(rsrx, "0" & x"00", 250000);
uart_transmit(rsrx, "0" & x"22", 250000);
uart_transmit(rsrx, "0" & x"04", 250000);
uart_transmit(rsrx, "0" & x"03", 250000);
uart_transmit(rsrx, "0" & x"ac", 250000); -- crc good
wait for 100 us;
-- read 0x0011 - port 0 status
uart_transmit(rsrx, "0" & x"7e", 250000);
uart_transmit(rsrx, "0" & x"10", 250000);
uart_transmit(rsrx, "0" & x"00", 250000);
uart_transmit(rsrx, "0" & x"11", 250000);
uart_transmit(rsrx, "0" & x"d5", 250000); -- crc good
wait for 100 us;
-- send 0x41 over port 1
uart_transmit(rsrx, "0" & x"7e", 250000);
uart_transmit(rsrx, "0" & x"20", 250000);
uart_transmit(rsrx, "0" & x"00", 250000);
uart_transmit(rsrx, "0" & x"20", 250000);
uart_transmit(rsrx, "0" & x"00", 250000);
uart_transmit(rsrx, "0" & x"41", 250000);
uart_transmit(rsrx, "0" & x"e7", 250000); -- crc good
wait for 100 us;
-- send EOP over port 1
uart_transmit(rsrx, "0" & x"7e", 250000);
uart_transmit(rsrx, "0" & x"20", 250000);
uart_transmit(rsrx, "0" & x"00", 250000);
uart_transmit(rsrx, "0" & x"20", 250000);
uart_transmit(rsrx, "0" & x"01", 250000);
uart_transmit(rsrx, "0" & x"00", 250000);
uart_transmit(rsrx, "0" & x"32", 250000); -- crc good
wait for 100 us;
-- read data from port 0 (0x0010)
uart_transmit(rsrx, "0" & x"7e", 250000);
uart_transmit(rsrx, "0" & x"10", 250000);
uart_transmit(rsrx, "0" & x"00", 250000);
uart_transmit(rsrx, "0" & x"10", 250000);
uart_transmit(rsrx, "0" & x"d2", 250000); -- crc good
wait for 100 us;
-- read data from port 0 (0x0010)
uart_transmit(rsrx, "0" & x"7e", 250000);
uart_transmit(rsrx, "0" & x"10", 250000);
uart_transmit(rsrx, "0" & x"00", 250000);
uart_transmit(rsrx, "0" & x"10", 250000);
uart_transmit(rsrx, "0" & x"d2", 250000); -- crc good
wait for 100 ms;
end process;
end tb;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity memory_control is
port (clk : in std_logic;
rst : in std_logic;
cpu_vid : in std_logic;
cpu_data : in std_logic_vector(15 downto 0);
cpu_addr : in std_logic_vector(22 downto 0);
cpu_valid : in std_logic;
vid_data : out std_logic_vector(15 downto 0);
vid_addr : in std_logic_vector(22 downto 0);
RAM_addr : out std_logic_vector(22 downto 0);
RAM_data_bus : inout std_logic_vector(15 downto 0);
RAM_oe : out std_logic;
RAM_we : out std_logic;
RAM_ub : out std_logic;
RAM_lb : out std_logic;
RAM_ce : out std_logic);
end memory_control;
architecture behavioral of memory_control is
type state_type is (start, check_cpu_vid, check_cpu_valid, write_cpu_data_setup, write_cpu_data_write);
signal state, next_state : state_type;
signal latency_cnt : std_logic_vector(3 downto 0);
signal RAM_data_bus_in : std_logic_vector(15 downto 0);
signal RAM_data_bus_out : std_logic_vector(15 downto 0);
signal wr_RAM_oe : std_logic;
signal wr_RAM_we : std_logic;
signal wr_RAM_ce : std_logic;
begin
next_state_sync: process (clk, rst) is
begin
if rst = '1' then
state <= start;
latency_cnt <= "0001";
else
state <= next_state;
if state = next_state then
latency_cnt <= latency_cnt + 1;
else
latency_cnt <= "0001";
end if;
end if;
end process;
next_state_logic: process (state, cpu_vid, cpu_valid, vid_addr, latency_cnt) is
begin
case (state) is
when start =>
next_state <= check_cpu_vid;
when check_cpu_vid =>
if cpu_vid = '0' then
next_state <= check_cpu_vid; --Stay here if it's just reading memory
else
next_state <= check_cpu_valid; --Else, go write some data
end if;
when check_cpu_valid =>
if cpu_valid = '0' then
next_state <= check_cpu_valid;
else
next_state <= write_cpu_data_setup;
end if;
when write_cpu_data_setup =>
if latency_cnt > 2 then
next_state <= write_cpu_data_write;
else
next_state <= write_cpu_data_setup;
end if;
when write_cpu_data_write =>
if latency_cnt > 2 then
next_state <= check_cpu_vid;
else
next_state <= write_cpu_data_write;
end if;
end case;
end process;
in_state : process (state, cpu_vid, RAM_data_bus_in) is
begin
RAM_data_bus_out <= (others => '0');
wr_RAM_ce <= '1';
wr_RAM_oe <= '1';
wr_RAM_we <= '1';
case (state) is
when start =>
--Reset/default state for all signals
when check_cpu_vid =>
--Taken care of in defaults and MUX for read signals
when check_cpu_valid =>
--Default signals, wating for data to be valid from the CPU
when write_cpu_data_setup =>
wr_RAM_ce <= '0';
wr_RAM_oe <= '1';
wr_RAM_we <= '0';
when write_cpu_data_write =>
wr_RAM_ce <= '0';
wr_RAM_oe <= '1';
wr_RAM_we <= '0';
RAM_data_bus_out <= cpu_data;
end case;
end process;
RAM_data_bus <= RAM_data_bus_out when cpu_vid = '1' else (others => 'Z');
RAM_data_bus_in <= RAM_data_bus;
--MUX FOR SIGNALS (LB/UB ALWAYS) (controlled by cpu/vid)
RAM_sigs_MUX: process (wr_RAM_ce, wr_RAM_oe, wr_RAM_we, cpu_vid) is
begin
--Always zero
RAM_lb <= '0';
RAM_ub <= '0';
--Defaults
RAM_ce <= '1';
RAM_oe <= '1';
RAM_we <= '1';
if cpu_vid = '0' then --Video control, read memory
RAM_ce <= '0';
RAM_oe <= '0';
RAM_we <= '1';
RAM_addr <= vid_addr;
else
RAM_ce <= wr_RAM_ce;
RAM_oe <= wr_RAM_oe;
RAM_we <= wr_RAM_we;
RAM_addr <= cpu_addr;
end if;
end process;
end behavioral; |
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_sync_reset:1.0
-- IP Revision: 25
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_sync_reset_0_0 IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
active : OUT STD_LOGIC;
hsync : OUT STD_LOGIC;
vsync : OUT STD_LOGIC;
xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END system_vga_sync_reset_0_0;
ARCHITECTURE system_vga_sync_reset_0_0_arch OF system_vga_sync_reset_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_sync_reset IS
GENERIC (
H_SIZE : INTEGER;
H_FRONT_DELAY : INTEGER;
H_BACK_DELAY : INTEGER;
H_RETRACE_DELAY : INTEGER;
V_SIZE : INTEGER;
V_FRONT_DELAY : INTEGER;
V_BACK_DELAY : INTEGER;
V_RETRACE_DELAY : INTEGER
);
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
active : OUT STD_LOGIC;
hsync : OUT STD_LOGIC;
vsync : OUT STD_LOGIC;
xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END COMPONENT vga_sync_reset;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST";
BEGIN
U0 : vga_sync_reset
GENERIC MAP (
H_SIZE => 640,
H_FRONT_DELAY => 16,
H_BACK_DELAY => 48,
H_RETRACE_DELAY => 96,
V_SIZE => 480,
V_FRONT_DELAY => 10,
V_BACK_DELAY => 33,
V_RETRACE_DELAY => 2
)
PORT MAP (
clk => clk,
rst => rst,
active => active,
hsync => hsync,
vsync => vsync,
xaddr => xaddr,
yaddr => yaddr
);
END system_vga_sync_reset_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_sync_reset:1.0
-- IP Revision: 25
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_sync_reset_0_0 IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
active : OUT STD_LOGIC;
hsync : OUT STD_LOGIC;
vsync : OUT STD_LOGIC;
xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END system_vga_sync_reset_0_0;
ARCHITECTURE system_vga_sync_reset_0_0_arch OF system_vga_sync_reset_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_sync_reset IS
GENERIC (
H_SIZE : INTEGER;
H_FRONT_DELAY : INTEGER;
H_BACK_DELAY : INTEGER;
H_RETRACE_DELAY : INTEGER;
V_SIZE : INTEGER;
V_FRONT_DELAY : INTEGER;
V_BACK_DELAY : INTEGER;
V_RETRACE_DELAY : INTEGER
);
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
active : OUT STD_LOGIC;
hsync : OUT STD_LOGIC;
vsync : OUT STD_LOGIC;
xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END COMPONENT vga_sync_reset;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST";
BEGIN
U0 : vga_sync_reset
GENERIC MAP (
H_SIZE => 640,
H_FRONT_DELAY => 16,
H_BACK_DELAY => 48,
H_RETRACE_DELAY => 96,
V_SIZE => 480,
V_FRONT_DELAY => 10,
V_BACK_DELAY => 33,
V_RETRACE_DELAY => 2
)
PORT MAP (
clk => clk,
rst => rst,
active => active,
hsync => hsync,
vsync => vsync,
xaddr => xaddr,
yaddr => yaddr
);
END system_vga_sync_reset_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_sync_reset:1.0
-- IP Revision: 25
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_sync_reset_0_0 IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
active : OUT STD_LOGIC;
hsync : OUT STD_LOGIC;
vsync : OUT STD_LOGIC;
xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END system_vga_sync_reset_0_0;
ARCHITECTURE system_vga_sync_reset_0_0_arch OF system_vga_sync_reset_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_sync_reset IS
GENERIC (
H_SIZE : INTEGER;
H_FRONT_DELAY : INTEGER;
H_BACK_DELAY : INTEGER;
H_RETRACE_DELAY : INTEGER;
V_SIZE : INTEGER;
V_FRONT_DELAY : INTEGER;
V_BACK_DELAY : INTEGER;
V_RETRACE_DELAY : INTEGER
);
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
active : OUT STD_LOGIC;
hsync : OUT STD_LOGIC;
vsync : OUT STD_LOGIC;
xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END COMPONENT vga_sync_reset;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST";
BEGIN
U0 : vga_sync_reset
GENERIC MAP (
H_SIZE => 640,
H_FRONT_DELAY => 16,
H_BACK_DELAY => 48,
H_RETRACE_DELAY => 96,
V_SIZE => 480,
V_FRONT_DELAY => 10,
V_BACK_DELAY => 33,
V_RETRACE_DELAY => 2
)
PORT MAP (
clk => clk,
rst => rst,
active => active,
hsync => hsync,
vsync => vsync,
xaddr => xaddr,
yaddr => yaddr
);
END system_vga_sync_reset_0_0_arch;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:31:35 09/02/2015
-- Design Name:
-- Module Name: D:/ProySisDigAva/P05_DM74LS151/DM74LS151_tb.vhd
-- Project Name: P05_DM74LS151
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: DM74LS151
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY DM74LS151_tb IS
END DM74LS151_tb;
ARCHITECTURE behavior OF DM74LS151_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT DM74LS151
PORT(
C : IN std_logic;
B : IN std_logic;
A : IN std_logic;
D : IN std_logic_vector(7 downto 0);
Strobe : IN std_logic;
Y : OUT std_logic;
W : OUT std_logic
);
END COMPONENT;
--Inputs
signal C : std_logic := '0';
signal B : std_logic := '0';
signal A : std_logic := '0';
signal D : std_logic_vector(7 downto 0) := (others => '0');
signal Strobe : std_logic := '0';
--Outputs
signal Y : std_logic;
signal W : std_logic;
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
-- constant <clock>_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: DM74LS151 PORT MAP (
C => C,
B => B,
A => A,
D => D,
Strobe => Strobe,
Y => Y,
W => W
);
-- Clock process definitions
-- <clock>_process :process
-- begin
-- <clock> <= '0';
-- wait for <clock>_period/2;
-- <clock> <= '1';
-- wait for <clock>_period/2;
-- end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
-- wait for <clock>_period*10;
-- insert stimulus here
Strobe <= '1';
wait for 100 ns;
Strobe <= '0'; D <= "10010001";
C <= '0'; B <= '0'; A <= '0';
wait for 100 ns;
C <= '0'; B <= '0'; A <= '1';
wait for 100 ns;
C <= '0'; B <= '1'; A <= '0';
wait for 100 ns;
C <= '0'; B <= '1'; A <= '1';
wait for 100 ns;
C <= '1'; B <= '0'; A <= '0';
wait for 100 ns;
C <= '1'; B <= '0'; A <= '1';
wait for 100 ns;
C <= '1'; B <= '1'; A <= '0';
wait for 100 ns;
C <= '1'; B <= '1'; A <= '1';
wait;
end process;
END;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:31:35 09/02/2015
-- Design Name:
-- Module Name: D:/ProySisDigAva/P05_DM74LS151/DM74LS151_tb.vhd
-- Project Name: P05_DM74LS151
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: DM74LS151
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY DM74LS151_tb IS
END DM74LS151_tb;
ARCHITECTURE behavior OF DM74LS151_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT DM74LS151
PORT(
C : IN std_logic;
B : IN std_logic;
A : IN std_logic;
D : IN std_logic_vector(7 downto 0);
Strobe : IN std_logic;
Y : OUT std_logic;
W : OUT std_logic
);
END COMPONENT;
--Inputs
signal C : std_logic := '0';
signal B : std_logic := '0';
signal A : std_logic := '0';
signal D : std_logic_vector(7 downto 0) := (others => '0');
signal Strobe : std_logic := '0';
--Outputs
signal Y : std_logic;
signal W : std_logic;
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
-- constant <clock>_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: DM74LS151 PORT MAP (
C => C,
B => B,
A => A,
D => D,
Strobe => Strobe,
Y => Y,
W => W
);
-- Clock process definitions
-- <clock>_process :process
-- begin
-- <clock> <= '0';
-- wait for <clock>_period/2;
-- <clock> <= '1';
-- wait for <clock>_period/2;
-- end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
-- wait for <clock>_period*10;
-- insert stimulus here
Strobe <= '1';
wait for 100 ns;
Strobe <= '0'; D <= "10010001";
C <= '0'; B <= '0'; A <= '0';
wait for 100 ns;
C <= '0'; B <= '0'; A <= '1';
wait for 100 ns;
C <= '0'; B <= '1'; A <= '0';
wait for 100 ns;
C <= '0'; B <= '1'; A <= '1';
wait for 100 ns;
C <= '1'; B <= '0'; A <= '0';
wait for 100 ns;
C <= '1'; B <= '0'; A <= '1';
wait for 100 ns;
C <= '1'; B <= '1'; A <= '0';
wait for 100 ns;
C <= '1'; B <= '1'; A <= '1';
wait;
end process;
END;
|
----------------------------------------------------------------------------------
-- Project: YASG (Yet another signal generator)
-- Project Page: https://github.com/id101010/vhdl-yasg/
-- Authors: Aaron Schmocker & Timo Lang
-- License: GPL v3
-- Create Date: 19:29:54 05/09/2016
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity lcd_driver is
generic ( NBITS : natural := 21; -- counter bit size
clk_freq : natural := 50000000; -- frequency of clk (50MHz) in hz
wait_init : natural := 40000; -- wait 40ms
wait_between : natural := 37; -- wait 37us
wait_pause : natural := 1520); -- wait 1.52ms
Port ( clk : in STD_LOGIC; -- Clock Input
reset : in STD_LOGIC; -- High active, async reset
data : in STD_LOGIC_VECTOR (7 downto 0); -- either one ascii char (8bit) or new cursor position/adress
new_character : in STD_LOGIC; -- a new character is available on the data bus
new_pos : in STD_LOGIC; -- a new cursor position is available on the data bus
busy : out STD_LOGIC; -- output which signals that the driver/lcd is currently busy
lcd_db : out STD_LOGIC_VECTOR (7 downto 0); -- lcd output: databus
lcd_en : out STD_LOGIC; -- lcd output: enable
lcd_rs : out STD_LOGIC); -- lcd output: register select
end lcd_driver;
architecture Behavioral of lcd_driver is
-- type definitions
type display_state is (
INIT, -- initialization, wait for 40ms to pass
SEND_FS1, -- send the function set
SEND_FS2, -- send the function set
SEND_SD, -- send the display ON/OFF control
SEND_CD, -- send a clear
SEND_ES, -- send entry mode set
WAITING1, -- wait and toggle lcd_en
WAITING2, -- wait and toggle lcd_en
WAITING3, -- wait and toggle lcd_en
DONE); -- initialization done
-- signals
signal cur_state : display_state := INIT; -- cur_state register
signal next_state : display_state := INIT; -- next_state register
signal ret_state : display_state := INIT; -- ret_state register
signal next_ret_state : display_state := INIT; -- next_ret_state register
signal cur_counter : unsigned(NBITS-1 downto 0) := (others => '0'); -- current counter
signal next_counter : unsigned(NBITS-1 downto 0) := (others => '0'); -- next current counter
signal ret_counter : unsigned(NBITS-1 downto 0) := (others => '0'); -- return current counter
signal next_ret_counter : unsigned(NBITS-1 downto 0) := (others => '0');
signal next_lcd_db : STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); -- next lcd databus
signal next_lcd_en : STD_LOGIC := '0'; -- next lcd enable
signal next_lcd_rs : STD_LOGIC := '0'; -- next lcd register select
signal cur_lcd_db : STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); -- next lcd databus
signal cur_lcd_en : STD_LOGIC := '0'; -- next lcd enable
signal cur_lcd_rs : STD_LOGIC := '0'; -- next lcd register select
-- constants
constant INIT_COUNT : natural := clk_freq / (1000000 / wait_init); -- number of clock cycles for 40ms
constant PAUSE_COUNT : natural := clk_freq / (1000000 / wait_between); -- number of clock cycles for 37us
constant CLEAR_DISPLAY_COUNT : natural := clk_freq / (1000000 / wait_pause); -- number of clock cycles for 1.52ms
begin
-- purpose : state register
-- type : sequential
-- inputs : clk, reset, next_state
-- outputs : cur_state
REGS: process (clk, reset) is
begin
if(reset = '1') then -- asynchronous reset
cur_state <= INIT;
ret_state <= INIT;
cur_counter <= (others => '0');
ret_counter <= (others => '0');
cur_lcd_db <= (others => '0');
cur_lcd_en <= '0';
cur_lcd_rs <= '0';
elsif rising_edge(clk) then -- synchronous on clk
cur_state <= next_state;
ret_state <= next_ret_state;
cur_counter <= next_counter;
ret_counter <= next_ret_counter;
cur_lcd_db <= next_lcd_db;
cur_lcd_en <= next_lcd_en;
cur_lcd_rs <= next_lcd_rs;
end if;
end process REGS;
-- purpose : Finite state machine next state logic
-- type : sequential
-- inputs : clk, cur_state
-- outputs : none
NSL: process(clk, cur_state, cur_counter, cur_lcd_db, cur_lcd_en, cur_lcd_rs, ret_state, ret_counter, new_character,data,new_pos) is
begin
next_counter <= cur_counter + 1; -- increment counter
next_state <= cur_state;
next_lcd_db <= cur_lcd_db;
next_lcd_en <= cur_lcd_en;
next_lcd_rs <= cur_lcd_rs;
next_ret_state <= ret_state;
next_ret_counter <= ret_counter;
case cur_state is
when INIT => -- switch on current state
next_lcd_db <= "00000000";
next_lcd_en <= '0';
next_lcd_rs <= '0';
next_counter <= (others => '0');
next_ret_state <= SEND_FS1;
next_ret_counter <= to_unsigned(INIT_COUNT, NBITS);
next_state <= WAITING2;
when SEND_FS1 => -- first function set
next_lcd_db <= "00111000";
next_lcd_en <= '1';
next_lcd_rs <= '0';
next_counter <= (others => '0');
next_ret_state <= SEND_FS2;
next_ret_counter <= to_unsigned(PAUSE_COUNT, NBITS);
next_state <= WAITING1;
when SEND_FS2 => -- second function set
next_lcd_db <= "00111000";
next_lcd_en <= '1';
next_lcd_rs <= '0';
next_counter <= (others => '0');
next_ret_state <= SEND_SD;
next_ret_counter <= to_unsigned(PAUSE_COUNT,NBITS);
next_state <= WAITING1;
when SEND_SD => -- display ON/OFF setting
next_lcd_db <= "00001110";
next_lcd_en <= '1';
next_lcd_rs <= '0';
next_counter <= (others => '0');
next_ret_state <= SEND_CD;
next_ret_counter <= to_unsigned(PAUSE_COUNT,NBITS);
next_state <= WAITING1;
when SEND_CD => -- clear display
next_lcd_db <= "00000001";
next_lcd_en <= '1';
next_lcd_rs <= '0';
next_counter <= (others => '0');
next_ret_state <= SEND_ES;
next_ret_counter <= to_unsigned(CLEAR_DISPLAY_COUNT,NBITS);
next_state <= WAITING3;
when SEND_ES => -- entry set mode
next_lcd_db <= "00000110";
next_lcd_en <= '1';
next_lcd_rs <= '0';
next_counter <= (others => '0');
next_ret_state <= DONE;
next_ret_counter <= to_unsigned(PAUSE_COUNT,NBITS);
next_state <= WAITING1;
when DONE => -- initialization done
next_lcd_db <= "00000000";
next_lcd_en <= '1';
next_lcd_rs <= '0';
if(new_character = '1') then -- send data
next_ret_state <= DONE;
next_state <= WAITING1;
next_lcd_rs <= '1';
next_counter <= (others => '0');
next_ret_counter <= to_unsigned(PAUSE_COUNT,NBITS);
next_lcd_db <= data;
elsif(new_pos = '1') then -- new address
next_state <= WAITING1;
next_ret_state <= DONE;
next_lcd_db <= '1' & data(6 downto 0);
next_counter <= (others => '0');
next_ret_counter <= to_unsigned(PAUSE_COUNT,NBITS);
end if;
when WAITING1 => -- wait with jump
if(cur_counter >= ret_counter) then
next_state <= WAITING2;
next_counter <= (others => '0');
next_ret_counter <= to_unsigned(PAUSE_COUNT, NBITS);
end if;
next_lcd_en <= '1';
when WAITING2 => -- wait without jump
if(cur_counter >= ret_counter) then
next_state <= ret_state;
end if;
next_lcd_en <= '0';
when WAITING3 => -- wait with counter reset
if(cur_counter >= PAUSE_COUNT) then
next_state <= WAITING2;
next_counter <= (others => '0');
end if;
when others => null; -- do nothing, if we are in a different state
end case;
end process NSL;
-- Output logic
lcd_db <= cur_lcd_db;
lcd_en <= cur_lcd_en;
lcd_rs <= cur_lcd_rs;
busy <= '0' when cur_state = DONE else '1';
end Behavioral; |
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 10
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0_10;
USE proc_sys_reset_v5_0_10.proc_sys_reset;
ENTITY sys_rst_processing_system7_0_100M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END sys_rst_processing_system7_0_100M_0;
ARCHITECTURE sys_rst_processing_system7_0_100M_0_arch OF sys_rst_processing_system7_0_100M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sys_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END sys_rst_processing_system7_0_100M_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 10
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0_10;
USE proc_sys_reset_v5_0_10.proc_sys_reset;
ENTITY sys_rst_processing_system7_0_100M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END sys_rst_processing_system7_0_100M_0;
ARCHITECTURE sys_rst_processing_system7_0_100M_0_arch OF sys_rst_processing_system7_0_100M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sys_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END sys_rst_processing_system7_0_100M_0_arch;
|
--
-- Copyright (C) 2009-2012 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity top_level is
port(
-- FX2LP interface ---------------------------------------------------------------------------
fx2Clk_in : in std_logic; -- 48MHz clock from FX2LP
fx2Addr_out : out std_logic_vector(1 downto 0); -- select FIFO: "00" for EP2OUT, "10" for EP6IN
fx2Data_io : inout std_logic_vector(7 downto 0); -- 8-bit data to/from FX2LP
-- When EP2OUT selected:
fx2Read_out : out std_logic; -- asserted (active-low) when reading from FX2LP
fx2GotData_in : in std_logic; -- asserted (active-high) when FX2LP has data for us
-- When EP6IN selected:
fx2Write_out : out std_logic; -- asserted (active-low) when writing to FX2LP
fx2GotRoom_in : in std_logic; -- asserted (active-high) when FX2LP has room for more data from us
fx2PktEnd_out : out std_logic; -- asserted (active-low) when a host read needs to be committed early
-- Onboard peripherals -----------------------------------------------------------------------
sseg_out : out std_logic_vector(7 downto 0); -- seven-segment display cathodes (one for each segment)
anode_out : out std_logic_vector(3 downto 0); -- seven-segment display anodes (one for each digit)
led_out : out std_logic_vector(7 downto 0); -- eight LEDs
sw_in : in std_logic_vector(7 downto 0) -- eight switches
);
end entity;
architecture structural of top_level is
-- Channel read/write interface -----------------------------------------------------------------
signal chanAddr : std_logic_vector(6 downto 0); -- the selected channel (0-127)
-- Host >> FPGA pipe:
signal h2fData : std_logic_vector(7 downto 0); -- data lines used when the host writes to a channel
signal h2fValid : std_logic; -- '1' means "on the next clock rising edge, please accept the data on h2fData"
signal h2fReady : std_logic; -- channel logic can drive this low to say "I'm not ready for more data yet"
-- Host << FPGA pipe:
signal f2hData : std_logic_vector(7 downto 0); -- data lines used when the host reads from a channel
signal f2hValid : std_logic; -- channel logic can drive this low to say "I don't have data ready for you"
signal f2hReady : std_logic; -- '1' means "on the next clock rising edge, put your next byte of data on f2hData"
-- ----------------------------------------------------------------------------------------------
-- Reset signal so host can delay startup
signal fx2Reset : std_logic;
begin
-- CommFPGA module
fx2Addr_out(0) <= -- So fx2Addr_out(1)='0' selects EP2OUT, fx2Addr_out(1)='1' selects EP6IN
'0' when fx2Reset = '0'
else 'Z';
comm_fpga_fx2 : entity work.comm_fpga_fx2
port map(
clk_in => fx2Clk_in,
reset_in => '0',
reset_out => fx2Reset,
-- FX2LP interface
fx2FifoSel_out => fx2Addr_out(1),
fx2Data_io => fx2Data_io,
fx2Read_out => fx2Read_out,
fx2GotData_in => fx2GotData_in,
fx2Write_out => fx2Write_out,
fx2GotRoom_in => fx2GotRoom_in,
fx2PktEnd_out => fx2PktEnd_out,
-- DVR interface -> Connects to application module
chanAddr_out => chanAddr,
h2fData_out => h2fData,
h2fValid_out => h2fValid,
h2fReady_in => h2fReady,
f2hData_in => f2hData,
f2hValid_in => f2hValid,
f2hReady_out => f2hReady
);
-- Switches & LEDs application
swled_app : entity work.swled
port map(
clk_in => fx2Clk_in,
reset_in => '0',
-- DVR interface -> Connects to comm_fpga module
chanAddr_in => chanAddr,
h2fData_in => h2fData,
h2fValid_in => h2fValid,
h2fReady_out => h2fReady,
f2hData_out => f2hData,
f2hValid_out => f2hValid,
f2hReady_in => f2hReady,
-- External interface
sseg_out => sseg_out,
anode_out => anode_out,
led_out => led_out,
sw_in => sw_in
);
end architecture;
|
----------------------------------------------------------------------------------
-- Company: N/A
-- Engineer: WTMW
-- Create Date: 22:27:15 09/26/2014
-- Design Name:
-- Module Name: Hamming_tests.vhd
-- Project Name: project_nrf
-- Target Devices: Nexys 4
-- Tool versions: ISE WEBPACK 64-Bit
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
use work.project_nrf_subprog.all;
ENTITY Hamming_test IS
END Hamming_test;
ARCHITECTURE behavior OF Hamming_test IS
-- Test Signals
signal data_nib : std_logic_vector(3 downto 0) := "0000";
signal encoded_byte : std_logic_vector(7 downto 0) := "00000000";
signal err_vector : std_logic_vector(7 downto 0) := "00000001";
signal decoded_nib : std_logic_vector(3 downto 0) := "0000";
-- CLK Signals
signal clk : std_logic := '0';
constant clk_period : time := 10 ns;
signal masterReset : std_logic := '1';
BEGIN
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process begin
wait for clk_period*10;
for I in 1 to 16 loop
for I in 1 to 8 loop
wait until rising_edge(clk);
encoded_byte <= Hamming_hByte_encoder(data_nib);
wait for clk_period;
encoded_byte <= encoded_byte XOR err_vector;
err_vector <= err_vector(6 downto 0) & '0';
wait for clk_period;
decoded_nib <= Hamming_hByte_decoder(encoded_byte);
wait for clk_period;
end loop;
data_nib <= data_nib + '1';
err_vector <= "00000001";
wait for clk_period*2;
end loop;
end process;
END;
|
library ieee;
use ieee.std_logic_1164.all;
entity func03 is
port (a : std_logic_vector (7 downto 0);
b : out std_logic_vector (7 downto 0));
end func03;
architecture behav of func03 is
function gen_mask (len : natural) return std_logic_vector is
variable res : std_logic_vector (len - 1 downto 0);
begin
res := (0 => '1', others => '0');
return res;
end gen_mask;
constant mask : std_logic_vector(7 downto 0) := gen_mask (8);
begin
b <= a and mask;
end behav;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ddr_ireg
-- File: ddr_ireg.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: DDR input reg with tech selection
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
use techmap.allddr.all;
entity ddr_ireg is
generic ( tech : integer; arch : integer := 0; scantest: integer := 0);
port ( Q1 : out std_ulogic;
Q2 : out std_ulogic;
C1 : in std_ulogic;
C2 : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic;
testen: in std_ulogic;
testrst: in std_ulogic);
end;
architecture rtl of ddr_ireg is
begin
inf : if not((is_unisim(tech) = 1) or (tech = axcel) or
(tech = axdsp) or (tech = apa3) or (tech = apa3e) or
(tech = apa3l) or (tech = rhumc) or (tech = igloo2) or
(tech = rtg4)) generate
inf0 : gen_iddr_reg generic map (scantest,0) port map (Q1, Q2, C1, C2, CE, D, R, S, testen, testrst);
end generate;
ax : if (tech = axcel) or (tech = axdsp) generate
axc0 : axcel_iddr_reg port map (Q1, Q2, C1, C2, CE, D, R, S);
end generate;
pa3 : if (tech = apa3) generate
pa0 : apa3_iddr_reg port map (Q1, Q2, C1, C2, CE, D, R, S);
end generate;
pa3e : if (tech = apa3e) generate
pa0 : apa3e_iddr_reg port map (Q1, Q2, C1, C2, CE, D, R, S);
end generate;
pa3l : if (tech = apa3l) generate
pa0 : apa3l_iddr_reg port map (Q1, Q2, C1, C2, CE, D, R, S);
end generate;
igl2 : if (tech = igloo2) or (tech = rtg4) generate
igl20 : igloo2_iddr_reg port map (Q1, Q2, C1, C2, CE, D, R, S);
end generate;
xil : if is_unisim(tech) = 1 generate
xil0 : unisim_iddr_reg generic map (tech, arch) port map (Q1, Q2, C1, C2, CE, D, R, S);
end generate;
rhu : if (tech = rhumc) generate
rhu0: rhumc_iddr_reg port map (Q1, Q2, C1, C2, CE, D, R, S);
end generate;
--pragma translate_off
assert (tech /= easic45) and (tech /= easic90)
report "ddr_ireg: Not supported on eASIC. Use DDR pad instead."
severity failure;
--pragma translate_on
end architecture;
|
--======================================================--
-- --
-- NORTHEASTERN UNIVERSITY --
-- DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING --
-- Reconfigurable & GPU Computing Laboratory --
-- --
-- AUTHOR | Pavle Belanovic --
-- -------------+------------------------------------ --
-- DATE | 20 June 2002 --
-- -------------+------------------------------------ --
-- REVISED BY | Haiqian Yu --
-- -------------+------------------------------------ --
-- DATE | 18 Jan. 2003 --
-- -------------+------------------------------------ --
-- REVISED BY | Jainik Kathiara --
-- -------------+------------------------------------ --
-- DATE | 21 Sept. 2010 --
-- -------------------------------------------------- --
-- REVISED BY | Xin Fang --
-- -------------------------------------------------- --
-- DATE | 25 Oct. 2012 --
--======================================================--
--******************************************************************************--
-- --
-- Copyright (C) 2014 --
-- --
-- This program is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU General Public License --
-- as published by the Free Software Foundation; either version 3 --
-- of the License, or (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see<http://www.gnu.org/licenses/>. --
-- --
--******************************************************************************--
--======================================================--
-- LIBRARIES --
--======================================================--
-- IEEE Libraries --
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
-- float
library fp_lib;
use fp_lib.float_pkg.all;
----------------------------------------------------------
-- Shift/Adjust Module --
----------------------------------------------------------
entity swap is
generic
(
exp_bits : integer := 8;
man_bits : integer := 23
);
port
(
--inputs
CLK : in std_logic;
RESET : in std_logic;
STALL : in std_logic;
READY : in std_logic;
IN1 : in std_logic_vector(exp_bits+man_bits downto 0);
IN2 : in std_logic_vector(exp_bits+man_bits downto 0);
--outputs
EXP_DIFF : out std_logic_vector(exp_bits-1 downto 0);
OUT1 : out std_logic_vector(exp_bits+man_bits+2 downto 0);
OUT2 : out std_logic_vector(exp_bits+man_bits+2 downto 0);
DONE : out std_logic
);
end swap;
architecture swap_arch of swap is
--SIGNALS
signal sign1 : std_logic;
signal sign2 : std_logic;
signal sign_large : std_logic;
signal sign_small : std_logic;
signal exp1 : std_logic_vector(exp_bits downto 0);
signal exp2 : std_logic_vector(exp_bits downto 0);
signal difference : std_logic_vector(exp_bits downto 0);
signal difference_cor : std_logic_vector(exp_bits downto 0);
signal exp : std_logic_vector(exp_bits-1 downto 0);
signal man1 : std_logic_vector(man_bits+1 downto 0);
signal man2 : std_logic_vector(man_bits+1 downto 0);
signal man_large : std_logic_vector(man_bits+1 downto 0);
signal man_small : std_logic_vector(man_bits+1 downto 0);
signal large : std_logic_vector(exp_bits+man_bits+2 downto 0);
signal small : std_logic_vector(exp_bits+man_bits+2 downto 0);
signal mux_ctrl : std_logic;
signal two_s_comp : std_logic;
begin
--concurrent
--connect signals
sign1 <= IN1(exp_bits+man_bits);
sign2 <= IN2(exp_bits+man_bits);
exp1 <= '0' & IN1(exp_bits+man_bits-1 downto man_bits);
exp2 <= '0' & IN2(exp_bits+man_bits-1 downto man_bits);
man1 <= IN1(man_bits-1 downto 0) & "00";
man2 <= IN2(man_bits-1 downto 0) & "00";
--instantiate the components
exp_subtractor : parameterized_subtractor
generic map
(
bits => exp_bits + 1
)
port map
(
--inputs
A => exp1,
B => exp2,
--outputs
O => difference
);
diff_cor: difference_cor <= ((not difference) + '1') when (difference(exp_bits) = '1') else difference;
control : mux_ctrl <= difference(exp_bits);
exp_out_mux : parameterized_mux
generic map
(
bits => exp_bits
)
port map
(
--inputs
A => exp2(exp_bits-1 downto 0),
B => exp1(exp_bits-1 downto 0),
S => mux_ctrl,
--outputs
O => exp
);
sign_out_mux1 : sign_large <= sign2 when (mux_ctrl = '1') else sign1;
man_out_mux1 : parameterized_mux
generic map
(
bits => man_bits + 2
)
port map
(
--inputs
A => man2,
B => man1,
S => mux_ctrl,
--outputs
O => man_large
);
sign_out_mux2 : sign_small <= sign1 when (mux_ctrl = '1') else sign2;
man_out_mux2 : parameterized_mux
generic map
(
bits => man_bits + 2
)
port map
(
--inputs
A => man1,
B => man2,
S => mux_ctrl,
--outputs
O => man_small
);
large <= sign_large & exp & man_large;
small <= sign_small & exp & man_small;
--sequential
main : process(CLK,RESET,STALL) is
begin
if (RESET = '1') then
DONE <= '0';
EXP_DIFF <= (others => '0');
OUT1 <= (others=>'0');
OUT2 <= (others=>'0');
elsif(rising_edge(CLK) and STALL = '0') then
DONE <= READY;
EXP_DIFF <= difference_cor(exp_bits-1 downto 0);
OUT1 <= large;
OUT2 <= small;
end if;--CLK
end process MAIN; --main
end swap_arch; --end of architecture
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
--============================================================================--
-- Design unit : AMBA_TestPackage (Package and body declarations)
--
-- File name : amba_tp.vhd
--
-- Purpose : AMBA AHB and APB interface access procedures
--
-- Library : {independent}
--
-- Authors : Aeroflex Gaisler AB
--
-- Contact : mailto:[email protected]
-- http://www.aeroflex.com/gaisler
--
-- Disclaimer : All information is provided "as is", there is no warranty that
-- the information is correct or suitable for any purpose,
-- neither implicit nor explicit.
--------------------------------------------------------------------------------
-- Version Author Date Changes
-- 0.1 SH 15 Mar 2002 New package
-- 0.2 SH 17 Mar 2003 Updated most packages
-- 0.3 SH 20 May 2003 Memory based on Integer elements
-- 0.4 SH 1 Jul 2003 Name of package changed
-- Compare function improved
-- AHB 32 bit memory with preload added
-- AHB initialisation added
-- 0.5 SH 21 Jul 2003 AHB 32 memory with diagnostics added
-- 0.6 SH 1 Nov 2003 APB read access data sample made earlier
-- AHB 32 memory extended with byte/halfword
-- 0.7 SH 25 Jan 2004 AHB read access data output corrected
-- AHB 32 memory allows overlay addressing
-- 1.7 SH 1 Oct 2004 Ported to GRLIB
-- 1.8 SH 1 Jul 2005 Added configuration support for memories
-- Modified all procedure declarations
-- 1.9 SH 10 Nov 2005 AHB 32 responds with HREADY=0 when error
-- 1.11 SH 27 Dec 2004 Split support added, using HSPLIT element
-- Proper two-cycle error response implemented
-- 1.12 SH 15 Feb 2006 Added bank select to AHB bus accesses
-- 1.13 SH 1 May 2009 AHBQuite gave incorrect TP on error resps.
--------------------------------------------------------------------------------
library Std;
use Std.Standard.all;
use Std.TextIO.all;
library IEEE;
use IEEE.Std_Logic_1164.all;
library GRLIB;
use GRLIB.AMBA.all;
use GRLIB.StdLib.all;
use GRLIB.StdIO.all;
package AMBA_TestPackage is
-----------------------------------------------------------------------------
-- AMBA APB write access
-----------------------------------------------------------------------------
procedure APBInit(
signal PCLK: in Std_ULogic;
signal APBIn: out APB_Slv_In_Type;
constant InstancePath: in String := "APBInit";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := True);
-----------------------------------------------------------------------------
-- AMBA APB write access
-----------------------------------------------------------------------------
procedure APBWrite(
constant Address: in Std_Logic_Vector(31 downto 0);
constant Data: in Std_Logic_Vector(31 downto 0);
signal PCLK: in Std_ULogic;
signal APBIn: out APB_Slv_In_Type;
signal APBOut: in APB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "APBWrite";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant PINDEX: in Integer := 0);
-----------------------------------------------------------------------------
-- AMBA APB read access
-----------------------------------------------------------------------------
procedure APBQuiet(
constant Address: in Std_Logic_Vector(31 downto 0);
variable Data: out Std_Logic_Vector(31 downto 0);
signal PCLK: in Std_ULogic;
signal APBIn: out APB_Slv_In_Type;
signal APBOut: in APB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "APBQuiet";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant PINDEX: in Integer := 0);
-----------------------------------------------------------------------------
-- AMBA APB read access
-----------------------------------------------------------------------------
procedure APBRead(
constant Address: in Std_Logic_Vector(31 downto 0);
variable Data: out Std_Logic_Vector(31 downto 0);
signal PCLK: in Std_ULogic;
signal APBIn: out APB_Slv_In_Type;
signal APBOut: in APB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "APBRead";
constant ScreenOutput: in Boolean := True;
constant cBack2Back: in Boolean := False;
constant PINDEX: in Integer := 0);
-----------------------------------------------------------------------------
-- AMBA APB read access
-----------------------------------------------------------------------------
procedure APBComp(
constant Address: in Std_Logic_Vector(31 downto 0);
constant CxData: in Std_Logic_Vector(31 downto 0);
variable RxData: out Std_Logic_Vector(31 downto 0);
signal PCLK: in Std_ULogic;
signal APBIn: out APB_Slv_In_Type;
signal APBOut: in APB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "APBComp";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant PINDEX: in Integer := 0);
-----------------------------------------------------------------------------
-- Initialise AMBA AHB interface
-----------------------------------------------------------------------------
procedure AHBInit(
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
constant InstancePath: in String := "AHBInit";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := True);
-----------------------------------------------------------------------------
-- AMBA AHB write access
-----------------------------------------------------------------------------
procedure AHBWriteQuiet(
constant Address: in Std_Logic_Vector(31 downto 0);
constant Data: in Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
signal AHBOut: in AHB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "AHBWrite";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HMBINDEX: in Integer := 0);
-----------------------------------------------------------------------------
-- AMBA AHB write access
-----------------------------------------------------------------------------
procedure AHBWrite(
constant Address: in Std_Logic_Vector(31 downto 0);
constant Data: in Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
signal AHBOut: in AHB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "AHBWrite";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HMBINDEX: in Integer := 0);
-----------------------------------------------------------------------------
-- AMBA AHB read access
-----------------------------------------------------------------------------
procedure AHBQuiet(
constant Address: in Std_Logic_Vector(31 downto 0);
variable Data: out Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
signal AHBOut: in AHB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "AHBQuiet";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HMBINDEX: in Integer := 0);
-----------------------------------------------------------------------------
-- AMBA AHB read access
-----------------------------------------------------------------------------
procedure AHBRead(
constant Address: in Std_Logic_Vector(31 downto 0);
variable Data: out Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
signal AHBOut: in AHB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "AHBRead";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HMBINDEX: in Integer := 0);
-----------------------------------------------------------------------------
-- AMBA AHB read access
-----------------------------------------------------------------------------
procedure AHBComp(
constant Address: in Std_Logic_Vector(31 downto 0);
constant CxData: in Std_Logic_Vector(31 downto 0);
variable RxData: out Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
signal AHBOut: in AHB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "AHBComp";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HMBINDEX: in Integer := 0);
-----------------------------------------------------------------------------
-- Diagnstics types for behavioural model of memory with AHB interface
-----------------------------------------------------------------------------
type AHB_Diagnostics_In_Type is
record
HADDR: Std_Logic_Vector(31 downto 0);
HWRITE: Std_ULogic;
HWDATA: Std_Logic_Vector(31 downto 0);
HRESP: Std_Logic_Vector(1 downto 0); -- response type
HSPLIT: Std_Logic_Vector(NAHBMST-1 downto 0); -- split completion
end record AHB_Diagnostics_In_Type;
type AHB_Diagnostics_Out_Type is
record
HRDATA: Std_Logic_Vector(31 downto 0);
end record AHB_Diagnostics_Out_Type;
constant AHB_Diagnostics_Init: AHB_Diagnostics_In_Type :=
(X"00000000", '0', X"00000000", HRESP_OKAY, zero32(NAHBMST-1 downto 0));
-----------------------------------------------------------------------------
-- Behavioural model of memory with AHB interface, no wait states
-----------------------------------------------------------------------------
procedure AHBMemory(
constant gAWidth: in Positive := 15; -- address width
constant gDWidth: in Positive := 8; -- data width
signal HCLK: in Std_ULogic;
signal HRESETn: in Std_ULogic;
signal AHBIn: in AHB_Slv_In_Type;
signal AHBOut: out AHB_Slv_Out_Type;
constant InstancePath: in String := "AHBMemory";
constant ScreenOutput: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HADDR: in Integer := 0;
constant HMASK: in Integer := 16#FFF#);
-----------------------------------------------------------------------------
-- Behavioural model of memory with AMBA AHB interface, no wait states
-----------------------------------------------------------------------------
procedure AHBMemory32(
constant gAWidth: in Positive := 18; -- address width
signal HCLK: in Std_ULogic;
signal HRESETn: in Std_ULogic;
signal AHBIn: in AHB_Slv_In_Type;
signal AHBOut: out AHB_Slv_Out_Type;
constant InstancePath: in String := "AHBMemory32";
constant ScreenOutput: in Boolean := False;
constant FileName: in String := ""; -- file name
constant HINDEX: in Integer := 0;
constant HADDR: in Integer := 0;
constant HMASK: in Integer := 16#FFF#);
-----------------------------------------------------------------------------
-- Behavioural model of memory with AHB interface, no wait states
-- Supporting byte, halfword and word read/write accesses.
-- Provices diagnostic support.
-----------------------------------------------------------------------------
procedure AHBMemory32(
constant gAWidth: in Positive := 18; -- address width
signal HCLK: in Std_ULogic;
signal HRESETn: in Std_ULogic;
signal AHBIn: in AHB_Slv_In_Type;
signal AHBOut: out AHB_Slv_Out_Type;
signal AHBInDiag: in AHB_Diagnostics_In_Type;
signal AHBOutDiag: out AHB_Diagnostics_Out_Type;
constant InstancePath: in String := "AHBMemory32";
constant ScreenOutput: in Boolean := False;
constant FileName: in String := ""; -- file name
constant HINDEX: in Integer := 0;
constant HADDR: in Integer := 0;
constant HMASK: in Integer := 16#FFF#);
-----------------------------------------------------------------------------
-- Routine for writig data directly to AHB memory
-----------------------------------------------------------------------------
procedure WrAHBMem32(
constant Addr: in Std_Logic_Vector(31 downto 0);
constant Data: in Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBInDiag: out AHB_Diagnostics_In_Type;
signal AHBOutDiag: in AHB_Diagnostics_Out_Type;
variable TP: inout Boolean;
constant Comment: in String := "";
constant Screen: in Boolean := False);
-----------------------------------------------------------------------------
-- Routine for reading data directly from AHB memory
-----------------------------------------------------------------------------
procedure RdAHBMem32(
constant Addr: in Std_Logic_Vector(31 downto 0);
variable Data: out Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBInDiag: out AHB_Diagnostics_In_Type;
signal AHBOutDiag: in AHB_Diagnostics_Out_Type;
variable TP: inout Boolean;
constant Comment: in String := "";
constant Screen: in Boolean := False);
-----------------------------------------------------------------------------
-- Routine for reading data directly from AHB memory
-----------------------------------------------------------------------------
procedure RcAHBMem32(
constant Addr: in Std_Logic_Vector(31 downto 0);
constant Expected: in Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBInDiag: out AHB_Diagnostics_In_Type;
signal AHBOutDiag: in AHB_Diagnostics_Out_Type;
variable TP: inout Boolean;
constant Comment: in String := "";
constant Screen: in Boolean := False);
-----------------------------------------------------------------------------
-- Routine for generating a split ack from AHB memory
-----------------------------------------------------------------------------
procedure SplitAHBMem32(
constant Split: in Integer range 0 to NAHBMST-1;
signal HCLK: in Std_ULogic;
signal AHBInDiag: out AHB_Diagnostics_In_Type;
signal AHBOutDiag: in AHB_Diagnostics_Out_Type;
variable TP: inout Boolean;
constant Comment: in String := "";
constant Screen: in Boolean := False);
end AMBA_TestPackage;
--============================================================================--
package body AMBA_TestPackage is
-----------------------------------------------------------------------------
-- Compare function handling '-'
-----------------------------------------------------------------------------
function Compare(O, C: in Std_Logic_Vector) return Boolean is
variable T: Std_Logic_Vector(O'Range) := C;
variable Result: Boolean;
begin
Result := True;
for i in O'Range loop
if not (O(i)=T(i) or T(i)='-' or T(i)='U') then
Result := False;
end if;
end loop;
return Result;
end function Compare;
-----------------------------------------------------------------------------
-- Synchronisation with respect to clock and with output offset
-----------------------------------------------------------------------------
procedure Synchronise(
signal Clk: in Std_ULogic;
constant Offset: in Time := 5 ns) is
begin
wait until CLK = '1'; -- Synchronise
wait for Offset; -- output offset delay
end procedure Synchronise;
-----------------------------------------------------------------------------
-- AMBA APB write access
-----------------------------------------------------------------------------
procedure APBInit(
signal PCLK: in Std_ULogic;
signal APBIn: out APB_Slv_In_Type;
constant InstancePath: in String := "APBInit";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := True) is
variable L: Line;
begin
if cBack2Back then
Synchronise(PCLK);
end if;
APBIn.PSEL <= (others => '0');
APBIn.PENABLE <= '0';
APBIn.PADDR <= (others => '0');
APBIn.PWRITE <= '0';
APBIn.PWDATA <= (others => '0');
if ScreenOutput then
Write (L, Now, Right, 15);
Write (L, " : " & InstancePath);
Write (L, String'(" : APB initalised"));
WriteLine(Output, L);
end if;
end procedure APBInit;
-----------------------------------------------------------------------------
-- AMBA APB write access
-----------------------------------------------------------------------------
procedure APBWrite(
constant Address: in Std_Logic_Vector(31 downto 0);
constant Data: in Std_Logic_Vector(31 downto 0);
signal PCLK: in Std_ULogic;
signal APBIn: out APB_Slv_In_Type;
signal APBOut: in APB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "APBWrite";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant PINDEX: in Integer := 0) is
variable L: Line;
begin
-- do not Synchronise when a back-to-back access is requested
if not cBack2Back then
Synchronise(PCLK);
end if;
APBIn.PSEL <= (others => '0');
APBIn.PSEL(PINDEX) <= '1'; -- first clock period
APBIn.PENABLE <= '0';
APBIn.PADDR <= Address;
APBIn.PWRITE <= '1';
APBIn.PWDATA <= Data;
Synchronise(PCLK); -- second clock period
APBIn.PENABLE <= '1';
if ScreenOutput then
Write (L, Now, Right, 15);
Write (L, " : " & InstancePath);
Write (L, String'(" : APB write access, address: "));
HWrite(L, Address);
Write (L, String'(" : data: "));
HWrite(L, Data);
WriteLine(Output, L);
end if;
Synchronise(PCLK); -- end of access
APBIn.PSEL <= (others => '0');
APBIn.PENABLE <= '0';
APBIn.PADDR <= (others => '-');
APBIn.PWRITE <= '0';
APBIn.PWDATA <= (others => '-');
end procedure APBWrite;
-----------------------------------------------------------------------------
-- AMBA APB read access
-----------------------------------------------------------------------------
procedure APBQuiet(
constant Address: in Std_Logic_Vector(31 downto 0);
variable Data: out Std_Logic_Vector(31 downto 0);
signal PCLK: in Std_ULogic;
signal APBIn: out APB_Slv_In_Type;
signal APBOut: in APB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "APBQuiet";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant PINDEX: in Integer := 0) is
begin
-- do not Synchronise when a back-to-back access is requested
if not cBack2Back then
Synchronise(PCLK);
end if;
APBIn.PSEL <= (others => '0');
APBIn.PSEL(PINDEX) <= '1'; -- first clock period
APBIn.PENABLE <= '0';
APBIn.PADDR <= Address;
APBIn.PWRITE <= '0';
APBIn.PWDATA <= (others => '-');
Synchronise(PCLK); -- second clock period
APBIn.PENABLE <= '1';
wait for 5 ns;
Data := APBOut.PRDATA;
Synchronise(PCLK); -- end of access
APBIn.PSEL <= (others => '0');
APBIn.PENABLE <= '0';
APBIn.PADDR <= (others => '-');
end procedure APBQuiet;
-----------------------------------------------------------------------------
-- AMBA APB read access
-----------------------------------------------------------------------------
procedure APBRead(
constant Address: in Std_Logic_Vector(31 downto 0);
variable Data: out Std_Logic_Vector(31 downto 0);
signal PCLK: in Std_ULogic;
signal APBIn: out APB_Slv_In_Type;
signal APBOut: in APB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "APBRead";
constant ScreenOutput: in Boolean := True;
constant cBack2Back: in Boolean := False;
constant PINDEX: in Integer := 0) is
variable L: Line;
variable Temp: Std_Logic_Vector(31 downto 0);
begin
APBQuiet(Address, Temp, PCLK, APBIn, APBOut, TP, InstancePath, False, cBack2Back, PINDEX);
Data := Temp;
if ScreenOutput then
Write(L, Now, Right, 15);
Write(L, " : " & InstancePath);
Write(L, String'(" : APB read access, address: "));
HWrite(L, Address);
Write(L, String'(" : data: "));
HWrite(L, Temp);
WriteLine(Output, L);
end if;
end procedure APBRead;
-----------------------------------------------------------------------------
-- AMBA APB read access
-----------------------------------------------------------------------------
procedure APBComp(
constant Address: in Std_Logic_Vector(31 downto 0);
constant CxData: in Std_Logic_Vector(31 downto 0);
variable RxData: out Std_Logic_Vector(31 downto 0);
signal PCLK: in Std_ULogic;
signal APBIn: out APB_Slv_In_Type;
signal APBOut: in APB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "APBComp";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant PINDEX: in Integer := 0) is
variable L: Line;
variable Data: Std_Logic_Vector(31 downto 0);
begin
APBQuiet(Address, Data, PCLK, APBIn, APBOut, TP, InstancePath, False, cBack2Back, PINDEX);
if not Compare(Data, CxData) then
Write(L, Now, Right, 15);
Write(L, " : " & InstancePath);
Write(L, String'(" : AHB read access, address: "));
HWrite(L, Address);
Write(L, String'(" : data: "));
HWrite(L, Data);
Write(L, String'(" : expected: "));
HWrite(L, CxData);
Write(L, String'(" # Error #"));
WriteLine(Output, L);
TP := False;
elsif ScreenOutput then
Write(L, Now, Right, 15);
Write(L, " : " & InstancePath);
Write(L, String'(" : AHB read access, address: "));
HWrite(L, Address);
Write(L, String'(" : data: "));
HWrite(L, Data);
WriteLine(Output, L);
end if;
RxData := Data;
end procedure APBComp;
-----------------------------------------------------------------------------
-- Initialise AHB interface
-----------------------------------------------------------------------------
procedure AHBInit(
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
constant InstancePath: in String := "AHBInit";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := True) is
variable L: Line;
begin
if cBack2Back then
Synchronise(HCLK);
end if;
AHBIn.HSEL <= (others => '0');
AHBIn.HADDR <= (others => '0');
AHBIn.HWRITE <= '0';
AHBIn.HTRANS <= HTRANS_IDLE;
AHBIn.HSIZE <= HSIZE_WORD;
AHBIn.HBURST <= HBURST_SINGLE;
AHBIn.HWDATA <= (others => '-');
AHBIn.HPROT <= (others => '0');
AHBIn.HREADY <= '0';
AHBIn.HMASTER <= (others => '0');
AHBIn.HMASTLOCK <= '0';
AHBIn.HMBSEL <= (others => '0');
if ScreenOutput then
Write (L, Now, Right, 15);
Write (L, " : " & InstancePath);
Write (L, String'(" : AHB initalised"));
WriteLine(Output, L);
end if;
end procedure AHBInit;
-----------------------------------------------------------------------------
-- AMBA AHB write access
-----------------------------------------------------------------------------
procedure AHBWriteQuiet(
constant Address: in Std_Logic_Vector(31 downto 0);
constant Data: in Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
signal AHBOut: in AHB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "AHBWrite";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HMBINDEX: in Integer := 0) is
variable L: Line;
begin
-- do not Synchronise when a back-to-back access is requested
if not cBack2Back then
Synchronise(HCLK); -- first clock period
end if;
AHBIn.HSEL <= (others => '0');
AHBIn.HSEL(HINDEX)<= '1';
AHBIn.HADDR <= Address;
AHBIn.HWRITE <= '1';
AHBIn.HTRANS <= HTRANS_NONSEQ;
AHBIn.HSIZE <= HSIZE_WORD;
AHBIn.HBURST <= HBURST_SINGLE;
AHBIn.HWDATA <= (others => '-');
AHBIn.HPROT <= (others => '0');
AHBIn.HREADY <= '1';
AHBIn.HMASTER <= (others => '0');
AHBIn.HMASTLOCK <= '0';
AHBIn.HMBSEL <= (others => '0');
AHBIn.HMBSEL(HMBINDEX) <= '1';
Synchronise(HCLK); -- second clock period
AHBIn.HSEL <= (others => '0');
AHBIn.HSEL(HINDEX)<= '1';
AHBIn.HADDR <= (others => '-');
AHBIn.HWRITE <= '0';
AHBIn.HTRANS <= HTRANS_IDLE;
AHBIn.HWDATA <= ahbdrivedata(Data);
AHBIn.HREADY <= AHBOut.HREADY;
AHBIn.HMBSEL <= (others => '0');
AHBIn.HMBSEL(HMBINDEX) <= '1';
while AHBOut.HREADY='0' loop
Synchronise(HCLK);
end loop;
if AHBOut.HRESP=HRESP_ERROR then
if ScreenOutput then
Write (L, Now, Right, 15);
Write (L, " : " & InstancePath);
Write (L, String'(" : AHB write access, address: "));
HWrite(L, Address);
Write (L, String'(" ERROR response "));
WriteLine(Output, L);
end if;
TP := False;
elsif AHBOut.HRESP=HRESP_RETRY then
if ScreenOutput then
Write (L, Now, Right, 15);
Write (L, " : " & InstancePath);
Write (L, String'(" : AHB write access, address: "));
HWrite(L, Address);
Write (L, String'(" RETRY response "));
WriteLine(Output, L);
end if;
TP := False;
elsif AHBOut.HRESP=HRESP_SPLIT then
if ScreenOutput then
Write (L, Now, Right, 15);
Write (L, " : " & InstancePath);
Write (L, String'(" : AHB write access, address: "));
HWrite(L, Address);
Write (L, String'(" SPLIT response "));
WriteLine(Output, L);
end if;
TP := False;
else
end if;
Synchronise(HCLK); -- end of access
AHBIn.HSEL <= (others => '0');
AHBIn.HADDR <= (others => '-');
AHBIn.HWRITE <= '1';
AHBIn.HTRANS <= HTRANS_IDLE;
AHBIn.HSIZE <= HSIZE_WORD;
AHBIn.HBURST <= HBURST_SINGLE;
AHBIn.HWDATA <= (others => '-');
AHBIn.HPROT <= (others => '0');
AHBIn.HREADY <= '1';
AHBIn.HMASTER <= (others => '0');
AHBIn.HMASTLOCK <= '0';
AHBIn.HMBSEL <= (others => '0');
end procedure AHBWriteQuiet;
-----------------------------------------------------------------------------
-- AMBA AHB write access
-----------------------------------------------------------------------------
procedure AHBWrite(
constant Address: in Std_Logic_Vector(31 downto 0);
constant Data: in Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
signal AHBOut: in AHB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "AHBWrite";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HMBINDEX: in Integer := 0) is
variable OK: Boolean := True;
variable L: Line;
begin
AHBWriteQuiet(Address, Data, HCLK, AHBIn, AHBOut, OK,
InstancePath, False, cBack2Back, HINDEX, HMBINDEX);
if ScreenOutput and OK then
Write (L, Now, Right, 15);
Write (L, " : " & InstancePath);
Write (L, String'(" : AHB write access, address: "));
HWrite(L, Address);
Write (L, String'(" : data: "));
HWrite(L, Data);
WriteLine(Output, L);
elsif not OK then
Write (L, Now, Right, 15);
Write (L, " : " & InstancePath);
Write (L, String'(" : AHB write access, address: "));
HWrite(L, Address);
Write (L, String'(" : ## Failed ##"));
WriteLine(Output, L);
TP := False;
end if;
end procedure AHBWrite;
-----------------------------------------------------------------------------
-- AMBA AHB read access
-----------------------------------------------------------------------------
procedure AHBQuiet(
constant Address: in Std_Logic_Vector(31 downto 0);
variable Data: out Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
signal AHBOut: in AHB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "AHBQuiet";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HMBINDEX: in Integer := 0) is
variable L: Line;
begin
-- do not Synchronise when a back-to-back access is requested
if not cBack2Back then
Synchronise(HCLK);
end if;
AHBIn.HSEL <= (others => '0');
AHBIn.HSEL(HINDEX)<= '1';
AHBIn.HADDR <= Address;
AHBIn.HWRITE <= '0';
AHBIn.HTRANS <= HTRANS_NONSEQ;
AHBIn.HSIZE <= HSIZE_WORD;
AHBIn.HBURST <= HBURST_SINGLE;
AHBIn.HWDATA <= (others => '-');
AHBIn.HPROT <= (others => '0');
AHBIn.HREADY <= '1';
AHBIn.HMASTER <= (others => '0');
AHBIn.HMASTLOCK <= '0';
AHBIn.HMBSEL <= (others => '0');
AHBIn.HMBSEL(HMBINDEX) <= '1';
Synchronise(HCLK); -- second clock period
AHBIn.HSEL <= (others => '0');
AHBIn.HSEL(HINDEX)<= '1';
AHBIn.HADDR <= (others => '-');
AHBIn.HWRITE <= '0';
AHBIn.HTRANS <= HTRANS_IDLE;
AHBIn.HWDATA <= (others => '-');
AHBIn.HREADY <= AHBOut.HREADY;
AHBIn.HMBSEL <= (others => '0');
AHBIn.HMBSEL(HMBINDEX) <= '1';
while AHBOut.HREADY='0' loop
Synchronise(HCLK);
end loop;
Data := AHBOut.HRDATA(31 downto 0);
if AHBOut.HRESP=HRESP_ERROR then
if ScreenOutput then
Write(L, Now, Right, 15);
Write(L, " : " & InstancePath);
Write(L, String'(" : AHB read access, address: "));
HWrite(L, Address);
Write(L, String'(" ERROR response "));
WriteLine(Output, L);
end if;
TP := False;
elsif AHBOut.HRESP=HRESP_RETRY then
if ScreenOutput then
Write(L, Now, Right, 15);
Write(L, " : " & InstancePath);
Write(L, String'(" : AHB read access, address: "));
HWrite(L, Address);
Write(L, String'(" RETRY response "));
WriteLine(Output, L);
end if;
TP := False;
elsif AHBOut.HRESP=HRESP_SPLIT then
if ScreenOutput then
Write(L, Now, Right, 15);
Write(L, " : " & InstancePath);
Write(L, String'(" : AHB read access, address: "));
HWrite(L, Address);
Write(L, String'(" SPLIT response "));
WriteLine(Output, L);
end if;
TP := False;
else
end if;
Synchronise(HCLK); -- end of access
AHBIn.HSEL <= (others => '0');
AHBIn.HADDR <= (others => '-');
AHBIn.HWRITE <= '0';
AHBIn.HTRANS <= HTRANS_IDLE;
AHBIn.HSIZE <= HSIZE_WORD;
AHBIn.HBURST <= HBURST_SINGLE;
AHBIn.HWDATA <= (others => '-');
AHBIn.HPROT <= (others => '0');
AHBIn.HREADY <= '1';
AHBIn.HMASTER <= (others => '0');
AHBIn.HMASTLOCK <= '0';
AHBIn.HMBSEL <= (others => '0');
end procedure AHBQuiet;
-----------------------------------------------------------------------------
-- AMBA AHB read access
-----------------------------------------------------------------------------
procedure AHBRead(
constant Address: in Std_Logic_Vector(31 downto 0);
variable Data: out Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
signal AHBOut: in AHB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "AHBRead";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HMBINDEX: in Integer := 0) is
variable OK: Boolean := True;
variable L: Line;
variable Temp: Std_Logic_Vector(31 downto 0);
begin
AHBQuiet(Address, Temp, HCLK, AHBIn, AHBOut, OK,
InstancePath, False, cBack2Back, HINDEX, HMBINDEX);
if ScreenOutput and OK then
Data := Temp;
Write(L, Now, Right, 15);
Write(L, " : " & InstancePath);
Write(L, String'(" : AHB read access, address: "));
HWrite(L, Address);
Write(L, String'(" : data: "));
HWrite(L, Temp);
WriteLine(Output, L);
elsif OK then
Data := Temp;
else
Write (L, Now, Right, 15);
Write (L, " : " & InstancePath);
Write (L, String'(" : AHB read access, address: "));
HWrite(L, Address);
Write (L, String'(" : ## Failed ##"));
WriteLine(Output, L);
Data := (others => '-');
TP := False;
end if;
end procedure AHBRead;
-----------------------------------------------------------------------------
-- AMBA AHB read access
-----------------------------------------------------------------------------
procedure AHBComp(
constant Address: in Std_Logic_Vector(31 downto 0);
constant CxData: in Std_Logic_Vector(31 downto 0);
variable RxData: out Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBIn: out AHB_Slv_In_Type;
signal AHBOut: in AHB_Slv_Out_Type;
variable TP: inout Boolean;
constant InstancePath: in String := "AHBComp";
constant ScreenOutput: in Boolean := False;
constant cBack2Back: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HMBINDEX: in Integer := 0) is
variable OK: Boolean := True;
variable L: Line;
variable Data: Std_Logic_Vector(31 downto 0);
variable Failed: Boolean;
begin
AHBQuiet(Address, Data, HCLK, AHBIn, AHBOut, OK,
InstancePath, False, cBack2Back, HINDEX, HMBINDEX);
if not OK then
Write (L, Now, Right, 15);
Write (L, " : " & InstancePath);
Write (L, String'(" : AHB read access, address: "));
HWrite(L, Address);
Write (L, String'(" : ## Failed ##"));
WriteLine(Output, L);
TP := False;
RxData := (others => '-');
elsif not Compare(Data, CxData) then
Write(L, Now, Right, 15);
Write(L, " : " & InstancePath);
Write(L, String'(" : AHB read access, address: "));
HWrite(L, Address);
Write(L, String'(" : data: "));
HWrite(L, Data);
Write(L, String'(" : expected: "));
HWrite(L, CxData);
Write(L, String'(" # Error #"));
WriteLine(Output, L);
TP := False;
RxData := Data;
elsif ScreenOutput then
Write(L, Now, Right, 15);
Write(L, " : " & InstancePath);
Write(L, String'(" : AHB read access, address: "));
HWrite(L, Address);
Write(L, String'(" : data: "));
HWrite(L, Data);
WriteLine(Output, L);
RxData := Data;
else
RxData := Data;
end if;
end procedure AHBComp;
-----------------------------------------------------------------------------
-- Behavioural model of memory with AHB interface, no wait states
-----------------------------------------------------------------------------
procedure AHBMemory(
constant gAWidth: in Positive := 15; -- address width
constant gDWidth: in Positive := 8; -- data width
signal HCLK: in Std_ULogic;
signal HRESETn: in Std_ULogic;
signal AHBIn: in AHB_Slv_In_Type;
signal AHBOut: out AHB_Slv_Out_Type;
constant InstancePath: in String := "AHBMemory";
constant ScreenOutput: in Boolean := False;
constant HINDEX: in Integer := 0;
constant HADDR: in Integer := 0;
constant HMASK: in Integer := 16#FFF#) is
-- memory definition
subtype ARange is Natural range 0 to 2**gAWidth-1;
subtype DRange is Natural range 0 to gDWidth-1;
type MType is array (ARange) of Integer;
-- memory initialisation
function Init return MType is
variable r: MType;
begin
for i in ARange loop
r(i) := -1;
end loop;
return r;
end function Init;
variable M: MType;
variable A: Std_Logic_Vector(gAWidth-1 downto 0);
variable D: Std_Logic_Vector(0 to gDWidth-1);
variable W: Std_Logic;
-- reset values
procedure Reset is
begin
AHBOut.HREADY <= '1';
AHBOut.HRESP <= HRESP_OKAY;
AHBOut.HRDATA <= (others => '0');
W := '0';
end procedure Reset;
-- plug&play configuration
constant HCONFIG : ahb_config_type := (
0 => ahb_device_reg (0, 0, 0, gAWidth, 0),
4 => ahb_membar(HADDR, '1', '1', HMASK),
others => zero32);
variable alow : std_logic_vector(1 downto 0);
begin
-- fixed AMBA AHB signals, etc.
AHBOut.HSPLIT <= (others => '0');
AHBOut.HCONFIG <= HCONFIG;
loop
if HRESETn='0' then -- asynchronous reset
Reset;
elsif HCLK'Event and HCLK='1' then -- rising edge
-- data phase
if AHBIn.HREADY='1' then
if W='1' then
alow := A(1 downto 0);
case alow is
when "00" =>
D := AHBIn.HWDATA(31 downto 24);
when "01" =>
D := AHBIn.HWDATA(23 downto 16);
when "10" =>
D := AHBIn.HWDATA(15 downto 8);
when others =>
D := AHBIn.HWDATA( 7 downto 0);
end case;
M(Conv_Integer(A)) := Conv_Integer(D);
W := '0';
end if;
end if;
-- address phase
if AHBIn.HSEL(HINDEX)='1' and
AHBIn.HREADY='1' and
AHBIn.HSIZE=HSIZE_BYTE and
(AHBIn.HTRANS=HTRANS_SEQ or
AHBIn.HTRANS=HTRANS_NONSEQ) and
AHBIn.HMASTLOCK='0' then
W := AHBIn.HWRITE;
A := AHBIn.HADDR(gAWidth-1 downto 0);
AHBOut.HREADY <= '1';
AHBOut.HRESP <= HRESP_OKAY;
D := Conv_Std_Logic_Vector(
M(Conv_Integer(A)), D'Length);
case alow is
when "00" =>
AHBOut.HRDATA(31 downto 24) <= D;
when "01" =>
AHBOut.HRDATA(23 downto 16) <= D;
when "10" =>
AHBOut.HRDATA(15 downto 8) <= D;
when others =>
AHBOut.HRDATA( 7 downto 0) <= D;
end case;
else
w :='0';
AHBOut.HREADY <= '1';
AHBOut.HRESP <= HRESP_OKAY;
end if;
end if;
-- signal sensitivity
wait on HCLK, HRESETn;
end loop;
end procedure AHBMemory;
-----------------------------------------------------------------------------
-- Behavioural model of memory with AHB interface, no wait states
-----------------------------------------------------------------------------
procedure AHBMemory32(
constant gAWidth: in Positive := 18; -- address width
signal HCLK: in Std_ULogic;
signal HRESETn: in Std_ULogic;
signal AHBIn: in AHB_Slv_In_Type;
signal AHBOut: out AHB_Slv_Out_Type;
constant InstancePath: in String := "AHBMemory32";
constant ScreenOutput: in Boolean := False;
constant FileName: in String := ""; -- File name
constant HINDEX: in Integer := 0;
constant HADDR: in Integer := 0;
constant HMASK: in Integer := 16#FFF#) is
-- memory definition
type MType is array (0 to 2**(gAWidth-2)-1) of
Std_Logic_Vector(31 downto 0);
--------------------------------------------------------------------------
-- Load memory contents
--------------------------------------------------------------------------
-- ## Does not warn if there is insufficient data in a line.
-- Address read from file is always byte oriented, always 32 bit wide
-- For 16 and 32 bit wide data, each data word read from file must be on a
-- single line and without white space between the characters. For 8 bit
-- wide date, no restrictions apply. Files generated for 32 bit wide data
-- can always be read by 16 or 8 bit memories. The byte/halfwrod address
-- is incremented internally.
--------------------------------------------------------------------------
-- -----------------------------------------------------------------------
-- -- PROM Initialisation Example
-- -----------------------------------------------------------------------
-- -- Supports by 8, 16, 32 bit wide memories
-- 00000000 00010203
-- 00000004 04050607 08090A0B
-- 0000000C 0C0D0E0F
--
-- -- Supported by 8, 16 bit wide memories
-- 00000010 1011 1213
-- 00000014 1415
-- 00000016 1617 1819 1A1B 1C1D 1E1F 2021
-- 00000022 2223 2425 2627 2829 2A2B 2C2D 2E2F
--
-- -- Supported by 8 bit wide memories
-- 00000030 30 31 32 33 3435 3637 3839 3A3B 3C3D 3E3F
-- 00000040 40
-- 00000041 41
-- 00000042 42 43
-- 00000044 4445
-- 00000046 46474849
-- 0000004A 4A4B 4C4D4E4F
--------------------------------------------------------------------------
impure function Initialise(
constant FileName: in String := "";
constant AWidth: in Natural;
constant DWidth: in Natural)
return MType is
variable L: Line;
variable Address: Std_Logic_Vector(31 downto 0);
variable Data: Std_Logic_Vector(31 downto 0);
variable Byte: Std_Logic_Vector( 7 downto 0);
variable Addr: Natural range 0 to 2**AWidth-1;
file ReadFile: Text;
variable Test: Boolean;
variable Result: MType;
begin
-- initialse all data to all zeros
Result := (others => (others => 'U'));
-- load contents from file only if a file name has been provided
if FileName /= "" then
File_Open(ReadFile, FileName, Read_Mode);
-- read data from file
while not EndFile(ReadFile) loop
-- read line
ReadLine(ReadFile, L);
-- read address, always byte oriented, always 32 bit wide
HRead(L, Address, Test);
if Test then -- address read
-- check whether byte address aligned with data width
if Conv_Integer(Address) mod (DWidth/8) /= 0 then
report "Unaligned data in memory initalisation file: " &
FileName
severity Failure;
Test := False;
else -- convert address
-- adapt byte address to address corresponding to the data
-- width of the memory
Addr := (Conv_Integer(Address)/(DWidth/8)) mod
(2**AWidth);
end if;
else -- comment detected
null;
end if;
while Test loop
-- read data
HRead(L, Data(DWidth-1 downto 0), Test);
if Test then
-- initialize memory element
Result(Addr) := Data(DWidth-1 downto 0);
-- increment address, with the memory width
Addr := (Addr + 1) mod (2**AWidth);
end if;
end loop;
end loop;
File_Close(ReadFile);
end if;
return Result;
end function Initialise;
-- memory contents
variable M: MType := Initialise(FileName, gAWidth-2, 32);
variable A: Std_Logic_Vector(gAWidth-1 downto 2);
variable W: Std_Logic;
-- reset values
procedure Reset is
begin
AHBOut.HREADY <= '1';
AHBOut.HRESP <= HRESP_OKAY;
AHBOut.HRDATA <= (others => '0');
W := '0';
end procedure Reset;
-- plug&play configuration
constant HCONFIG : ahb_config_type := (
0 => ahb_device_reg (0, 0, 0, gAWidth, 0),
4 => ahb_membar(HADDR, '1', '1', HMASK),
others => zero32);
begin
-- fixed AMBA AHB signals, etc.
AHBOut.HSPLIT <= (others => '0');
AHBOut.HCONFIG <= HCONFIG;
loop
if HRESETn='0' then -- asynchronous reset
Reset;
elsif HCLK'Event and HCLK='1' then -- rising edge
-- data phase
if AHBIn.HREADY='1' then
if W='1' then
M(Conv_Integer(A)) := AHBIn.HWDATA(31 downto 0);
W := '0';
end if;
end if;
-- address phase
if AHBIn.HSEL(HINDEX)='1' and
AHBIn.HREADY='1' and
AHBIn.HSIZE=HSIZE_WORD and
(AHBIn.HTRANS=HTRANS_SEQ or
AHBIn.HTRANS=HTRANS_NONSEQ) and
AHBIn.HMASTLOCK='0' then
W := AHBIn.HWRITE;
A := AHBIn.HADDR(gAWidth-1 downto 2);
AHBOut.HREADY <= '1';
AHBOut.HRESP <= HRESP_OKAY;
AHBOut.HRDATA <= ahbdrivedata(M(Conv_Integer(A)));
else
W :='0';
AHBOut.HREADY <= '1';
AHBOut.HRESP <= HRESP_OKAY;
end if;
end if;
-- signal sensitivity
wait on HCLK, HRESETn;
end loop;
end procedure AHBMemory32;
-----------------------------------------------------------------------------
-- Behavioural model of memory with AHB interface, no wait states
-- Supporting byte, halfword and word read/write accesses.
-- Provices diagnostic support.
-----------------------------------------------------------------------------
procedure AHBMemory32(
constant gAWidth: in Positive := 18; -- address width
signal HCLK: in Std_ULogic;
signal HRESETn: in Std_ULogic;
signal AHBIn: in AHB_Slv_In_Type;
signal AHBOut: out AHB_Slv_Out_Type;
signal AHBInDiag: in AHB_Diagnostics_In_Type;
signal AHBOutDiag: out AHB_Diagnostics_Out_Type;
constant InstancePath: in String := "AHBMemory32";
constant ScreenOutput: in Boolean := False;
constant FileName: in String := ""; -- File name
constant HINDEX: in Integer := 0;
constant HADDR: in Integer := 0;
constant HMASK: in Integer := 16#FFF#) is
-- memory definition
type MType is array (0 to 2**(gAWidth-2)-1) of
Std_Logic_Vector(31 downto 0);
variable L: Line;
constant Padding: Std_ULogic_Vector(1 to
(4-((gAWidth-2) mod 4))) :=
(others => '0');
--------------------------------------------------------------------------
-- Load memory contents
--------------------------------------------------------------------------
-- ## Does not warn if there is insufficient data in a line.
-- Address read from file is always byte oriented, always 32 bit wide
-- For 16 and 32 bit wide data, each data word read from file must be on a
-- single line and without white space between the characters. For 8 bit
-- wide date, no restrictions apply. Files generated for 32 bit wide data
-- can always be read by 16 or 8 bit memories. The byte/halfwrod address
-- is incremented internally.
--------------------------------------------------------------------------
-- -----------------------------------------------------------------------
-- -- PROM Initialisation Example
-- -----------------------------------------------------------------------
-- -- Supports by 8, 16, 32 bit wide memories
-- 00000000 00010203
-- 00000004 04050607 08090A0B
-- 0000000C 0C0D0E0F
--
-- -- Supported by 8, 16 bit wide memories
-- 00000010 1011 1213
-- 00000014 1415
-- 00000016 1617 1819 1A1B 1C1D 1E1F 2021
-- 00000022 2223 2425 2627 2829 2A2B 2C2D 2E2F
--
-- -- Supported by 8 bit wide memories
-- 00000030 30 31 32 33 3435 3637 3839 3A3B 3C3D 3E3F
-- 00000040 40
-- 00000041 41
-- 00000042 42 43
-- 00000044 4445
-- 00000046 46474849
-- 0000004A 4A4B 4C4D4E4F
--------------------------------------------------------------------------
impure function Initialise(
constant FileName: in String := "";
constant AWidth: in Natural;
constant DWidth: in Natural)
return MType is
variable L: Line;
variable Address: Std_Logic_Vector(31 downto 0);
variable Data: Std_Logic_Vector(31 downto 0);
variable Byte: Std_Logic_Vector( 7 downto 0);
variable Addr: Natural range 0 to 2**AWidth-1;
file ReadFile: Text;
variable Test: Boolean;
variable Result: MType;
begin
-- initialse all data to all zeros
Result := (others => (others => 'U'));
-- load contents from file only if a file name has been provided
if FileName /= "" then
File_Open(ReadFile, FileName, Read_Mode);
-- read data from file
while not EndFile(ReadFile) loop
-- read line
ReadLine(ReadFile, L);
-- read address, always byte oriented, always 32 bit wide
HRead(L, Address, Test);
if Test then -- address read
-- check whether byte address aligned with data width
if Conv_Integer(Address) mod (DWidth/8) /= 0 then
report "Unaligned data in memory initalisation file: " &
FileName
severity Failure;
Test := False;
else -- convert address
-- adapt byte address to address corresponding to the data
-- width of the memory
Addr := (Conv_Integer(Address)/(DWidth/8)) mod
(2**AWidth);
end if;
else -- comment detected
null;
end if;
while Test loop
-- read data
HRead(L, Data(DWidth-1 downto 0), Test);
if Test then
-- initialize memory element
Result(Addr) := Data(DWidth-1 downto 0);
-- increment address, with the memory width
Addr := (Addr + 1) mod (2**AWidth);
end if;
end loop;
end loop;
File_Close(ReadFile);
end if;
return Result;
end function Initialise;
-- memory contents
variable M: MType := Initialise(FileName, gAWidth-2, 32);
variable A: Std_Logic_Vector(gAWidth-1 downto 2);
variable B: Std_Logic_Vector(1 downto 0);
variable W: Std_Logic;
variable S: Std_Logic_Vector(2 downto 0);
variable D: Std_Logic_Vector(31 downto 0);
variable twocycle:Boolean := False;
-- reset values
procedure Reset is
begin
AHBOut.HREADY <= '1';
AHBOut.HRESP <= HRESP_OKAY;
AHBOut.HRDATA <= (others => '0');
W := '0';
twocycle := False;
end procedure Reset;
-- plug&play configuration
constant HCONFIG : ahb_config_type := (
0 => ahb_device_reg (0, 0, 0, gAWidth, 0),
4 => ahb_membar(HADDR, '1', '1', HMASK),
others => zero32);
begin
-- fixed AMBA AHB signals, etc.
AHBOut.HSPLIT <= (others => '0');
AHBOut.HCONFIG <= HCONFIG;
loop
if HRESETn='0' then -- asynchronous reset
Reset;
elsif HCLK'Event and HCLK='1' then -- rising edge
-- data phase
if AHBIn.HREADY='1' then
if W='1' then
-- read back memory
D := M(Conv_Integer(A));
-- replace with new data
if S="000" then -- byte
if B(1 downto 0)="00" then
D := AHBIn.HWDATA(31 downto 24) &
D(23 downto 0);
elsif B(1 downto 0)="01" then
D := D(31 downto 24) &
AHBIn.HWDATA(23 downto 16) &
D(15 downto 0);
elsif B(1 downto 0)="10" then
D := D(31 downto 16) &
AHBIn.HWDATA(15 downto 8) &
D(7 downto 0);
elsif B(1 downto 0)="11" then
D := D(31 downto 8) &
AHBIn.HWDATA(7 downto 0);
end if;
elsif S="001" then -- halfword
if B(1 downto 0)="00" then
D := AHBIn.HWDATA(31 downto 16) &
D(15 downto 0);
elsif B(1 downto 0)="10" then
D := D(31 downto 16) &
AHBIn.HWDATA(15 downto 0);
end if;
else
D := AHBIn.HWDATA(31 downto 0);
end if;
-- write back memory
M(Conv_Integer(A)) := D;
W := '0';
-- comment
if ScreenOutput then
Write(L, Now, Right, 15);
Write(L, " : " & InstancePath & " Write acces to address :");
if Padding'Length > 0 and Padding'Length < 4 then
HWrite(L, Std_Logic_Vector(Padding) & Std_Logic_Vector(A));
else
HWrite(L, Std_Logic_Vector(A));
end if;
Write(L, String'(" data :"));
HWrite(L, D);
Write(L, String'(" data :"));
Write(L, To_BitVector(D));
Write(L, String'(" size :"));
HWrite(L, "0" & S);
WriteLine(Output, L);
end if;
end if;
end if;
-- address phase
if AHBIn.HSEL(HINDEX)='1' and
AHBIn.HREADY='1' and
(AHBIn.HSIZE=HSIZE_BYTE or
AHBIn.HSIZE=HSIZE_HWORD or
AHBIn.HSIZE=HSIZE_WORD) and
(AHBIn.HTRANS=HTRANS_SEQ or
AHBIn.HTRANS=HTRANS_NONSEQ) and
AHBIn.HMASTLOCK='0' then
if AHBInDiag.HRESP=HRESP_OKAY then
W := AHBIn.HWRITE;
S := AHBIn.HSIZE;
B := AHBIn.HADDR( 1 downto 0);
A := AHBIn.HADDR(gAWidth-1 downto 2);
AHBOut.HREADY <= '1';
AHBOut.HRESP <= HRESP_OKAY;
AHBOut.HRDATA <= ahbdrivedata(M(Conv_Integer(A)));
elsif AHBInDiag.HRESP=HRESP_RETRY then
W :='0';
AHBOut.HREADY <= '0';
AHBOut.HRESP <= HRESP_RETRY;
AHBOut.HRDATA <= (others => 'X');
twocycle := True;
elsif AHBInDiag.HRESP=HRESP_SPLIT then
W :='0';
AHBOut.HREADY <= '0';
AHBOut.HRESP <= HRESP_SPLIT;
AHBOut.HRDATA <= (others => 'X');
twocycle := True;
else
W :='0';
AHBOut.HREADY <= '0';
AHBOut.HRESP <= HRESP_ERROR;
AHBOut.HRDATA <= (others => 'X');
twocycle := True;
end if;
else
W :='0';
AHBOut.HREADY <= '1';
if twocycle then
twocycle := False;
else
AHBOut.HRESP <= HRESP_OKAY;
end if;
end if;
end if;
if HCLK'Event and HCLK='1' then -- rising edge
-- diagnostics
AHBOutDiag.HRData <= M((Conv_Integer(AHBInDiag.HAddr)/4) mod (2**(gAWidth-2)));
if AHBInDiag.HWrite='1' then
M((Conv_Integer(AHBInDiag.HAddr)/4) mod (2**(gAWidth-2))) := AHBInDiag.HWData;
-- Print("Diagnostic write to memory, address: " &
-- Integer'Image(Conv_Integer(AHBInDiag.HAddr)) &
-- " data: " &
-- Integer'Image(Conv_Integer(AHBInDiag.HWData)));
end if;
AHBOut.HSPLIT <= AHBInDiag.HSplit;
end if;
-- signal sensitivity
wait on HCLK, HRESETn;
end loop;
end procedure AHBMemory32;
-----------------------------------------------------------------------------
-- Routine for writig data directly to AHB memory
-----------------------------------------------------------------------------
procedure WrAHBMem32(
constant Addr: in Std_Logic_Vector(31 downto 0);
constant Data: in Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBInDiag: out AHB_Diagnostics_In_Type;
signal AHBOutDiag: in AHB_Diagnostics_Out_Type;
variable TP: inout Boolean;
constant Comment: in String := "";
constant Screen: in Boolean := False) is
variable L: Line;
begin
Synchronise(HCLK);
if Screen then
Write(L, Now, Right, 15);
Write(L, String'(" : WrAHBMem32: "));
HWrite(L, Std_Logic_Vector(Addr));
Write(L, String'(" : "));
HWrite(L, Std_Logic_Vector(Data));
if Comment /= "" then
Write(L, " : " & Comment);
end if;
WriteLine(Output, L);
end if;
AHBInDiag.HAddr <= Addr;
AHBInDiag.HWData <= Data;
AHBInDiag.HWrite <= '1';
Synchronise(HCLK);
AHBInDiag.HWrite <= '0';
end procedure WrAHBMem32;
-----------------------------------------------------------------------------
-- Routine for reading data directly from AHB memory
-----------------------------------------------------------------------------
procedure RdAHBMem32(
constant Addr: in Std_Logic_Vector(31 downto 0);
variable Data: out Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBInDiag: out AHB_Diagnostics_In_Type;
signal AHBOutDiag: in AHB_Diagnostics_Out_Type;
variable TP: inout Boolean;
constant Comment: in String := "";
constant Screen: in Boolean := False) is
variable L: Line;
begin
Synchronise(HCLK);
AHBInDiag.HAddr <= Addr;
AHBInDiag.HWrite <= '0';
Synchronise(HCLK);
Data := AHBOutDiag.HRData;
if Screen then
Write(L, Now, Right, 15);
Write(L, String'(" : RdAHBMem32: "));
HWrite(L, Std_Logic_Vector(Addr));
Write(L, String'(" : "));
HWrite(L, Std_Logic_Vector(AHBOutDiag.HRData));
if Comment /= "" then
Write(L, " : " & Comment);
end if;
WriteLine(Output, L);
end if;
end procedure RdAHBMem32;
-----------------------------------------------------------------------------
-- Routine for reading data directly from AHB memory
-----------------------------------------------------------------------------
procedure RcAHBMem32(
constant Addr: in Std_Logic_Vector(31 downto 0);
constant Expected: in Std_Logic_Vector(31 downto 0);
signal HCLK: in Std_ULogic;
signal AHBInDiag: out AHB_Diagnostics_In_Type;
signal AHBOutDiag: in AHB_Diagnostics_Out_Type;
variable TP: inout Boolean;
constant Comment: in String := "";
constant Screen: in Boolean := False) is
variable Data: Std_Logic_Vector(31 downto 0);
variable L: Line;
begin
Synchronise(HCLK);
AHBInDiag.HAddr <= Addr;
AHBInDiag.HWrite <= '0';
Synchronise(HCLK);
Data := AHBOutDiag.HRData;
if not Compare(Data, Expected) then
Write(L, Now, Right, 15);
Write(L, String'(" : RcAHBMem32: "));
HWrite(L, Std_Logic_Vector(Addr));
Write(L, String'(", value: "));
HWrite(L, Std_Logic_Vector(Data));
Write(L, String'(", expected: "));
HWrite(L, Std_Logic_Vector(Expected));
Write(L, String'(" # Error "));
if Comment /= "" then
Write(L, " : " & Comment);
end if;
WriteLine(Output, L);
TP := False;
elsif Screen then
Write(L, Now, Right, 15);
Write(L, String'(" : RcAHBMem32: "));
HWrite(L, Std_Logic_Vector(Addr));
Write(L, String'(" : "));
HWrite(L, Std_Logic_Vector(Data));
Write(L, String'(" : "));
HWrite(L, Std_Logic_Vector(Expected));
if Comment /= "" then
Write(L, " : " & Comment);
end if;
WriteLine(Output, L);
end if;
end procedure RcAHBMem32;
-----------------------------------------------------------------------------
-- Routine for generating a split ack from AHB memory
-----------------------------------------------------------------------------
procedure SplitAHBMem32(
constant Split: in Integer range 0 to NAHBMST-1;
signal HCLK: in Std_ULogic;
signal AHBInDiag: out AHB_Diagnostics_In_Type;
signal AHBOutDiag: in AHB_Diagnostics_Out_Type;
variable TP: inout Boolean;
constant Comment: in String := "";
constant Screen: in Boolean := False) is
variable L: Line;
begin
Synchronise(HCLK);
AHBInDiag.HSPLIT <= (others => '0');
AHBInDiag.HSPLIT(Split) <= '1';
Synchronise(HCLK);
AHBInDiag.HSPLIT <= (others => '0');
if Screen then
Write(L, Now, Right, 15);
Write(L, String'(" : SplitAHBMem32: split acknowledge to master: "));
Write(L, Split);
if Comment /= "" then
Write(L, " : " & Comment);
end if;
WriteLine(Output, L);
end if;
end procedure SplitAHBMem32;
end package body AMBA_TestPackage; --=========================================--
|
-------------------------------------------------------------------------------
-- $Id: ipif_control_rd.vhd,v 1.1.2.1 2009/10/06 21:15:00 gburch Exp $
-------------------------------------------------------------------------------
--ipif_control_rd.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_control_rd.vhd
--
-- Description: This VHDL design file is for the Point Design of the Mauna
-- Loa Read Packet FIFO IPIF Local Bus Interface control
-- block.
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ipif_control_rd.vhd
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- Doug Thorpe March 19,2001 -- V1.00a
--
-- Doug Thorpe June 08-12,2001 -- V1.00b
-- - Corrected an error condition where the FIFO2Bus_Error was getting set
-- at the end of a legitimate burst read operation. If the RdFIFO goes
-- empty after the initiation of the read (at least one FIFO2Bus_RdAck
-- has been issued), an 'Empty' condition causes only an inhibit of the
-- FIFO2Bus_RdAck signal.
-- - Fixed the implimentation of the MIR inclusion/occlusion through the
-- use of if--generate clauses.
--
-- DET June 25, 2001 V1.00c
-- - Removed redundant logic assignments flagged by
-- Synplicity
--
-- DET July 20, 2001
-- - Changed the C_MIR_ENABLE type to Boolean from std_logic.
--
-- DET Aug 20, 2001 Version v1.01a
-- - Platform Generator Compliancy modifications
--
-- DET Sept 17, 2001
-- - Size optimization changes
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
-------------------------------------------------------------------------------
entity ipif_control_rd is
Generic (
C_MIR_ENABLE : Boolean := true;
-- Enable for MIR synthesis (default for disable)
C_BLOCK_ID : integer range 0 to 255 := 255;
-- Platform Generator assigned ID number
C_INTFC_TYPE : integer range 0 to 31 := 1;
-- IPIF block protocol Type
C_VERSION_MAJOR : integer range 0 to 9 := 1;
-- Major versioning of top level design
C_VERSION_MINOR : integer range 0 to 99 := 2;
-- Minor Version of top level design
C_VERSION_REV : integer range 0 to 26 := 0;
-- Revision letter of top level design
C_FIFO_WIDTH : Integer := 32;
-- Width of FIFO data in bits
C_DP_ADDRESS_WIDTH : Integer := 9;
-- Indicates address width of RdFIFO memory
-- (= log2(fifo_depth)
C_SUPPORT_BURST : Boolean := true;
-- Indicates read burst support for the IPIF bus
C_IPIF_DBUS_WIDTH : Integer := 32
-- Width of the IPIF data bus in bits
);
port (
-- Inputs From the IPIF Bus
Bus_rst : In std_logic; -- Master Reset from the IPIF
Bus_Clk : In std_logic; -- Master timing clock from the IPIF
Bus_RdReq : In std_logic;
Bus_WrReq : In std_logic;
Bus2FIFO_RdCE1 : In std_logic;
Bus2FIFO_RdCE2 : In std_logic;
Bus2FIFO_RdCE3 : In std_logic;
Bus2FIFO_WrCE1 : In std_logic;
Bus2FIFO_WrCE2 : In std_logic;
Bus2FIFO_WrCE3 : In std_logic;
Bus_DBus : In std_logic_vector(C_IPIF_DBUS_WIDTH-4 to
C_IPIF_DBUS_WIDTH-1);
-- Inputs from the FIFO Interface Logic
Fifo_rd_data : In std_logic_vector(0 to C_FIFO_WIDTH-1);
BRAMFifo_RdAck : In std_logic;
SRLFifo_RdAck : In std_logic;
Occupancy : In std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
AlmostEmpty : In std_logic;
Empty : In std_logic;
Deadlock : In std_logic;
-- Outputs to the FIFO
Fifo_rst : Out std_logic;
BRAMFifo_RdReq : Out std_logic;
SRLFifo_RdReq : Out std_logic;
Fifo_burst_rd_xfer : Out std_logic;
-- Outputs to the IPIF Bus
FIFO2IRPT_DeadLock : Out std_logic;
FIFO2Bus_DBus : Out std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
FIFO2Bus_WrAck : Out std_logic;
FIFO2Bus_RdAck : Out std_logic;
FIFO2Bus_Error : Out std_logic;
FIFO2Bus_Retry : Out std_logic;
FIFO2Bus_ToutSup : Out std_logic
);
end ipif_control_rd ;
-------------------------------------------------------------------------------
architecture implementation of ipif_control_rd is
-- FUNCTIONS
-----------------------------------------------------------------------------
-- Function set_fwidth
--
-- This function is used to set the value of FIFO width status
-- field based on the setting of the width parameter.
-----------------------------------------------------------------------------
function set_fwidth (fifo_width : integer) return integer is
constant byte_lane_num : Integer := (fifo_width+7)/8;
Variable enc_size : Integer := 0;
begin
case byte_lane_num is
when 0|1 =>
enc_size := 1;
when 2 =>
enc_size := 2;
when 3 | 4 =>
enc_size := 3;
when 5|6|7|8 =>
enc_size := 4;
When 9|10|11|12|13|14|15|16 =>
enc_size := 5;
when others =>
enc_size := 6;
end case;
return(enc_size);
end function set_fwidth;
-- COMPONENTS
-- No components
--TYPES
-- no types
-- CONSTANTS
-- Module Software Reset screen value for write data
Constant RESET_MATCH : std_logic_vector(0 to 3) := "1010";
-- This requires a Hex 'A' to be written
-- to ativate the S/W reset port
-- general use constants
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
-- Bus Width Matching constant
Constant ENC_FIFO_WIDTH : integer := set_fwidth(C_FIFO_WIDTH);
--INTERNAL SIGNALS
signal bus_data_out : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
Signal sw_reset_error : std_logic;
signal reg_occupancy : std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
Signal reg_almostempty : std_logic;
Signal reg_empty : std_logic;
Signal reg_deadlock : std_logic;
Signal reg_rdce2 : std_logic;
Signal reg_wrce1 : std_logic;
Signal reg_rdreq : std_logic;
Signal read_ack : std_logic;
Signal reg_read_ack : std_logic;
Signal write_ack : std_logic;
Signal rd_access_error : std_logic;
Signal wr_access_error : std_logic;
Signal burst_rd_xfer : std_logic;
Signal read_req : std_logic;
Signal reg_read_req : std_logic;
Signal write_req : std_logic;
Signal fifo_rd_req : std_logic;
Signal fifo_errack_inhibit : std_logic;
Signal rd_vect : std_logic_vector(0 to 3);
Signal sig_srl_rdack : std_logic;
Signal sig_bram_rdack : std_logic;
Signal sig_rst_match : std_logic;
Signal sig_rst_vect : std_logic_vector(0 to 1);
Signal sig_fifo_rd_data : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
-------------------------------------------------------------------------------
---------- start architecture logic -------------------------------------------
begin
-- General access detection (used to terminate reply signal to the Bus)
read_req <= (Bus2FIFO_RdCE1 or Bus2FIFO_RdCE2 or Bus2FIFO_RdCE3);
write_req <= (Bus2FIFO_WrCE1 or Bus2FIFO_WrCE2 or Bus2FIFO_WrCE3);
-- I/O assignments
FIFO2Bus_DBus <= bus_data_out;
FIFO2Bus_ToutSup <= LOGIC_LOW; -- output signal not currently used so
-- drive low .
FIFO2Bus_Retry <= LOGIC_LOW; -- output signal not currently used so
-- drive low.
FIFO2Bus_WrAck <= write_ack and write_req; -- connect the write
-- acknowledge (drive only
-- if a request is present)
FIFO2Bus_RdAck <= read_ack and read_req; -- connect the read
-- acknowledge (drive only if
-- a request is present)
FIFO2Bus_Error <= (sw_reset_error or
rd_access_error or
wr_access_error) and
(read_req or write_req);
FIFO2IRPT_DeadLock <= Deadlock;
BRAMFifo_RdReq <= Bus_RdReq and Bus2FIFO_RdCE3; -- Read Request to BRAM
-- based FIFO.
SRLFifo_RdReq <= reg_rdreq and Bus2FIFO_RdCE3; -- Read Request to SRL
-- based FIFO
Fifo_burst_rd_xfer <= burst_rd_xfer; -- Burst detect signal to FIFO read
-- controller
sig_srl_rdack <= SRLFifo_RdAck;
sig_bram_rdack <= BRAMFifo_RdAck;
------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- The FIFO data bus width is smaller than the IPIF data bus width so connect
-- the smaller FIFO data to LSB position of data bus to IPIF interface and
-- set the remaining data bus bits to zeroes.
-------------------------------------------------------------------------------
BUS_BIGGER_THAN_FIFO : if (C_IPIF_DBUS_WIDTH > C_FIFO_WIDTH) generate
CONNECT_DBUS : process (fifo_rd_data)
Begin
sig_fifo_rd_data <= (others => '0'); --default bus state
for j in 0 to C_FIFO_WIDTH-1 loop
sig_fifo_rd_data(C_IPIF_DBUS_WIDTH-C_FIFO_WIDTH+j)
<= fifo_rd_data(j);
End loop;
End process; -- CONNECT_DBUS
end generate BUS_BIGGER_THAN_FIFO;
-------------------------------------------------------------------------------
-- The FIFO data bus width is equal to the IPIF data bus width so connect
-- the FIFO data to IPIF data interface.
-------------------------------------------------------------------------------
BUS_EQUAL_TO_FIFO : if (C_IPIF_DBUS_WIDTH = C_FIFO_WIDTH) generate
sig_fifo_rd_data <= fifo_rd_data;
end generate BUS_EQUAL_TO_FIFO;
-------------------------------------------------------------------------------
-- The FIFO data bus width is bigger than the IPIF data bus width !!BAD!!!
-- Connect the LSBits of the FIFO data to the IPIF data bus interface,
-- Don't use (truncate) the MSBits of the FIFO data spilling over the IPIF
-- data bus width.
-------------------------------------------------------------------------------
BUS_SMALLER_THAN_FIFO : if (C_IPIF_DBUS_WIDTH < C_FIFO_WIDTH) generate
CONNECT_DBUS : process (fifo_rd_data)
Begin
for j in C_IPIF_DBUS_WIDTH-1 downto 0 loop
sig_fifo_rd_data(j) <= fifo_rd_data(C_FIFO_WIDTH-
C_IPIF_DBUS_WIDTH+j);
End loop;
End process; -- CONNECT_DBUS
end generate BUS_SMALLER_THAN_FIFO;
------------------------------------------------------------------------------
-- Register the input chip enables
------------------------------------------------------------------------------
REGISTER_CHIP_ENABLES : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
reg_rdce2 <= '0';
reg_wrce1 <= '0';
reg_rdreq <= '0';
reg_read_req <= '0';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1') Then
reg_rdce2 <= Bus2FIFO_RdCE2;
reg_wrce1 <= Bus2FIFO_WrCE1;
reg_rdreq <= Bus_RdReq;
reg_read_req <= read_req;
Else
null;
End if;
End process; -- REGISTER_CHIP_ENABLES
INCLUDE_BURST : if (C_SUPPORT_BURST = true) generate
--burst_rd_xfer <= reg_rdreq and Bus_RdReq;
-------------------------------------------------------------------------
-- This process detects the completion of at least one valid FIFO data
-- read cycle during a burst read.
-------------------------------------------------------------------------
GEN_ERRACK_INHIB : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
fifo_errack_inhibit <= '0';
burst_rd_xfer <= '0';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1' ) Then
burst_rd_xfer <= reg_rdreq and Bus_RdReq;
If (Bus2FIFO_RdCE3 = '1' and sig_bram_rdack = '1') Then
fifo_errack_inhibit <= '1';
Elsif (Bus2FIFO_RdCE3 = '1' and sig_srl_rdack = '1') Then
fifo_errack_inhibit <= '1';
Elsif (Bus2FIFO_RdCE3 = '0') Then
fifo_errack_inhibit <= '0';
else
null;
End if;
else
null;
End if;
End process; -- GEN_ERRACK_INHIB
end generate INCLUDE_BURST;
OMIT_BURST : if (C_SUPPORT_BURST = false) generate
burst_rd_xfer <= '0';
fifo_errack_inhibit <= '0';
end generate OMIT_BURST;
-------------------------------------------------------------------------------
-- Assemble and latch the FIFO status register fields
-------------------------------------------------------------------------------
GET_STATUS : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
reg_occupancy <= (others => '0');
reg_deadlock <= '0';
reg_almostempty <= '0';
reg_empty <= '1';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1') Then
If (reg_rdce2 = '1') Then -- hold last value registered during
-- read operation.
null;
else -- register new status every clock
reg_occupancy <= Occupancy ;
reg_deadlock <= Deadlock ;
reg_almostempty <= AlmostEmpty ;
reg_empty <= Empty ;
End if;
else
null; -- do nothing
End if;
End process; -- GET_STATUS
sig_rst_match <= Bus_DBus(C_IPIF_DBUS_WIDTH-4)
and not(Bus_DBus(C_IPIF_DBUS_WIDTH-3))
and Bus_DBus(C_IPIF_DBUS_WIDTH-2)
and not(Bus_DBus(C_IPIF_DBUS_WIDTH-1));
sig_rst_vect <= sig_rst_match & Bus2FIFO_WrCE1;
------------------------------------------------------------------------------
-- Generate the S/W reset as a result of an IPIF Bus write to register
-- port 1 and data on the DBus inputs matching the Reset match value.
------------------------------------------------------------------------------
GENERATE_SOFTWARE_RESET : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
Fifo_rst <= '1';
sw_reset_error <= '0';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1') Then
Case sig_rst_vect Is
When "11" =>
Fifo_rst <= '1';
sw_reset_error <= '0';
When "01" =>
Fifo_rst <= '0';
sw_reset_error <= '1';
When others =>
Fifo_rst <= '0';
sw_reset_error <= '0';
End case;
Else
null;
End if;
End process; -- GENERATE_SOFTWARE_RESET
-- Synthesis for MIR inclusion ------------------------------------------------
Include_MIR :if (C_MIR_ENABLE = True) generate
signal mir_value : std_logic_vector(0 to 31);
Signal mir_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
Signal status_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
begin
----------------------------------------------------------------------------
-- assemble the MIR fields from the Applicable Generics and Constants
-- Conversion to std_logic_vector is required
----------------------------------------------------------------------------
mir_value(0 to 3) <= CONV_STD_LOGIC_VECTOR(C_VERSION_MAJOR, 4);
mir_value(4 to 10) <= CONV_STD_LOGIC_VECTOR(C_VERSION_MINOR, 7);
mir_value(11 to 15) <= CONV_STD_LOGIC_VECTOR(C_VERSION_REV, 5);
mir_value(16 to 23) <= CONV_STD_LOGIC_VECTOR(C_BLOCK_ID, 8);
mir_value(24 to 31) <= CONV_STD_LOGIC_VECTOR(C_INTFC_TYPE, 8);
BUS_LEQ_32 : if (C_IPIF_DBUS_WIDTH <= 32) generate
begin
BUILD_MIR_BUS : process (mir_value)
Begin
for j in 0 to C_IPIF_DBUS_WIDTH-1 loop
mir_bus(j) <= mir_value((32-C_IPIF_DBUS_WIDTH)+j);
End loop;
End process; -- BUILD_MIR_BUS
end generate BUS_LEQ_32;
BUS_GT_32 : if (C_IPIF_DBUS_WIDTH > 32) generate
begin
BUILD_MIR_BUS : process (mir_value)
Begin
mir_bus <= (others => '0'); -- default bus values
for j in 0 to 31 loop
mir_bus((C_IPIF_DBUS_WIDTH-32)+j) <= mir_value(j);
End loop;
End process; -- BUILD_MIR_BUS
end generate BUS_GT_32;
----------------------------------------------------------------------------
-- The IPIF DBUS is larger than 32 bits in width. Place the 32 bit status
-- word on the 32 LSBits of the data bus.
-- Do not scale the vacancy value down.
-- Note status_bus bit 3 is not set, signaling a complete vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_BIG : if (C_IPIF_DBUS_WIDTH >= 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
-- set Encoded FIFO data width
--status_bus(C_IPIF_DBUS_WIDTH-28 to C_IPIF_DBUS_WIDTH-26)
-- <= CONV_STD_LOGIC_VECTOR(ENC_FIFO_WIDTH,3);
-- occupancy is not scaled
status_bus(C_IPIF_DBUS_WIDTH-29) <= '0' ;
status_bus(C_IPIF_DBUS_WIDTH-30) <= reg_deadlock ;
status_bus(C_IPIF_DBUS_WIDTH-31) <= reg_almostempty;
status_bus(C_IPIF_DBUS_WIDTH-32) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_BIG;
----------------------------------------------------------------------------
-- The IPIF DBUS is of sufficient width to contain the complete status
-- information so do not scale the occupancy value down.
-- Note status_bus bit 3 is not set, signaling a complete occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_FIT : if (C_IPIF_DBUS_WIDTH >= C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
-- set Encoded FIFO data width
--status_bus(4 to 6) <= CONV_STD_LOGIC_VECTOR(ENC_FIFO_WIDTH,3);
-- occupancy is not scaled
status_bus(3) <= '0' ;
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_FIT;
----------------------------------------------------------------------------
-- The IPIF DBUS is too narrow to contain the complete status information so
-- scale the occupancy value down until it fits in the available space.
-- Note status_bus bit 3 is now set, signaling a scaled occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_NO_FIT : if (C_IPIF_DBUS_WIDTH < C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
constant OCC_INDEX_END : Integer := (C_IPIF_DBUS_WIDTH-4)-1;
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
-- set Encoded FIFO data width
--status_bus(4 to 6) <= CONV_STD_LOGIC_VECTOR(ENC_FIFO_WIDTH,3);
-- Set Occupancy is scaled in this case
status_bus(3) <= '1';
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in 0 to OCC_INDEX_END loop
status_bus((C_IPIF_DBUS_WIDTH-1)-OCC_INDEX_END+j)
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_NO_FIT;
----------------------------------------------------------------------------
-- Mux the three read data sources to the IPIF Local Bus output port during
-- reads.
----------------------------------------------------------------------------
MUX_THE_OUTPUT_DATA : process (Bus2FIFO_RdCE3, Bus2FIFO_RdCE2,
Bus2FIFO_RdCE1, mir_bus, status_bus,
sig_fifo_rd_data, rd_vect, reg_read_req)
Begin
rd_vect <= reg_read_req & Bus2FIFO_RdCE3 &
Bus2FIFO_RdCE2 & Bus2FIFO_RdCE1;
Case rd_vect Is
When "1001" => -- Read MIR port
bus_data_out <= mir_bus;
When "1010" => -- Read Status port
bus_data_out <= status_bus;
When "1100" => -- Read FIFO data port
bus_data_out <= sig_fifo_rd_data;
When others => -- default to zeroes
bus_data_out <= (others => '0');
End case;
End process; -- MUX_THE_OUTPUT_DATA
----------------------------------------------------------------------------
-- Generate the Read Error Acknowledge Reply to the Bus when
-- an attempted read access by the IPIF Local Bus is invalid
----------------------------------------------------------------------------
GEN_RD_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rd_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_RdCE3 = '1' and Empty = '1' and
fifo_errack_inhibit = '0') Then -- attempting to read the
-- rdfifo with an empty
rd_access_error <= '1'; -- condition is an error,
-- but only on the
-- initiation of the read
Else
rd_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_RD_ERROR
end generate Include_MIR;
-------------------------------------------------------------------------------
-- Synthesis for MIR occlusion
-------------------------------------------------------------------------------
Occlude_MIR : if (C_MIR_ENABLE = False) generate
Signal status_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
begin
----------------------------------------------------------------------------
-- The IPIF DBUS is larger than 32 bits in width. Place the 32 bit status
-- word on the 32 LSBits of the data bus.
-- Do not scale the vacancy value down.
-- Note status_bus bit 3 is not set, signaling a complete vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_BIG : if (C_IPIF_DBUS_WIDTH >= 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(C_IPIF_DBUS_WIDTH-29) <= '0' ;
-- occupancy is not scaled in this case.
status_bus(C_IPIF_DBUS_WIDTH-30) <= reg_deadlock ;
status_bus(C_IPIF_DBUS_WIDTH-31) <= reg_almostempty ;
status_bus(C_IPIF_DBUS_WIDTH-32) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_BIG;
----------------------------------------------------------------------------
-- The IPIF DBUS is of sufficient width to contain the complete status
-- information so do not scale the occupancy value down.
-- Note status_bus bit 3 is not set, signaling a complete occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_FIT : if (C_IPIF_DBUS_WIDTH >= C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(3) <= '0' ; -- occupancy is not scaled
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_FIT;
----------------------------------------------------------------------------
-- The IPIF DBUS is too narrow to contain the complete status information so
-- scale the occupancy value down until it fits in the available space.
-- Note status_bus bit 3 is now set, signaling a scaled occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_NO_FIT : if (C_IPIF_DBUS_WIDTH < C_DP_ADDRESS_WIDTH+4) generate
constant OCC_INDEX_END : Integer := (C_IPIF_DBUS_WIDTH-4)-1;
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus(4 to C_IPIF_DBUS_WIDTH-1) <= (others => '0');
-- set default bus values
status_bus(3) <= '1' ;
-- Indicate occupancy is scaled to fit
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in 0 to OCC_INDEX_END loop
status_bus((C_IPIF_DBUS_WIDTH-1)-OCC_INDEX_END+j)
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_NO_FIT;
----------------------------------------------------------------------------
-- Mux the three read data sources to the IPIF Local Bus output port during
-- reads.
----------------------------------------------------------------------------
MUX_THE_OUTPUT_DATA : process (Bus2FIFO_RdCE3, Bus2FIFO_RdCE2,
Bus2FIFO_RdCE1, sig_fifo_rd_data,
status_bus, rd_vect, reg_read_req)
Begin
rd_vect <= reg_read_req & Bus2FIFO_RdCE3 &
Bus2FIFO_RdCE2 & Bus2FIFO_RdCE1;
Case rd_vect Is
When "1010" =>
bus_data_out <= status_bus;
When "1100" =>
bus_data_out <= sig_fifo_rd_data;
When others =>
bus_data_out <= (others => '0');
End case;
End process ; -- MUX_THE_OUTPUT_DATA
----------------------------------------------------------------------------
-- Generate the Read Error Acknowledge Reply to the Bus when
-- an attempted read access by the IPIF Local Bus is invalid
----------------------------------------------------------------------------
GEN_RD_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rd_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_RdCE1 = '1') Then -- attempting to read MIR but it
-- is not included
rd_access_error <= '1';
Elsif (Bus2FIFO_RdCE3 = '1' and Empty = '1' and
fifo_errack_inhibit = '0') Then -- attempting to read the
-- rdfifo with an empty
rd_access_error <= '1'; -- condition is an error,
-- but only on the
-- initiation of the read
Else
rd_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_RD_ERROR
end generate Occlude_MIR;
-------------------------------------------------------------------------------
-- Generate the Read Acknowledge to the Bus
-------------------------------------------------------------------------------
GEN_READ_ACK : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
reg_read_ack <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
If (Bus2FIFO_RdCE1 = '1' ) Then
reg_read_ack <= '1';
Elsif (Bus2FIFO_RdCE2 = '1' ) Then
reg_read_ack <= '1';
Elsif (Bus2FIFO_RdCE3 = '1') Then
reg_read_ack <= sig_bram_rdack;
else
reg_read_ack <= '0';
End if;
Else
null;
End if;
End process; -- GEN_READ_ACK
read_ack <= reg_read_ack
or rd_access_error
or sig_srl_rdack;
write_ack <= reg_wrce1 or wr_access_error;
-------------------------------------------------------------------------------
-- Generate the Write Error Acknowledge Reply to the Bus when
-- an attempted write access by the IPIF Local Bus is invalid
-------------------------------------------------------------------------------
--GEN_WR_ERROR : process (Bus2FIFO_WrCE2, Bus2FIFO_WrCE3)
GEN_WR_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
wr_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_WrCE2 = '1') Then -- attempting to write to the status
-- register.
wr_access_error <= '1';
ElsIf (Bus2FIFO_WrCE3 = '1') Then -- attempting a write to the FIFO
-- Read data port.
wr_access_error <= '1';
Else
wr_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_WR_ERROR
end implementation;
|
-------------------------------------------------------------------------------
-- $Id: ipif_control_rd.vhd,v 1.1.2.1 2009/10/06 21:15:00 gburch Exp $
-------------------------------------------------------------------------------
--ipif_control_rd.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_control_rd.vhd
--
-- Description: This VHDL design file is for the Point Design of the Mauna
-- Loa Read Packet FIFO IPIF Local Bus Interface control
-- block.
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ipif_control_rd.vhd
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- Doug Thorpe March 19,2001 -- V1.00a
--
-- Doug Thorpe June 08-12,2001 -- V1.00b
-- - Corrected an error condition where the FIFO2Bus_Error was getting set
-- at the end of a legitimate burst read operation. If the RdFIFO goes
-- empty after the initiation of the read (at least one FIFO2Bus_RdAck
-- has been issued), an 'Empty' condition causes only an inhibit of the
-- FIFO2Bus_RdAck signal.
-- - Fixed the implimentation of the MIR inclusion/occlusion through the
-- use of if--generate clauses.
--
-- DET June 25, 2001 V1.00c
-- - Removed redundant logic assignments flagged by
-- Synplicity
--
-- DET July 20, 2001
-- - Changed the C_MIR_ENABLE type to Boolean from std_logic.
--
-- DET Aug 20, 2001 Version v1.01a
-- - Platform Generator Compliancy modifications
--
-- DET Sept 17, 2001
-- - Size optimization changes
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
-------------------------------------------------------------------------------
entity ipif_control_rd is
Generic (
C_MIR_ENABLE : Boolean := true;
-- Enable for MIR synthesis (default for disable)
C_BLOCK_ID : integer range 0 to 255 := 255;
-- Platform Generator assigned ID number
C_INTFC_TYPE : integer range 0 to 31 := 1;
-- IPIF block protocol Type
C_VERSION_MAJOR : integer range 0 to 9 := 1;
-- Major versioning of top level design
C_VERSION_MINOR : integer range 0 to 99 := 2;
-- Minor Version of top level design
C_VERSION_REV : integer range 0 to 26 := 0;
-- Revision letter of top level design
C_FIFO_WIDTH : Integer := 32;
-- Width of FIFO data in bits
C_DP_ADDRESS_WIDTH : Integer := 9;
-- Indicates address width of RdFIFO memory
-- (= log2(fifo_depth)
C_SUPPORT_BURST : Boolean := true;
-- Indicates read burst support for the IPIF bus
C_IPIF_DBUS_WIDTH : Integer := 32
-- Width of the IPIF data bus in bits
);
port (
-- Inputs From the IPIF Bus
Bus_rst : In std_logic; -- Master Reset from the IPIF
Bus_Clk : In std_logic; -- Master timing clock from the IPIF
Bus_RdReq : In std_logic;
Bus_WrReq : In std_logic;
Bus2FIFO_RdCE1 : In std_logic;
Bus2FIFO_RdCE2 : In std_logic;
Bus2FIFO_RdCE3 : In std_logic;
Bus2FIFO_WrCE1 : In std_logic;
Bus2FIFO_WrCE2 : In std_logic;
Bus2FIFO_WrCE3 : In std_logic;
Bus_DBus : In std_logic_vector(C_IPIF_DBUS_WIDTH-4 to
C_IPIF_DBUS_WIDTH-1);
-- Inputs from the FIFO Interface Logic
Fifo_rd_data : In std_logic_vector(0 to C_FIFO_WIDTH-1);
BRAMFifo_RdAck : In std_logic;
SRLFifo_RdAck : In std_logic;
Occupancy : In std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
AlmostEmpty : In std_logic;
Empty : In std_logic;
Deadlock : In std_logic;
-- Outputs to the FIFO
Fifo_rst : Out std_logic;
BRAMFifo_RdReq : Out std_logic;
SRLFifo_RdReq : Out std_logic;
Fifo_burst_rd_xfer : Out std_logic;
-- Outputs to the IPIF Bus
FIFO2IRPT_DeadLock : Out std_logic;
FIFO2Bus_DBus : Out std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
FIFO2Bus_WrAck : Out std_logic;
FIFO2Bus_RdAck : Out std_logic;
FIFO2Bus_Error : Out std_logic;
FIFO2Bus_Retry : Out std_logic;
FIFO2Bus_ToutSup : Out std_logic
);
end ipif_control_rd ;
-------------------------------------------------------------------------------
architecture implementation of ipif_control_rd is
-- FUNCTIONS
-----------------------------------------------------------------------------
-- Function set_fwidth
--
-- This function is used to set the value of FIFO width status
-- field based on the setting of the width parameter.
-----------------------------------------------------------------------------
function set_fwidth (fifo_width : integer) return integer is
constant byte_lane_num : Integer := (fifo_width+7)/8;
Variable enc_size : Integer := 0;
begin
case byte_lane_num is
when 0|1 =>
enc_size := 1;
when 2 =>
enc_size := 2;
when 3 | 4 =>
enc_size := 3;
when 5|6|7|8 =>
enc_size := 4;
When 9|10|11|12|13|14|15|16 =>
enc_size := 5;
when others =>
enc_size := 6;
end case;
return(enc_size);
end function set_fwidth;
-- COMPONENTS
-- No components
--TYPES
-- no types
-- CONSTANTS
-- Module Software Reset screen value for write data
Constant RESET_MATCH : std_logic_vector(0 to 3) := "1010";
-- This requires a Hex 'A' to be written
-- to ativate the S/W reset port
-- general use constants
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
-- Bus Width Matching constant
Constant ENC_FIFO_WIDTH : integer := set_fwidth(C_FIFO_WIDTH);
--INTERNAL SIGNALS
signal bus_data_out : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
Signal sw_reset_error : std_logic;
signal reg_occupancy : std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
Signal reg_almostempty : std_logic;
Signal reg_empty : std_logic;
Signal reg_deadlock : std_logic;
Signal reg_rdce2 : std_logic;
Signal reg_wrce1 : std_logic;
Signal reg_rdreq : std_logic;
Signal read_ack : std_logic;
Signal reg_read_ack : std_logic;
Signal write_ack : std_logic;
Signal rd_access_error : std_logic;
Signal wr_access_error : std_logic;
Signal burst_rd_xfer : std_logic;
Signal read_req : std_logic;
Signal reg_read_req : std_logic;
Signal write_req : std_logic;
Signal fifo_rd_req : std_logic;
Signal fifo_errack_inhibit : std_logic;
Signal rd_vect : std_logic_vector(0 to 3);
Signal sig_srl_rdack : std_logic;
Signal sig_bram_rdack : std_logic;
Signal sig_rst_match : std_logic;
Signal sig_rst_vect : std_logic_vector(0 to 1);
Signal sig_fifo_rd_data : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
-------------------------------------------------------------------------------
---------- start architecture logic -------------------------------------------
begin
-- General access detection (used to terminate reply signal to the Bus)
read_req <= (Bus2FIFO_RdCE1 or Bus2FIFO_RdCE2 or Bus2FIFO_RdCE3);
write_req <= (Bus2FIFO_WrCE1 or Bus2FIFO_WrCE2 or Bus2FIFO_WrCE3);
-- I/O assignments
FIFO2Bus_DBus <= bus_data_out;
FIFO2Bus_ToutSup <= LOGIC_LOW; -- output signal not currently used so
-- drive low .
FIFO2Bus_Retry <= LOGIC_LOW; -- output signal not currently used so
-- drive low.
FIFO2Bus_WrAck <= write_ack and write_req; -- connect the write
-- acknowledge (drive only
-- if a request is present)
FIFO2Bus_RdAck <= read_ack and read_req; -- connect the read
-- acknowledge (drive only if
-- a request is present)
FIFO2Bus_Error <= (sw_reset_error or
rd_access_error or
wr_access_error) and
(read_req or write_req);
FIFO2IRPT_DeadLock <= Deadlock;
BRAMFifo_RdReq <= Bus_RdReq and Bus2FIFO_RdCE3; -- Read Request to BRAM
-- based FIFO.
SRLFifo_RdReq <= reg_rdreq and Bus2FIFO_RdCE3; -- Read Request to SRL
-- based FIFO
Fifo_burst_rd_xfer <= burst_rd_xfer; -- Burst detect signal to FIFO read
-- controller
sig_srl_rdack <= SRLFifo_RdAck;
sig_bram_rdack <= BRAMFifo_RdAck;
------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- The FIFO data bus width is smaller than the IPIF data bus width so connect
-- the smaller FIFO data to LSB position of data bus to IPIF interface and
-- set the remaining data bus bits to zeroes.
-------------------------------------------------------------------------------
BUS_BIGGER_THAN_FIFO : if (C_IPIF_DBUS_WIDTH > C_FIFO_WIDTH) generate
CONNECT_DBUS : process (fifo_rd_data)
Begin
sig_fifo_rd_data <= (others => '0'); --default bus state
for j in 0 to C_FIFO_WIDTH-1 loop
sig_fifo_rd_data(C_IPIF_DBUS_WIDTH-C_FIFO_WIDTH+j)
<= fifo_rd_data(j);
End loop;
End process; -- CONNECT_DBUS
end generate BUS_BIGGER_THAN_FIFO;
-------------------------------------------------------------------------------
-- The FIFO data bus width is equal to the IPIF data bus width so connect
-- the FIFO data to IPIF data interface.
-------------------------------------------------------------------------------
BUS_EQUAL_TO_FIFO : if (C_IPIF_DBUS_WIDTH = C_FIFO_WIDTH) generate
sig_fifo_rd_data <= fifo_rd_data;
end generate BUS_EQUAL_TO_FIFO;
-------------------------------------------------------------------------------
-- The FIFO data bus width is bigger than the IPIF data bus width !!BAD!!!
-- Connect the LSBits of the FIFO data to the IPIF data bus interface,
-- Don't use (truncate) the MSBits of the FIFO data spilling over the IPIF
-- data bus width.
-------------------------------------------------------------------------------
BUS_SMALLER_THAN_FIFO : if (C_IPIF_DBUS_WIDTH < C_FIFO_WIDTH) generate
CONNECT_DBUS : process (fifo_rd_data)
Begin
for j in C_IPIF_DBUS_WIDTH-1 downto 0 loop
sig_fifo_rd_data(j) <= fifo_rd_data(C_FIFO_WIDTH-
C_IPIF_DBUS_WIDTH+j);
End loop;
End process; -- CONNECT_DBUS
end generate BUS_SMALLER_THAN_FIFO;
------------------------------------------------------------------------------
-- Register the input chip enables
------------------------------------------------------------------------------
REGISTER_CHIP_ENABLES : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
reg_rdce2 <= '0';
reg_wrce1 <= '0';
reg_rdreq <= '0';
reg_read_req <= '0';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1') Then
reg_rdce2 <= Bus2FIFO_RdCE2;
reg_wrce1 <= Bus2FIFO_WrCE1;
reg_rdreq <= Bus_RdReq;
reg_read_req <= read_req;
Else
null;
End if;
End process; -- REGISTER_CHIP_ENABLES
INCLUDE_BURST : if (C_SUPPORT_BURST = true) generate
--burst_rd_xfer <= reg_rdreq and Bus_RdReq;
-------------------------------------------------------------------------
-- This process detects the completion of at least one valid FIFO data
-- read cycle during a burst read.
-------------------------------------------------------------------------
GEN_ERRACK_INHIB : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
fifo_errack_inhibit <= '0';
burst_rd_xfer <= '0';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1' ) Then
burst_rd_xfer <= reg_rdreq and Bus_RdReq;
If (Bus2FIFO_RdCE3 = '1' and sig_bram_rdack = '1') Then
fifo_errack_inhibit <= '1';
Elsif (Bus2FIFO_RdCE3 = '1' and sig_srl_rdack = '1') Then
fifo_errack_inhibit <= '1';
Elsif (Bus2FIFO_RdCE3 = '0') Then
fifo_errack_inhibit <= '0';
else
null;
End if;
else
null;
End if;
End process; -- GEN_ERRACK_INHIB
end generate INCLUDE_BURST;
OMIT_BURST : if (C_SUPPORT_BURST = false) generate
burst_rd_xfer <= '0';
fifo_errack_inhibit <= '0';
end generate OMIT_BURST;
-------------------------------------------------------------------------------
-- Assemble and latch the FIFO status register fields
-------------------------------------------------------------------------------
GET_STATUS : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
reg_occupancy <= (others => '0');
reg_deadlock <= '0';
reg_almostempty <= '0';
reg_empty <= '1';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1') Then
If (reg_rdce2 = '1') Then -- hold last value registered during
-- read operation.
null;
else -- register new status every clock
reg_occupancy <= Occupancy ;
reg_deadlock <= Deadlock ;
reg_almostempty <= AlmostEmpty ;
reg_empty <= Empty ;
End if;
else
null; -- do nothing
End if;
End process; -- GET_STATUS
sig_rst_match <= Bus_DBus(C_IPIF_DBUS_WIDTH-4)
and not(Bus_DBus(C_IPIF_DBUS_WIDTH-3))
and Bus_DBus(C_IPIF_DBUS_WIDTH-2)
and not(Bus_DBus(C_IPIF_DBUS_WIDTH-1));
sig_rst_vect <= sig_rst_match & Bus2FIFO_WrCE1;
------------------------------------------------------------------------------
-- Generate the S/W reset as a result of an IPIF Bus write to register
-- port 1 and data on the DBus inputs matching the Reset match value.
------------------------------------------------------------------------------
GENERATE_SOFTWARE_RESET : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
Fifo_rst <= '1';
sw_reset_error <= '0';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1') Then
Case sig_rst_vect Is
When "11" =>
Fifo_rst <= '1';
sw_reset_error <= '0';
When "01" =>
Fifo_rst <= '0';
sw_reset_error <= '1';
When others =>
Fifo_rst <= '0';
sw_reset_error <= '0';
End case;
Else
null;
End if;
End process; -- GENERATE_SOFTWARE_RESET
-- Synthesis for MIR inclusion ------------------------------------------------
Include_MIR :if (C_MIR_ENABLE = True) generate
signal mir_value : std_logic_vector(0 to 31);
Signal mir_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
Signal status_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
begin
----------------------------------------------------------------------------
-- assemble the MIR fields from the Applicable Generics and Constants
-- Conversion to std_logic_vector is required
----------------------------------------------------------------------------
mir_value(0 to 3) <= CONV_STD_LOGIC_VECTOR(C_VERSION_MAJOR, 4);
mir_value(4 to 10) <= CONV_STD_LOGIC_VECTOR(C_VERSION_MINOR, 7);
mir_value(11 to 15) <= CONV_STD_LOGIC_VECTOR(C_VERSION_REV, 5);
mir_value(16 to 23) <= CONV_STD_LOGIC_VECTOR(C_BLOCK_ID, 8);
mir_value(24 to 31) <= CONV_STD_LOGIC_VECTOR(C_INTFC_TYPE, 8);
BUS_LEQ_32 : if (C_IPIF_DBUS_WIDTH <= 32) generate
begin
BUILD_MIR_BUS : process (mir_value)
Begin
for j in 0 to C_IPIF_DBUS_WIDTH-1 loop
mir_bus(j) <= mir_value((32-C_IPIF_DBUS_WIDTH)+j);
End loop;
End process; -- BUILD_MIR_BUS
end generate BUS_LEQ_32;
BUS_GT_32 : if (C_IPIF_DBUS_WIDTH > 32) generate
begin
BUILD_MIR_BUS : process (mir_value)
Begin
mir_bus <= (others => '0'); -- default bus values
for j in 0 to 31 loop
mir_bus((C_IPIF_DBUS_WIDTH-32)+j) <= mir_value(j);
End loop;
End process; -- BUILD_MIR_BUS
end generate BUS_GT_32;
----------------------------------------------------------------------------
-- The IPIF DBUS is larger than 32 bits in width. Place the 32 bit status
-- word on the 32 LSBits of the data bus.
-- Do not scale the vacancy value down.
-- Note status_bus bit 3 is not set, signaling a complete vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_BIG : if (C_IPIF_DBUS_WIDTH >= 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
-- set Encoded FIFO data width
--status_bus(C_IPIF_DBUS_WIDTH-28 to C_IPIF_DBUS_WIDTH-26)
-- <= CONV_STD_LOGIC_VECTOR(ENC_FIFO_WIDTH,3);
-- occupancy is not scaled
status_bus(C_IPIF_DBUS_WIDTH-29) <= '0' ;
status_bus(C_IPIF_DBUS_WIDTH-30) <= reg_deadlock ;
status_bus(C_IPIF_DBUS_WIDTH-31) <= reg_almostempty;
status_bus(C_IPIF_DBUS_WIDTH-32) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_BIG;
----------------------------------------------------------------------------
-- The IPIF DBUS is of sufficient width to contain the complete status
-- information so do not scale the occupancy value down.
-- Note status_bus bit 3 is not set, signaling a complete occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_FIT : if (C_IPIF_DBUS_WIDTH >= C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
-- set Encoded FIFO data width
--status_bus(4 to 6) <= CONV_STD_LOGIC_VECTOR(ENC_FIFO_WIDTH,3);
-- occupancy is not scaled
status_bus(3) <= '0' ;
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_FIT;
----------------------------------------------------------------------------
-- The IPIF DBUS is too narrow to contain the complete status information so
-- scale the occupancy value down until it fits in the available space.
-- Note status_bus bit 3 is now set, signaling a scaled occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_NO_FIT : if (C_IPIF_DBUS_WIDTH < C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
constant OCC_INDEX_END : Integer := (C_IPIF_DBUS_WIDTH-4)-1;
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
-- set Encoded FIFO data width
--status_bus(4 to 6) <= CONV_STD_LOGIC_VECTOR(ENC_FIFO_WIDTH,3);
-- Set Occupancy is scaled in this case
status_bus(3) <= '1';
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in 0 to OCC_INDEX_END loop
status_bus((C_IPIF_DBUS_WIDTH-1)-OCC_INDEX_END+j)
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_NO_FIT;
----------------------------------------------------------------------------
-- Mux the three read data sources to the IPIF Local Bus output port during
-- reads.
----------------------------------------------------------------------------
MUX_THE_OUTPUT_DATA : process (Bus2FIFO_RdCE3, Bus2FIFO_RdCE2,
Bus2FIFO_RdCE1, mir_bus, status_bus,
sig_fifo_rd_data, rd_vect, reg_read_req)
Begin
rd_vect <= reg_read_req & Bus2FIFO_RdCE3 &
Bus2FIFO_RdCE2 & Bus2FIFO_RdCE1;
Case rd_vect Is
When "1001" => -- Read MIR port
bus_data_out <= mir_bus;
When "1010" => -- Read Status port
bus_data_out <= status_bus;
When "1100" => -- Read FIFO data port
bus_data_out <= sig_fifo_rd_data;
When others => -- default to zeroes
bus_data_out <= (others => '0');
End case;
End process; -- MUX_THE_OUTPUT_DATA
----------------------------------------------------------------------------
-- Generate the Read Error Acknowledge Reply to the Bus when
-- an attempted read access by the IPIF Local Bus is invalid
----------------------------------------------------------------------------
GEN_RD_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rd_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_RdCE3 = '1' and Empty = '1' and
fifo_errack_inhibit = '0') Then -- attempting to read the
-- rdfifo with an empty
rd_access_error <= '1'; -- condition is an error,
-- but only on the
-- initiation of the read
Else
rd_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_RD_ERROR
end generate Include_MIR;
-------------------------------------------------------------------------------
-- Synthesis for MIR occlusion
-------------------------------------------------------------------------------
Occlude_MIR : if (C_MIR_ENABLE = False) generate
Signal status_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
begin
----------------------------------------------------------------------------
-- The IPIF DBUS is larger than 32 bits in width. Place the 32 bit status
-- word on the 32 LSBits of the data bus.
-- Do not scale the vacancy value down.
-- Note status_bus bit 3 is not set, signaling a complete vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_BIG : if (C_IPIF_DBUS_WIDTH >= 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(C_IPIF_DBUS_WIDTH-29) <= '0' ;
-- occupancy is not scaled in this case.
status_bus(C_IPIF_DBUS_WIDTH-30) <= reg_deadlock ;
status_bus(C_IPIF_DBUS_WIDTH-31) <= reg_almostempty ;
status_bus(C_IPIF_DBUS_WIDTH-32) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_BIG;
----------------------------------------------------------------------------
-- The IPIF DBUS is of sufficient width to contain the complete status
-- information so do not scale the occupancy value down.
-- Note status_bus bit 3 is not set, signaling a complete occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_FIT : if (C_IPIF_DBUS_WIDTH >= C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(3) <= '0' ; -- occupancy is not scaled
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_FIT;
----------------------------------------------------------------------------
-- The IPIF DBUS is too narrow to contain the complete status information so
-- scale the occupancy value down until it fits in the available space.
-- Note status_bus bit 3 is now set, signaling a scaled occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_NO_FIT : if (C_IPIF_DBUS_WIDTH < C_DP_ADDRESS_WIDTH+4) generate
constant OCC_INDEX_END : Integer := (C_IPIF_DBUS_WIDTH-4)-1;
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus(4 to C_IPIF_DBUS_WIDTH-1) <= (others => '0');
-- set default bus values
status_bus(3) <= '1' ;
-- Indicate occupancy is scaled to fit
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in 0 to OCC_INDEX_END loop
status_bus((C_IPIF_DBUS_WIDTH-1)-OCC_INDEX_END+j)
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_NO_FIT;
----------------------------------------------------------------------------
-- Mux the three read data sources to the IPIF Local Bus output port during
-- reads.
----------------------------------------------------------------------------
MUX_THE_OUTPUT_DATA : process (Bus2FIFO_RdCE3, Bus2FIFO_RdCE2,
Bus2FIFO_RdCE1, sig_fifo_rd_data,
status_bus, rd_vect, reg_read_req)
Begin
rd_vect <= reg_read_req & Bus2FIFO_RdCE3 &
Bus2FIFO_RdCE2 & Bus2FIFO_RdCE1;
Case rd_vect Is
When "1010" =>
bus_data_out <= status_bus;
When "1100" =>
bus_data_out <= sig_fifo_rd_data;
When others =>
bus_data_out <= (others => '0');
End case;
End process ; -- MUX_THE_OUTPUT_DATA
----------------------------------------------------------------------------
-- Generate the Read Error Acknowledge Reply to the Bus when
-- an attempted read access by the IPIF Local Bus is invalid
----------------------------------------------------------------------------
GEN_RD_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rd_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_RdCE1 = '1') Then -- attempting to read MIR but it
-- is not included
rd_access_error <= '1';
Elsif (Bus2FIFO_RdCE3 = '1' and Empty = '1' and
fifo_errack_inhibit = '0') Then -- attempting to read the
-- rdfifo with an empty
rd_access_error <= '1'; -- condition is an error,
-- but only on the
-- initiation of the read
Else
rd_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_RD_ERROR
end generate Occlude_MIR;
-------------------------------------------------------------------------------
-- Generate the Read Acknowledge to the Bus
-------------------------------------------------------------------------------
GEN_READ_ACK : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
reg_read_ack <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
If (Bus2FIFO_RdCE1 = '1' ) Then
reg_read_ack <= '1';
Elsif (Bus2FIFO_RdCE2 = '1' ) Then
reg_read_ack <= '1';
Elsif (Bus2FIFO_RdCE3 = '1') Then
reg_read_ack <= sig_bram_rdack;
else
reg_read_ack <= '0';
End if;
Else
null;
End if;
End process; -- GEN_READ_ACK
read_ack <= reg_read_ack
or rd_access_error
or sig_srl_rdack;
write_ack <= reg_wrce1 or wr_access_error;
-------------------------------------------------------------------------------
-- Generate the Write Error Acknowledge Reply to the Bus when
-- an attempted write access by the IPIF Local Bus is invalid
-------------------------------------------------------------------------------
--GEN_WR_ERROR : process (Bus2FIFO_WrCE2, Bus2FIFO_WrCE3)
GEN_WR_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
wr_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_WrCE2 = '1') Then -- attempting to write to the status
-- register.
wr_access_error <= '1';
ElsIf (Bus2FIFO_WrCE3 = '1') Then -- attempting a write to the FIFO
-- Read data port.
wr_access_error <= '1';
Else
wr_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_WR_ERROR
end implementation;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:59:58 11/21/2015
-- Design Name:
-- Module Name: parte_operativa - Structural
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
-- Prodotto m*n
entity parte_operativa is
generic ( n : natural := 4;
m : natural := 4);
Port ( X : in STD_LOGIC_VECTOR (n-1 downto 0);
Y : in STD_LOGIC_VECTOR (m-1 downto 0);
load_a : in STD_LOGIC;
load_q : in STD_LOGIC;
load_m : in STD_LOGIC;
reset_n : in STD_LOGIC;
shift : in STD_LOGIC;
sub : in STD_LOGIC;
clock : in STD_LOGIC;
q0 : out STD_LOGIC;
q_1 : out STD_LOGIC;
P : out STD_LOGIC_VECTOR (n+m-1 downto 0)
);
end parte_operativa;
architecture Structural of parte_operativa is
COMPONENT register_n_bit
generic (n : natural := 8;
delay : time := 0 ns);
Port ( I : in STD_LOGIC_VECTOR (n-1 downto 0);
clock : in STD_LOGIC;
load : in STD_LOGIC;
reset_n : in STD_LOGIC;
O : out STD_LOGIC_VECTOR (n-1 downto 0));
END COMPONENT;
COMPONENT add_sub
generic ( n : natural := 4);
Port ( A : in STD_LOGIC_VECTOR (n-1 downto 0);
B : in STD_LOGIC_VECTOR (n-1 downto 0);
subtract : in STD_LOGIC;
ovfl : out STD_LOGIC;
S : out STD_LOGIC_VECTOR (n-1 downto 0));
END COMPONENT;
COMPONENT shift_register_n_bit
generic (n : natural := 8;
delay : time := 0 ns);
Port (D_IN : in STD_LOGIC_VECTOR (n-1 downto 0);
clock : in STD_LOGIC;
reset_n : in STD_LOGIC;
load : in STD_LOGIC;
shift : in STD_LOGIC;
lt_rt : in STD_LOGIC;
sh_in : in STD_LOGIC;
sh_out : out STD_LOGIC;
D_OUT : out STD_LOGIC_VECTOR (n-1 downto 0));
END COMPONENT;
signal ingresso_a, uscita_a, moltiplicando : std_logic_vector(n-1 downto 0) := (others => '0');
signal uscita_q : std_logic_vector(m-1 downto 0) := (others => '0');
signal q_1_sig, sh_out_a_q : std_logic := '0';
alias AI is ingresso_a;
alias AU is uscita_a;
alias QU is uscita_q;
alias QU0 is uscita_q(0);
alias QU_1 is q_1_sig;
begin
P <= (AU & QU);
q0 <= QU0;
q_1 <= QU_1;
registro_moltiplicando : register_n_bit
generic map(n)
PORT MAP(I => X, clock => clock, load => load_m, reset_n => reset_n,
O => moltiplicando);
a : shift_register_n_bit
generic map(n)
PORT MAP(D_IN => AI, clock => clock, reset_n => reset_n, load => load_a, shift => shift,
lt_rt => '1', sh_in => uscita_a(n-1), sh_out => sh_out_a_q , D_OUT => AU);
q : shift_register_n_bit
generic map(m)
PORT MAP(D_IN=> Y, clock => clock, reset_n => reset_n, load => load_q, shift => shift,
lt_rt => '1', sh_in => sh_out_a_q, sh_out => q_1_sig, D_OUT => QU);
adder_subtracter : add_sub
generic map(n)
PORT MAP(A => AU, B => moltiplicando, subtract => sub, ovfl => open, S => AI);
end Structural;
|
-------------------------------------------------------------------
--
-- Fichero:
-- damero.vhd 12/7/2013
--
-- (c) J.M. Mendias
-- Diseño Automático de Sistemas
-- Facultad de Informática. Universidad Complutense de Madrid
--
-- Propósito:
-- Muestra un damero sobre un monitor compatible VGA
--
-- Notas de diseño:
-- La sincronización con la pantalla VGA presupone que la
-- frecuencia de reloj del sistema es de 50 MHz
--
-------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_unsigned.ALL;
USE IEEE.std_logic_arith.ALL;
library UNISIM;
use UNISIM.vcomponents.all;
ENTITY tron IS
PORT (
rst: IN std_logic;
clk: IN std_logic;
ps2Data : IN std_logic;
ps2Clk : IN std_logic;
hSyncQ : OUT std_logic;
vSyncQ : OUT std_logic;
RGBQ : OUT std_logic_vector(8 DOWNTO 0)
);
END tron;
ARCHITECTURE tronArch OF tron IS
-- MEMORIA DE REFRESCO
component RAMB16_S1_s1
generic(
WRITE_MODE_A : string := "READ_FIRST";
WRITE_MODE_B : string := "READ_FIRST"
);
port(
DOA0: out std_logic; -- Salida de datos
DOB0: out std_logic;
ADDRA: in std_logic_vector(13 downto 0); -- Direccion
ADDRB: in std_logic_vector(13 downto 0);
CLKA: in std_ulogic; -- Reloj
CLKB: in std_ulogic;
DIA0: in std_logic; -- Entrada de datos
DIB0: in std_logic;
ENA: in std_ulogic; -- Entrada capacitacion
ENB: in std_ulogic;
SSRA: in std_ulogic; -- Inicializacion sincrona para los latches de salida
SSRB: in std_ulogic;
WEA: in std_ulogic; -- Entrada capacitacion escritura
WEB: in std_ulogic
);
end component;
-- SEÑALES VGA
signal pixelCntOut: std_logic_vector(10 downto 0);
signal lineCntOut: std_logic_vector(9 downto 0);
signal blanking, valor: std_logic;
-- SEÑALES PARA PINTAR
signal hSync, vSync : std_logic;
signal RGB : std_logic_vector(8 downto 0);
signal salidaRojo, salidaAzul : std_logic;
signal motoAzul, estelaAzul, motoRoja, estelaRoja : std_logic;
-- MEMORIA DE REFRESCO
signal dirRefrescoVGA, dirEscrituraAzul, dirTrayectoAzul, dirEscrituraRoja, dirTrayectoRoja: std_logic_vector(14 downto 0);
signal readFirstAzul1,DOB0,readFirstAzul2,DOB1,readFirstRoja1,DOB2,readFirstRoja2,DOB3 : std_logic;
signal valorEscritura , enableEscritura: std_logic;
-- LIMPIEZA DE LA MEMORIA
signal limpiarMemoria,limpiezaCompletada : std_logic;
signal csCiclosLimpieza: std_logic_vector(22 downto 0);
-- CONTADORES MOTOS: PELOTA
signal csMotoAzulY: std_logic_vector(6 downto 0); -- 7 bits
signal csMotoAzulX: std_logic_vector(7 downto 0); -- 8 bits
signal csMotoRojaY: std_logic_vector(6 downto 0);
signal csMotoRojaX: std_logic_vector(7 downto 0);
-- CONTROL DIRECCION DE LAS MOTOS
type DIR_MOTO is (ARRIBA, ABAJO, IZQUIERDA, DERECHA);
signal dirMotoAzul, dirMotoAzulNext, dirMotoRoja, dirMotoRojaNext: DIR_MOTO;
-- RALENTIZADOR
signal csRalentizador : std_logic_vector(22 downto 0);
signal mueve : std_logic;
-- VARIABLES DE JUEGO
signal hayGanador, partidaEnCurso, iniciarMotos : std_logic;
signal choqueContrario, choquePropioAzul, choquePropioRoja : std_logic;
-- INTERFAZ TECLADO PS/2
signal data : std_logic_vector (7 DOWNTO 0); -- Salida de datos paralela
signal newData : std_logic; -- Indica la recepción de un nuevo dato por la línea PS2
signal newDataAck : std_logic; -- Reconoce la recepción del nuevo dato
signal ldData, validData, lastBitRcv, ps2ClkSync, ps2ClkFallingEdge: std_logic;
signal ps2DataRegOut: std_logic_vector(10 downto 0);
signal goodParity: std_logic;
-- MAQUINA DE ESTADOS PARA EL CONTROL DE TECLAS
type ESTADOS is (WAITING_PRESS, RELEASE_BUTTON);
signal ESTADO, SIG_ESTADO: ESTADOS;
signal flagSPC, flagSPCnext : std_logic;
-- MAQUINA DE ESTADOS PARA EL CONTROL DEL JUEGO
type GAME_STATES is (WAITING_SPACE, INITIALIZING_GAME, WAITING_WINNER);
signal GAME, NEXT_GAME: GAME_STATES;
BEGIN
pixelCnt:
PROCESS( rst, clk )
BEGIN
IF (rst='0') THEN
pixelCntOut <= (OTHERS=>'0');
ELSIF(clk'EVENT AND clk='1') THEN
IF (pixelCntOut=1588) THEN
pixelCntOut <= (OTHERS=>'0');
ELSE
pixelCntOut <= pixelCntOut+1;
END IF;
END IF;
END PROCESS pixelCnt;
lineCnt:
PROCESS( rst, clk )
BEGIN
IF (rst='0') THEN
lineCntOut <= (OTHERS=>'0');
ELSIF (clk'EVENT AND clk='1') THEN
IF (pixelCntOut=1588) THEN
IF (lineCntOut=527) THEN
lineCntOut <= (others=>'0');
ELSE
lineCntOut <= lineCntOut+1;
END IF;
END IF;
END IF;
END PROCESS lineCnt;
hSync <= '0' WHEN (pixelCntOut > 1304) AND (pixelCntOut <= 1493) ELSE '1';
vSync <= '0' WHEN (lineCntOut > 493) AND (lineCntOut <= 495) ELSE '1';
blanking <= '1' WHEN (pixelCntOut > 1257) OR (lineCntOut > 479) ELSE '0';
--RGB <= salidaRojo & salidaRojo & salidaRojo & '0' & '0' & '0' & salidaAzul & salidaAzul & salidaAzul;
RGB <= salidaAzul & salidaAzul & salidaAzul & '0' & '0' & '0' & salidaRojo & salidaRojo & salidaRojo;
-------------------------------------------------------------------------------------
-- INTRODUCIR CODIGO DESDE AQUI
-- | | | | |
-- v v v v v
-- COMO VA EL LINECOUNT PARA HACER LINEAS MAS GORDAS:
-- 0100
-- 0101
-- 0110
-- 0111
-- 1100 -> Hay que fijarse cuales son los bits comunes para ir
-- 1101 diviendo entre 2. (Con esto, son numeros modulo 2, 4, 8 .. etc)
-- 1110
-- 1111
-- INSTACIAMOS MEMORIA REFRESCO
-- *******************************************************************************
-- Usar una memoria de doble puerto:
-- * los coches almacenan su estela por un puerto (úsese también para borrar estelas)
-- * el refresco se realiza leyendo desde el otro => (Escritura => A y Lectura => B)
-- * para evitar conflictos de lectura y escritura simultánea configurarla en modo READ_FIRST
-- Para simplificar la lógica de direccionamiento (a costa de desperdiciar memoria) usar
-- una de 32Kx2b organizada en 2 slices: uno para el coche rojo y otro para el azul
-- * la dirección de un pixel se obtiene concatenado parte de lineCnt con parte de pixelCnt
-- * usar 4 RAM Blocks de 16 K×1b
-- * Usaremos 2 memorias para cada moto para poder direccionar toda la pantalla.
-- * PxCountMax => 152 px = 8 bits / LineCountMax => 119 px = 7 bits ==> 15 bits
-- * Direccionamos hasta la linea 63 en la primera memoria y de la 64 a la 119 en la segunda memoria
-- * Para distinguir la primera memoria de la segunda usamos bit mas significativo (el de la pos 14 que indica el 64)
-- Para el refresco de la pantalla, leeremos por el puerto B la direccion resultante de
-- concatenar una parte de lineCnt con parte de PixelCnt
dirRefrescoVGA <= lineCntOut(8 downto 2) & pixelCntOut(10 downto 3);
-- Para guardar en memoria la estela azul, usamos como direccion la concatenacion de la
-- coordenada Y (lineCnt) y la X (pixelCnt)
dirTrayectoAzul <= csMotoAzulY & csMotoAzulX;
dirTrayectoRoja <= csMotoRojaY & csMotoRojaX;
-- MEMORIAS MOTO AZUL
-- ···········································································
enableEscritura <= mueve OR limpiarMemoria;
memAzul_1: RAMB16_S1_s1
port map(
readFirstAzul1, -- Salida de Datos (A - Escritura) - Sirve para saber si chocamos contra nuestra propia estela.
DOB0, -- Salida de Datos (B - Lectura)
dirEscrituraAzul(13 downto 0), -- Direccion de escritura (A): Durante el juego es la posicion de la moto y durante la limpieza el Px/ln count
dirRefrescoVGA(13 downto 0), -- Direccion de lectura (B)
clk, -- Mismo reloj para ambos puertos
clk,
valorEscritura, -- Sera 1 durante el juego y 0 durante la limpieza de la memoria
'0', -- Nunca escribimos por el puerto B, asi que ponemos 0 como valor Din por poner algo
not dirEscrituraAzul(14), -- ENABLE A: El modulo de escritura estara activo cuando el lineCnt sea menor que 64
not dirRefrescoVGA(14), -- ENABLE B: El modulo de lectura estara activo cuando el lineCnt sea menor que 64
'0', -- Desactivamos la limpieza de los latches de salida
'0',
enableEscritura, -- Escritura habilitada en puerto A cuando se ha realizado movimiento o durante limpieza
'0' -- Escritura NO habilitada en puerto B
);
memAzul_2: RAMB16_S1_s1
port map(
readFirstAzul2, -- Salida de Datos (A - Escritura) - Sirve para saber si chocamos contra nuestra propia estela.
DOB1, -- Salida de Datos (B - Lectura)
dirEscrituraAzul(13 downto 0),-- Direccion de escritura (A): Durante el juego es la posicion de la moto y durante la limpieza el Px/ln count
dirRefrescoVGA(13 downto 0), -- Direccion de lectura (B)
clk, -- Mismo reloj para ambos puertos
clk,
valorEscritura, -- Sera 1 durante el juego y 0 durante la limpieza de la memoria
'0', -- Nunca escribimos por el puerto B, asi que ponemos 0 como valor Din por poner algo
dirEscrituraAzul(14), -- ENABLE A: El modulo de escritura estara activo cuando el lineCnt sea mayor o igual que 64
dirRefrescoVGA(14), -- ENABLE B: El modulo de lectura estara activo cuando el lineCnt sea mayor o igual que 64
'0', -- Desactivamos la limpieza de los latches de salida
'0',
enableEscritura, -- Escritura habilitada en puerto A cuando se ha realizado movimiento o durante limpieza
'0' -- Escritura NO habilitada en puerto B
);
estelaAzul <= DOB0 OR DOB1;
-- MEMORIAS MOTO ROJA
-- ···········································································
memRoja_1: RAMB16_S1_s1
port map(
readFirstRoja1, -- Salida de Datos (A - Escritura) - Sirve para saber si chocamos contra nuestra propia estela.
DOB2, -- Salida de Datos (B - Lectura)
dirEscrituraRoja(13 downto 0),-- Direccion de escritura (A): Durante el juego es la posicion de la moto y durante la limpieza el Px/ln count
dirRefrescoVGA(13 downto 0), -- Direccion de lectura (B)
clk, -- Mismo reloj para ambos puertos
clk,
valorEscritura, -- Sera 1 durante el juego y 0 durante la limpieza de la memoria
'0', -- Nunca escribimos por el puerto B, asi que ponemos 0 como valor Din por poner algo
not dirEscrituraRoja(14), -- ENABLE A: El modulo de escritura estara activo cuando el lineCnt sea menor que 64
not dirRefrescoVGA(14), -- ENABLE B: El modulo de lectura estara activo cuando el lineCnt sea menor que 64
'0', -- Desactivamos la limpieza de los latches de salida
'0',
enableEscritura, -- Escritura habilitada en puerto A cuando se ha realizado movimiento o durante limpieza
'0' -- Escritura NO habilitada en puerto B
);
memRoja_2: RAMB16_S1_s1
port map(
readFirstRoja2, -- Salida de Datos (A - Escritura) - Sirve para saber si chocamos contra nuestra propia estela.
DOB3, -- Salida de Datos (B - Lectura)
dirEscrituraRoja(13 downto 0),-- Direccion de escritura (A): Durante el juego es la posicion de la moto y durante la limpieza el Px/ln count
dirRefrescoVGA(13 downto 0), -- Direccion de lectura (B)
clk, -- Mismo reloj para ambos puertos
clk,
valorEscritura, -- Sera 1 durante el juego y 0 durante la limpieza de la memoria
'0', -- Nunca escribimos por el puerto B, asi que ponemos 0 como valor Din por poner algo
dirEscrituraRoja(14), -- ENABLE A: El modulo de escritura estara activo cuando el lineCnt sea mayor o igual que 64
dirRefrescoVGA(14), -- ENABLE B: El modulo de lectura estara activo cuando el lineCnt sea mayor o igual que 64
'0', -- Desactivamos la limpieza de los latches de salida
'0',
enableEscritura, -- Escritura habilitada en puerto A cuando se ha realizado movimiento o durante limpieza
'0' -- Escritura NO habilitada en puerto B
);
estelaRoja <= DOB2 OR DOB3;
-- LIMPIEZA DE LA MEMORIA: Contador para esperar durante la limpieza. Cuando el contador finalice la memoria estará limpia.
-- *******************************************************************************
ciclosLimpiezaCnt:
process( clk, rst, csCiclosLimpieza, limpiarMemoria)
begin
if rst = '0' then
limpiezaCompletada <= '0';
csCiclosLimpieza <= (others => '0');
elsif clk'event and clk = '1' then
if limpiarMemoria = '1' then
if csCiclosLimpieza = 5000000 then
limpiezaCompletada <= '1';
else
csCiclosLimpieza <= csCiclosLimpieza + 1;
limpiezaCompletada <= '0';
end if;
else
csCiclosLimpieza <= (others => '0');
limpiezaCompletada <= '0';
end if;
end if;
end process;
-- Durante la limpieza la direccion de escritura es la de refrescoVGA para limpiar (valor 0) toda la pantalla
-- Durante el juego la direccion de escritura es la de los contadores de posicion (valor 1) de las motos.
dirEscrituraAzul <= dirRefrescoVGA WHEN limpiarMemoria = '1' ELSE dirTrayectoAzul;
dirEscrituraRoja <= dirRefrescoVGA WHEN limpiarMemoria = '1' ELSE dirTrayectoRoja;
valorEscritura <= '0' WHEN limpiarMemoria = '1' ELSE '1';
-- DETECTOR DE COLISIONES
-- *******************************************************************************
choqueContrario <= '1' WHEN (DOB0 = '1' AND DOB2 = '1') OR (DOB1 = '1' AND DOB3 = '1') ELSE '0';
choquePropioRoja <= '1' WHEN ((readFirstRoja1 = '1' AND dirEscrituraRoja(14) = '0') OR
(readFirstRoja2 = '1' AND dirEscrituraRoja(14) = '1')) AND
csRalentizador = 0 ELSE '0';
choquePropioAzul <= '1' WHEN ((readFirstAzul1 = '1' AND dirEscrituraAzul(14) = '0') OR
(readFirstAzul2 = '1' AND dirEscrituraAzul(14) = '1')) AND
csRalentizador = 0 ELSE '0';
hayGanador <= choqueContrario OR choquePropioRoja OR choquePropioAzul;
-- PINTAR MOTO AZUL
-- *******************************************************************************
motoAzulY:
process( clk, rst, csMotoAzulY, mueve, dirMotoAzul, iniciarMotos)
begin
if rst = '0' then
csMotoAzulY <= conv_std_logic_vector( 111 , 7 ); -- Abajo de la pantalla (7 bits)
elsif clk'event and clk='1' then
if iniciarMotos = '1' then
csMotoAzulY <= conv_std_logic_vector( 111 , 7 );
elsif mueve = '1' then
-- Ajustar conteo en funcion de la direccion
if dirMotoAzul = ARRIBA then
csMotoAzulY <= csMotoAzulY - 1;
elsif dirMotoAzul = ABAJO then
csMotoAzulY <= csMotoAzulY + 1;
end if;
-- Si se sale por el borde de arriba (0 px) o el de abajo (119 px) aparecer por el contrario
if csMotoAzulY = 0 and dirMotoAzul = ARRIBA then
csMotoAzulY <= conv_std_logic_vector( 119 , 7 );
elsif csMotoAzulY = 119 and dirMotoAzul = ABAJO then
csMotoAzulY <= conv_std_logic_vector( 0 , 7 );
end if;
end if;
end if;
end process;
motoAzulX:
process( clk, rst , csMotoAzulX, mueve, dirMotoAzul, iniciarMotos)
begin
if rst = '0' then
csMotoAzulX <= conv_std_logic_vector( 152 , 8 );
elsif clk'event and clk='1' then
if iniciarMotos = '1' then
csMotoAzulX <= conv_std_logic_vector( 152 , 8 );
elsif mueve = '1' then
-- Ajustar conteo en funcion de la direccion
if dirMotoAzul = IZQUIERDA then
csMotoAzulX <= csMotoAzulX - 1;
elsif dirMotoAzul = DERECHA then
csMotoAzulX <= csMotoAzulX + 1;
end if;
-- Si se sale por el borde de arriba (0 px) o el de abajo (119 px) aparecer por el contrario
if csMotoAzulX = 0 and dirMotoAzul = IZQUIERDA then
csMotoAzulX <= conv_std_logic_vector( 152 , 8 );
elsif csMotoAzulX = 152 and dirMotoAzul = DERECHA then
csMotoAzulX <= conv_std_logic_vector( 0 , 8 );
end if;
end if;
end if;
end process;
-- La moto azul se pinta donde marquen los contadores de los ejes X e Y
motoAzul <= '1' WHEN (pixelCntOut(10 downto 3) > csMotoAzulX-2) AND (pixelCntOut(10 downto 3) < csMotoAzulX+2) AND
(lineCntOut(8 downto 2) > csMotoAzulY-2) AND (lineCntOut(8 downto 2) < csMotoAzulY+2) ELSE '0';
-- PINTAR MOTO ROJA
-- *******************************************************************************
motoRojaY:
process( clk, rst , mueve, csMotoRojaY, mueve, dirMotoRoja, iniciarMotos)
begin
if rst = '0' then
csMotoRojaY <= conv_std_logic_vector( 7, 7 );
elsif clk'event and clk='1' then
if iniciarMotos = '1' then
csMotoRojaY <= conv_std_logic_vector( 7 , 7 );
elsif mueve = '1' then
-- Ajustar conteo en funcion de la direccion
if dirMotoRoja = ARRIBA then
csMotoRojaY <= csMotoRojaY - 1;
elsif dirMotoRoja = ABAJO then
csMotoRojaY <= csMotoRojaY + 1;
end if;
-- Si se sale por el borde de arriba (0 px) o el de abajo (119 px) aparecer por el contrario
if csMotoRojaY = 0 and dirMotoRoja = ARRIBA then
csMotoRojaY <= conv_std_logic_vector( 119 , 7 );
elsif csMotoRojaY = 119 and dirMotoRoja = ABAJO then
csMotoRojaY <= conv_std_logic_vector( 0 , 7 );
end if;
end if;
end if;
end process;
motoRojaX:
process( clk, rst , csMotoRojaX, mueve, dirMotoRoja, iniciarMotos)
begin
if rst = '0' then
csMotoRojaX <= conv_std_logic_vector( 2 , 8 );
elsif clk'event and clk='1' then
if iniciarMotos = '1' then
csMotoRojaX <= conv_std_logic_vector( 2 , 8 );
elsif mueve = '1' then
-- Ajustar conteo en funcion de la direccion
if dirMotoRoja = IZQUIERDA then
csMotoRojaX <= csMotoRojaX - 1;
elsif dirMotoRoja = DERECHA then
csMotoRojaX <= csMotoRojaX + 1;
end if;
-- Si se sale por el borde de arriba (0 px) o el de abajo (119 px) aparecer por el contrario
if csMotoRojaX = 0 and dirMotoRoja = IZQUIERDA then
csMotoRojaX <= conv_std_logic_vector( 152 , 8 );
elsif csMotoRojaX = 152 and dirMotoRoja = DERECHA then
csMotoRojaX <= conv_std_logic_vector( 0 , 8 );
end if;
end if;
end if;
end process;
-- La moto roja se pinta donde marquen los contadores de los ejes X e Y
motoRoja <= '1' WHEN (pixelCntOut(10 downto 3) > csMotoRojaX-2) AND (pixelCntOut(10 downto 3) < csMotoRojaX+2) AND
(lineCntOut(8 downto 2) > csMotoRojaY-2) AND (lineCntOut(8 downto 2) < csMotoRojaY+2) ELSE '0';
-- RALENTIZADOR DE MOVIMIENTO: Evita que las motos se muevan demasiado deprisa
-- *******************************************************************************
ralentizador:
process( clk, rst, csRalentizador, partidaEnCurso)
begin
if rst = '0' then
mueve <= '0';
csRalentizador <= conv_std_logic_vector( 0, 23 );
elsif clk'event and clk = '1' then
if partidaEnCurso = '1' then
if csRalentizador = 2000000 then
mueve <= '1';
csRalentizador <= conv_std_logic_vector( 0, 23 );
else
csRalentizador <= csRalentizador + 1;
mueve <= '0';
end if;
end if;
end if;
end process;
-- RESULTADO FINAL EN MONITOR VGA
-- *******************************************************************************
valor <= NOT blanking;
salidaRojo <= (motoRoja OR estelaRoja) AND NOT blanking;
salidaAzul <= (motoAzul OR estelaAzul) AND NOT blanking;
-- Este biestable evita los glitches en la salida VGA
biestableVGASync:
process(rst, clk)
begin
if rst = '0' then
hSyncQ <= '0';
vSyncQ <= '0';
RGBQ <= valor & valor & valor & valor & valor & valor & valor & valor & valor;
elsif clk'event and clk = '1' then
hSyncQ <= hSync;
vSyncQ <= vSync;
RGBQ <= RGB;
end if;
end process;
-- INTERFAZ TECLADO PS/2
-- *******************************************************************************************
synchronizer:
PROCESS (rst, clk)
VARIABLE aux1: std_logic;
BEGIN
IF (rst='0') THEN
aux1 := '1';
ps2ClkSync <= '1';
ELSIF (clk'EVENT AND clk='1') THEN
ps2ClkSync <= aux1;
aux1 := ps2Clk;
END IF;
END PROCESS synchronizer;
edgeDetector:
PROCESS (rst, clk)
VARIABLE aux1, aux2: std_logic;
BEGIN
ps2ClkFallingEdge <= (NOT aux1) AND aux2;
IF (rst='0') THEN
aux1 := '1';
aux2 := '1';
ELSIF (clk'EVENT AND clk='1') THEN
aux2 := aux1;
aux1 := ps2ClkSync;
END IF;
END PROCESS edgeDetector;
ps2DataReg:
PROCESS (rst, clk)
BEGIN
IF (rst='0') THEN
ps2DataRegOut <= (OTHERS =>'1');
ELSIF (clk'EVENT AND clk='1') THEN
IF (lastBitRcv='1') THEN
ps2DataRegOut <= (OTHERS=>'1');
ELSIF (ps2ClkFallingEdge='1') THEN
ps2DataRegOut <= ps2Data & ps2DataRegOut(10 downto 1);
END IF;
END IF;
END PROCESS ps2DataReg;
oddParityCheker:
goodParity <=
((ps2DataRegOut(9) XOR ps2DataRegOut(8)) XOR (ps2DataRegOut(7) XOR ps2DataRegOut(6)))
XOR ((ps2DataRegOut(5) XOR ps2DataRegOut(4)) XOR (ps2DataRegOut(3) XOR ps2DataRegOut(2)))
XOR ps2DataRegOut(1);
lastBitRcv <= NOT ps2DataRegOut(0);
validData <= lastBitRcv AND goodParity;
dataReg:
PROCESS (rst, clk)
BEGIN
IF (rst='0') THEN
data <= (OTHERS=>'0');
ELSIF (clk'EVENT AND clk='1') THEN
IF (ldData='1') THEN
data <= ps2DataRegOut(8 downto 1);
END IF;
END IF;
END PROCESS dataReg;
controller:
PROCESS (validData, rst, clk)
TYPE states IS (waitingData, waitingNewDataAck);
VARIABLE state: states;
BEGIN
ldData <= '0';
newData <= '0';
CASE state IS
WHEN waitingData =>
IF (validData='1') THEN
ldData <= '1';
END IF;
WHEN waitingNewDataAck =>
newData <= '1';
WHEN OTHERS => NULL;
END CASE;
IF (rst='0') THEN
state := waitingData;
ELSIF (clk'EVENT AND clk='1') THEN
CASE state IS
WHEN waitingData =>
IF (validData='1') THEN
state := waitingNewDataAck;
END IF;
WHEN waitingNewDataAck =>
IF (newDataAck='1') THEN
state := waitingData;
END IF;
WHEN OTHERS => NULL;
END CASE;
END IF;
END PROCESS controller;
-- MAQUINA DE ESTADOS PARA DETECCION DE TECLAS (TECLADO PS/2)
-- *******************************************************************************************
-- MAQUINA ESTADOS: SINCRONO
maqEstadosTecladoSyn:
process(clk,rst)
begin
if rst ='0' then
dirMotoAzul <= IZQUIERDA;
dirMotoRoja <= DERECHA;
flagSPC <= '0';
ESTADO <= WAITING_PRESS;
elsif clk'event and clk='1' then
dirMotoAzul <= dirMotoAzulNext;
dirMotoRoja <= dirMotoRojaNext;
flagSPC <= flagSPCnext;
ESTADO <= SIG_ESTADO;
end if;
end process;
-- MAQUINA ESTADOS: COMBINACIONAL
maqEstadosTecladoComb:
process(ESTADO, newData, data, dirMotoAzul, dirMotoRoja)
begin
dirMotoAzulNext <= dirMotoAzul;
dirMotoRojaNext <= dirMotoRoja;
flagSPCnext <= flagSPC;
SIG_ESTADO <= ESTADO;
case ESTADO is
when WAITING_PRESS =>
newDataAck <= '1';
if newData = '1' then
case data is
-- Si es F0, es una liberacion de tecla
when "11110000" => SIG_ESTADO <= RELEASE_BUTTON;
-- CONTROL MOTO ROJA
-- ···········································································
-- Si es Q = 15 (hex) y NO va hacia abajo
when "00010101" => if dirMotoRoja = IZQUIERDA OR dirMotoRoja = DERECHA then
dirMotoRojaNext <= ARRIBA;
end if;
-- Si es A = 1C (hex) y NO va hacia arriba
when "00011100" => if dirMotoRoja = IZQUIERDA OR dirMotoRoja = DERECHA then
dirMotoRojaNext <= ABAJO;
end if;
-- Si es Z = 1A (hex) y NO va hacia la derecha
when "00011010" => if dirMotoRoja = ARRIBA OR dirMotoRoja = ABAJO then
dirMotoRojaNext <= IZQUIERDA;
end if;
-- Si es X = 22 (hex) y NO va hacia izquierda
when "00100010" => if dirMotoRoja = ARRIBA OR dirMotoRoja = ABAJO then
dirMotoRojaNext <= DERECHA;
end if;
-- CONTROL MOTO AZUL
-- ···········································································
-- Si es P = 4D (hex) y NO va hacia abajo
when "01001101" => if dirMotoAzul = IZQUIERDA OR dirMotoAzul = DERECHA then
dirMotoAzulNext <= ARRIBA;
end if;
-- Si es L = 4B (hex) y NO va hacia arriba
when "01001011" => if dirMotoAzul = IZQUIERDA OR dirMotoAzul = DERECHA then
dirMotoAzulNext <= ABAJO;
end if;
-- Si es N = 31 (hex) y NO va hacia la derecha
when "00110001" => if dirMotoAzul = ARRIBA OR dirMotoAzul = ABAJO then
dirMotoAzulNext <= IZQUIERDA;
end if;
-- Si es M = 3A (hex) y NO va hacia izquierda
when "00111010" => if dirMotoAzul = ARRIBA OR dirMotoAzul = ABAJO then
dirMotoAzulNext <= DERECHA;
end if;
when "00101001" => flagSPCnext <= '1'; -- Si es SPACE = 29 (hex), activamos flag de SPACE
when others => SIG_ESTADO <= WAITING_PRESS;
end case;
end if;
when RELEASE_BUTTON =>
newDataAck <= '1';
flagSPCnext <= '0';
-- No hacemos nada; consumimos liberacion de tecla para no confundirla con una pulsacion
if newData = '1' then
-- Si es el SPC y antes de empezar la partida
if data = "00101001" AND partidaEnCurso = '0' then
dirMotoAzulNext <= IZQUIERDA;
dirMotoRojaNext <= DERECHA;
end if;
SIG_ESTADO <= WAITING_PRESS;
end if;
end case;
end process;
-- MAQUINA DE ESTADOS PARA EL JUEGO
-- *******************************************************************************************
-- MAQUINA ESTADOS: SINCRONO
maqEstadosJuegoSyn:
process(clk,rst)
begin
if rst ='0' then
GAME <= WAITING_SPACE;
elsif clk'event and clk='1' then
GAME <= NEXT_GAME;
end if;
end process;
-- MAQUINA ESTADOS: COMBINACIONAL
maqEstadosJuegoComb:
process(GAME, flagSPC, hayGanador, limpiezaCompletada)
begin
case GAME is
when WAITING_SPACE =>
partidaEnCurso <= '0';
iniciarMotos <= '0';
limpiarMemoria <= '0';
NEXT_GAME <= WAITING_SPACE;
if flagSPC = '1' then
limpiarMemoria <= '1';
NEXT_GAME <= INITIALIZING_GAME;
end if;
when INITIALIZING_GAME =>
partidaEnCurso <= '0';
iniciarMotos <= '1';
limpiarMemoria <= '1';
NEXT_GAME <= INITIALIZING_GAME;
if limpiezaCompletada = '1' then
limpiarMemoria <= '0';
NEXT_GAME <= WAITING_WINNER;
end if;
when WAITING_WINNER =>
partidaEnCurso <= '1';
iniciarMotos <= '0';
limpiarMemoria <= '0';
NEXT_GAME <= WAITING_WINNER;
if hayGanador = '1'then
NEXT_GAME <= WAITING_SPACE;
end if;
end case;
end process;
END tronArch;
|
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0;
USE mult_gen_v12_0.mult_gen_v12_0;
ENTITY multi_fft IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0)
);
END multi_fft;
ARCHITECTURE multi_fft_arch OF multi_fft IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF multi_fft_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF multi_fft_arch: ARCHITECTURE IS "mult_gen_v12_0,Vivado 2014.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF multi_fft_arch : ARCHITECTURE IS "multi_fft,mult_gen_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF multi_fft_arch: ARCHITECTURE IS "multi_fft,mult_gen_v12_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=zynq,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=5,C_A_WIDTH=29,C_A_TYPE=0,C_B_WIDTH=29,C_B_TYPE=0,C_OUT_HIGH=57,C_OUT_LOW=0,C_MULT_TYPE=0,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "zynq",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 5,
C_A_WIDTH => 29,
C_A_TYPE => 0,
C_B_WIDTH => 29,
C_B_TYPE => 0,
C_OUT_HIGH => 57,
C_OUT_LOW => 0,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END multi_fft_arch;
|
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0;
USE mult_gen_v12_0.mult_gen_v12_0;
ENTITY multi_fft IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0)
);
END multi_fft;
ARCHITECTURE multi_fft_arch OF multi_fft IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF multi_fft_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF multi_fft_arch: ARCHITECTURE IS "mult_gen_v12_0,Vivado 2014.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF multi_fft_arch : ARCHITECTURE IS "multi_fft,mult_gen_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF multi_fft_arch: ARCHITECTURE IS "multi_fft,mult_gen_v12_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=zynq,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=5,C_A_WIDTH=29,C_A_TYPE=0,C_B_WIDTH=29,C_B_TYPE=0,C_OUT_HIGH=57,C_OUT_LOW=0,C_MULT_TYPE=0,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "zynq",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 5,
C_A_WIDTH => 29,
C_A_TYPE => 0,
C_B_WIDTH => 29,
C_B_TYPE => 0,
C_OUT_HIGH => 57,
C_OUT_LOW => 0,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END multi_fft_arch;
|
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0;
USE mult_gen_v12_0.mult_gen_v12_0;
ENTITY multi_fft IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0)
);
END multi_fft;
ARCHITECTURE multi_fft_arch OF multi_fft IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF multi_fft_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF multi_fft_arch: ARCHITECTURE IS "mult_gen_v12_0,Vivado 2014.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF multi_fft_arch : ARCHITECTURE IS "multi_fft,mult_gen_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF multi_fft_arch: ARCHITECTURE IS "multi_fft,mult_gen_v12_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=zynq,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=5,C_A_WIDTH=29,C_A_TYPE=0,C_B_WIDTH=29,C_B_TYPE=0,C_OUT_HIGH=57,C_OUT_LOW=0,C_MULT_TYPE=0,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "zynq",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 5,
C_A_WIDTH => 29,
C_A_TYPE => 0,
C_B_WIDTH => 29,
C_B_TYPE => 0,
C_OUT_HIGH => 57,
C_OUT_LOW => 0,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END multi_fft_arch;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: leon3
-- File: leon3.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: LEON3 types and components
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
library techmap;
use techmap.gencomp.all;
package leon3 is
constant LEON3_VERSION : integer := 3;
type l3_irq_in_type is record
irl : std_logic_vector(3 downto 0);
rst : std_ulogic;
run : std_ulogic;
rstvec : std_logic_vector(31 downto 12);
iact : std_ulogic;
index : std_logic_vector(3 downto 0);
hrdrst : std_ulogic;
end record;
type l3_irq_out_type is record
intack : std_ulogic;
irl : std_logic_vector(3 downto 0);
pwd : std_ulogic;
fpen : std_ulogic;
idle : std_ulogic;
end record;
type l3_debug_in_type is record
dsuen : std_ulogic; -- DSU enable
denable : std_ulogic; -- diagnostic register access enable
dbreak : std_ulogic; -- debug break-in
step : std_ulogic; -- single step
halt : std_ulogic; -- halt processor
reset : std_ulogic; -- reset processor
dwrite : std_ulogic; -- read/write
daddr : std_logic_vector(23 downto 2); -- diagnostic address
ddata : std_logic_vector(31 downto 0); -- diagnostic data
btrapa : std_ulogic; -- break on IU trap
btrape : std_ulogic; -- break on IU trap
berror : std_ulogic; -- break on IU error mode
bwatch : std_ulogic; -- break on IU watchpoint
bsoft : std_ulogic; -- break on software breakpoint (TA 1)
tenable : std_ulogic;
timer : std_logic_vector(30 downto 0); --
end record;
constant dbgi_none : l3_debug_in_type := ('0', '0', '0', '0', '0',
'0', '0', (others => '0'), (others => '0'), '0', '0', '0', '0', '0', '0', (others => '0'));
constant l3_dbgi_none : l3_debug_in_type := dbgi_none;
type l3_cstat_type is record
cmiss : std_ulogic; -- cache miss
tmiss : std_ulogic; -- TLB miss
chold : std_ulogic; -- cache hold
mhold : std_ulogic; -- cache mmu hold
end record;
constant cstat_none : l3_cstat_type := ('0', '0', '0', '0');
type l3_debug_out_type is record
data : std_logic_vector(31 downto 0);
crdy : std_ulogic;
dsu : std_ulogic;
dsumode : std_ulogic;
error : std_ulogic;
halt : std_ulogic;
pwd : std_ulogic;
idle : std_ulogic;
ipend : std_ulogic;
icnt : std_ulogic;
fcnt : std_ulogic;
optype : std_logic_vector(5 downto 0); -- instruction type
bpmiss : std_ulogic; -- branch predict miss
istat : l3_cstat_type;
dstat : l3_cstat_type;
wbhold : std_ulogic; -- write buffer hold
su : std_ulogic; -- supervisor state
end record;
type l3_debug_in_vector is array (natural range <>) of l3_debug_in_type;
type l3_debug_out_vector is array (natural range <>) of l3_debug_out_type;
constant dbgo_none : l3_debug_out_type := (X"00000000", '0', '0', '0', '0',
'0', '0', '0', '0', '0', '0', "000000", '0', cstat_none, cstat_none, '0', '0');
constant l3_dbgo_none : l3_debug_out_type := dbgo_none;
type tracebuf_in_type is record
addr : std_logic_vector(11 downto 0);
data : std_logic_vector(255 downto 0);
enable : std_logic;
write : std_logic_vector(7 downto 0);
end record;
type tracebuf_out_type is record
data : std_logic_vector(255 downto 0);
end record;
type tracebuf_2p_in_type is record
renable : std_logic;
raddr : std_logic_vector(11 downto 0);
write : std_logic_vector(7 downto 0);
waddr : std_logic_vector(11 downto 0);
data : std_logic_vector(255 downto 0);
end record;
type tracebuf_2p_out_type is record
data : std_logic_vector(255 downto 0);
end record;
component tbufmem
generic ( tech : integer := 0; tbuf : integer := 0; dwidth : integer := 32; testen: integer := 0);
port (
clk : in std_ulogic;
di : in tracebuf_in_type;
do : out tracebuf_out_type;
testin: in std_logic_vector(TESTIN_WIDTH-1 downto 0)
);
end component;
component tbufmem_2p is
generic (
tech : integer := 0;
tbuf : integer := 0; -- trace buf size in kB (0 - no trace buffer)
dwidth : integer := 64; -- AHB data width
testen : integer := 0
);
port (
clk : in std_ulogic;
di : in tracebuf_2p_in_type;
do : out tracebuf_2p_out_type;
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0)
);
end component;
constant tracebuf_out_type_none : tracebuf_out_type :=
(data => (others => '0'));
constant tracebuf_in_type_none : tracebuf_in_type := (
addr => (others => '0'),
data => (others => '0'),
enable => '0',
write => (others => '0')
);
constant tracebuf_2p_out_type_none : tracebuf_2p_out_type :=
(data => (others => '0'));
constant tracebuf_2p_in_type_none : tracebuf_2p_in_type := (
renable => '0',
raddr => (others => '0'),
write => (others => '0'),
waddr => (others => '0'),
data => (others => '0')
);
component leon3s
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 31 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart: integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart: integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2;
svt : integer range 0 to 1 := 1;
rstaddr : integer := 16#00000#;
smp : integer range 0 to 15 := 0;
cached : integer := 0;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic;
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type
);
end component;
component leon3cg
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 31 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart: integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart: integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2;
svt : integer range 0 to 1 := 1;
rstaddr : integer := 16#00000#;
smp : integer range 0 to 15 := 0;
cached : integer := 0;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic;
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
gclk : in std_ulogic
);
end component;
component leon3ft
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 31 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart: integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart: integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2;
svt : integer range 0 to 1 := 1;
rstaddr : integer := 16#00000#;
smp : integer range 0 to 15 := 0; -- support SMP systems
iuft : integer range 0 to 4 := 0;
fpft : integer range 0 to 4 := 0;
cmft : integer range 0 to 1 := 0;
iuinj : integer := 0;
ceinj : integer range 0 to 3 := 0;
cached : integer := 0; -- cacheability table
netlist : integer := 0; -- use netlist
scantest : integer := 0; -- enable scan test support
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic;
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
gclk : in std_ulogic
);
end component;
type grfpu_in_type is record
start : std_logic;
nonstd : std_logic;
flop : std_logic_vector(8 downto 0);
op1 : std_logic_vector(63 downto 0);
op2 : std_logic_vector(63 downto 0);
opid : std_logic_vector(7 downto 0);
flush : std_logic;
flushid : std_logic_vector(5 downto 0);
rndmode : std_logic_vector(1 downto 0);
req : std_logic_vector(2 downto 0);
end record;
constant grfpu_in_none : grfpu_in_type :=
('0', '0', (others => '0'), (others => '0'), (others => '0'),
(others => '0'), '0', (others => '0'), (others => '0'),
(others => '0'));
type grfpu_out_type is record
res : std_logic_vector(63 downto 0);
exc : std_logic_vector(5 downto 0);
allow : std_logic_vector(2 downto 0);
rdy : std_logic;
cc : std_logic_vector(1 downto 0);
idout : std_logic_vector(7 downto 0);
end record;
constant grfpu_out_none : grfpu_out_type :=
((others => '0'), (others => '0'), (others => '0'),
'0', (others => '0'), (others => '0'));
type grfpu_out_vector_type is array (integer range 0 to 7) of grfpu_out_type;
type grfpu_in_vector_type is array (integer range 0 to 7) of grfpu_in_type;
component grfpushwx
generic (mul : integer := 0;
nshare : integer range 0 to 8 := 0;
tech : integer;
arb : integer range 0 to 2 := 1);
port(
clk : in std_logic;
reset : in std_logic;
fpvi : in grfpu_in_vector_type;
fpvo : out grfpu_out_vector_type
);
end component;
component leon3sh
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 63 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2; -- power-down
svt : integer range 0 to 1 := 1; -- single vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0; -- support SMP systems
cached : integer := 0; -- cacheability table
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic;
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
fpui : out grfpu_in_type;
fpuo : in grfpu_out_type
);
end component;
component leon3s2x
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 31 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2; -- power-down
svt : integer range 0 to 1 := 1; -- single vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0; -- support SMP systems
cached : integer := 0; -- cacheability table
clk2x : integer := 1;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic;
gclk2 : in std_ulogic;
clk2 : in std_ulogic; -- snoop clock
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
clken : in std_ulogic
);
end component;
component leon3ft2x
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 63 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2; -- power-down
svt : integer range 0 to 1 := 1; -- single vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0; -- support SMP systems
iuft : integer range 0 to 4 := 0;
fpft : integer range 0 to 4 := 0;
cmft : integer range 0 to 1 := 0;
iuinj : integer := 0;
ceinj : integer range 0 to 3 := 0;
cached : integer := 0;
clk2x : integer := 1;
netlist : integer := 0;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic; -- free-running clock
gclk2 : in std_ulogic; -- gated 2x clock
gfclk2 : in std_ulogic; -- gated 2x FPU clock
clk2 : in std_ulogic; -- free-running 2x clock
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
fpui : out grfpu_in_type;
fpuo : in grfpu_out_type;
clken : in std_ulogic
);
end component;
type dsu_in_type is record
enable : std_ulogic;
break : std_ulogic;
end record;
subtype dsu_astat_type is amba_stat_type;
constant dsu_astat_none : dsu_astat_type := amba_stat_none;
type dsu_out_type is record
active : std_ulogic;
tstop : std_ulogic;
pwd : std_logic_vector(15 downto 0);
astat : dsu_astat_type;
end record;
constant dsu_out_none : dsu_out_type :=
(active => '0', tstop => '0', pwd => (others => '0'),
astat => dsu_astat_none);
component dsu3
generic (
hindex : integer := 0;
haddr : integer := 16#900#;
hmask : integer := 16#f00#;
ncpu : integer := 1;
tbits : integer := 30; -- timer bits (instruction trace time tag)
tech : integer := DEFMEMTECH;
irq : integer := 0;
kbytes : integer := 0;
testen : integer := 0;
bwidth : integer := 32;
ahbpf : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
dbgi : in l3_debug_out_vector(0 to NCPU-1);
dbgo : out l3_debug_in_vector(0 to NCPU-1);
dsui : in dsu_in_type;
dsuo : out dsu_out_type
);
end component;
component dsu3_2x
generic (
hindex : integer := 0;
haddr : integer := 16#900#;
hmask : integer := 16#f00#;
ncpu : integer := 1;
tbits : integer := 30; -- timer bits (instruction trace time tag)
tech : integer := DEFMEMTECH;
irq : integer := 0;
kbytes : integer := 0;
testen : integer := 0;
bwidth : integer := 32;
ahbpf : integer := 0
);
port (
rst : in std_ulogic;
hclk : in std_ulogic;
cpuclk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
dbgi : in l3_debug_out_vector(0 to NCPU-1);
dbgo : out l3_debug_in_vector(0 to NCPU-1);
dsui : in dsu_in_type;
dsuo : out dsu_out_type;
hclken : in std_ulogic
);
end component;
component dsu3x
generic (
hindex : integer := 0;
haddr : integer := 16#900#;
hmask : integer := 16#f00#;
ncpu : integer := 1;
tbits : integer := 30; -- timer bits (instruction trace time tag)
tech : integer := DEFMEMTECH;
irq : integer := 0;
kbytes : integer := 0;
clk2x : integer range 0 to 1 := 0;
testen : integer := 0;
bwidth : integer := 32;
ahbpf : integer := 0
);
port (
rst : in std_ulogic;
hclk : in std_ulogic;
cpuclk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
tahbsi : in ahb_slv_in_type;
dbgi : in l3_debug_out_vector(0 to NCPU-1);
dbgo : out l3_debug_in_vector(0 to NCPU-1);
dsui : in dsu_in_type;
dsuo : out dsu_out_type;
hclken : in std_ulogic
);
end component;
component dsu3_mb
generic (
hindex : integer := 0;
haddr : integer := 16#900#;
hmask : integer := 16#f00#;
ncpu : integer := 1;
tbits : integer := 30; -- timer bits (instruction trace time tag)
tech : integer := DEFMEMTECH;
irq : integer := 0;
kbytes : integer := 0;
testen : integer := 0;
bwidth : integer := 32;
ahbpf : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
tahbsi : in ahb_slv_in_type;
dbgi : in l3_debug_out_vector(0 to NCPU-1);
dbgo : out l3_debug_in_vector(0 to NCPU-1);
dsui : in dsu_in_type;
dsuo : out dsu_out_type
);
end component;
type l3stat_src_array is array (15 downto 0) of std_logic_vector(3 downto 0);
type l3stat_in_type is record
event : std_logic_vector(15 downto 0);
esource : l3stat_src_array;
sel : std_logic_vector(15 downto 0);
req : std_logic_vector(15 downto 0);
latcnt : std_ulogic;
timer : std_logic_vector(31 downto 0);
end record;
constant l3stat_in_none : l3stat_in_type :=
(event => (others => '0'),
esource => (others => (others => '0')),
sel => (others => '0'),
req => (others => '0'),
latcnt => '0',
timer => (others => '0'));
component l3stat
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ncnt : integer := 2;
ncpu : integer := 1;
nmax : integer := 0;
lahben : integer := 0;
dsuen : integer := 0;
nextev : integer range 0 to 16 := 0;
apb2en : integer := 0;
pindex2 : integer := 0;
paddr2 : integer := 0;
pmask2 : integer := 16#fff#;
astaten : integer := 0;
selreq : integer := 0;
clatch : integer := 0
);
port (
rstn : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbsi : in ahb_slv_in_type;
dbgo : in l3_debug_out_vector(0 to NCPU-1);
dsuo : in dsu_out_type := dsu_out_none;
stati : in l3stat_in_type := l3stat_in_none;
apb2i : in apb_slv_in_type := apb_slv_in_none;
apb2o : out apb_slv_out_type;
astat : in amba_stat_type := amba_stat_none);
end component;
type irq_in_vector is array (Natural range <> ) of l3_irq_in_type;
type irq_out_vector is array (Natural range <> ) of l3_irq_out_type;
component irqmp
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ncpu : integer := 1;
eirq : integer := 0;
irqmap : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
irqi : in irq_out_vector(0 to ncpu-1);
irqo : out irq_in_vector(0 to ncpu-1)
);
end component;
component irqmp2x
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ncpu : integer := 1;
eirq : integer := 0;
clkfact : integer := 2;
irqmap : integer := 0
);
port (
rst : in std_ulogic;
hclk : in std_ulogic;
cpuclk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
irqi : in irq_out_vector(0 to ncpu-1);
irqo : out irq_in_vector(0 to ncpu-1);
hclken : in std_ulogic
);
end component;
component irqamp
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ncpu : integer := 1;
eirq : integer := 0;
nctrl : integer range 1 to 16 := 1;
tstamp : integer range 0 to 16 := 0;
wdogen : integer range 0 to 1 := 0;
nwdog : integer range 1 to 16 := 1;
dynrstaddr : integer range 0 to 1 := 0;
rstaddr : integer range 0 to 16#fffff# := 0;
extrun : integer range 0 to 1 := 0;
irqmap : integer := 0;
exttimer : integer range 0 to 1 := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
irqi : in irq_out_vector(0 to ncpu-1);
irqo : out irq_in_vector(0 to ncpu-1);
wdog : in std_logic_vector(nwdog-1 downto 0) := (others => '0');
cpurun : in std_logic_vector(ncpu-1 downto 0) := (others => '0');
timer : in std_logic_vector(31 downto 0) := (others => '0')
);
end component;
component irqamp2x
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ncpu : integer := 1;
eirq : integer := 0;
nctrl : integer range 1 to 16 := 1;
tstamp : integer range 0 to 16 := 0;
wdogen : integer range 0 to 1 := 0;
nwdog : integer range 1 to 16 := 1;
dynrstaddr : integer range 0 to 1 := 0;
rstaddr : integer range 0 to 16#fffff# := 0;
extrun : integer range 0 to 1 := 0;
clkfact : integer := 2;
irqmap : integer := 0;
exttimer : integer range 0 to 1 := 0
);
port (
rst : in std_ulogic;
hclk : in std_ulogic;
cpuclk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
irqi : in irq_out_vector(0 to ncpu-1);
irqo : out irq_in_vector(0 to ncpu-1);
wdog : in std_logic_vector(nwdog-1 downto 0) := (others => '0');
cpurun : in std_logic_vector(ncpu-1 downto 0) := (others => '0');
hclken : in std_ulogic;
timer : in std_logic_vector(31 downto 0) := (others => '0')
);
end component;
component leon3ftsh
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 63 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2; -- power-down
svt : integer range 0 to 1 := 1; -- single vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0; -- support SMP systems
iuft : integer range 0 to 4 := 0;
fpft : integer range 0 to 4 := 0;
cmft : integer range 0 to 1 := 0;
iuinj : integer := 0;
ceinj : integer range 0 to 3 := 0;
cached : integer := 0;
netlist : integer := 0;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic; -- free-running clock
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
gclk : in std_ulogic; -- gated clock
fpui : out grfpu_in_type;
fpuo : in grfpu_out_type
);
end component;
component leon3x
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 63 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2; -- power-down
svt : integer range 0 to 1 := 1; -- single vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0; -- support SMP systems
iuft : integer range 0 to 4 := 0;
fpft : integer range 0 to 4 := 0;
cmft : integer range 0 to 1 := 0;
iuinj : integer := 0;
ceinj : integer range 0 to 3 := 0;
cached : integer := 0;
clk2x : integer := 1;
netlist : integer := 0;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic; -- free-running clock
gclk2 : in std_ulogic; -- gated 2x clock
gfclk2 : in std_ulogic; -- gated 2x FPU clock
clk2 : in std_ulogic; -- free-running 2x clock
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
fpui : out grfpu_in_type;
fpuo : in grfpu_out_type;
clken : in std_ulogic
);
end component;
-- disassembly dummy module
component cpu_disasx
port (
clk : in std_ulogic;
rstn : in std_ulogic;
dummy : out std_ulogic;
inst : in std_logic_vector(31 downto 0);
pc : in std_logic_vector(31 downto 2);
result : in std_logic_vector(31 downto 0);
index : in std_logic_vector(3 downto 0);
wreg : in std_ulogic;
annul : in std_ulogic;
holdn : in std_ulogic;
pv : in std_ulogic;
trap : in std_ulogic;
disas : in std_ulogic);
end component;
end;
|
----------------------------------------------------------------------------------
-- Project Name: Frecuency Counter
-- Target Devices: Spartan 3
-- Engineers: Ángel Larrañaga Muro
-- Nicolás Jurado Jiménez
-- Gonzalo Matarrubia Gonzalez
-- License: All files included in this proyect are licensed under a Creative Commons Attribution-ShareAlike 4.0 International License
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY decoder IS
PORT (
codigo : IN std_logic_vector(3 DOWNTO 0);
led : OUT std_logic_vector(6 DOWNTO 0)
);
END ENTITY decoder;
ARCHITECTURE dataflow OF decoder IS
BEGIN
WITH codigo SELECT
led <= "0000001" WHEN "0000",
"1001111" WHEN "0001",
"0010010" WHEN "0010",
"0000110" WHEN "0011",
"1001100" WHEN "0100",
"0100100" WHEN "0101",
"0100000" WHEN "0110",
"0001111" WHEN "0111",
"0000000" WHEN "1000",
"0000100" WHEN "1001",
"1111110" WHEN others;
END ARCHITECTURE dataflow; |
----------------------------------------------------------------------------------
-- Project Name: Frecuency Counter
-- Target Devices: Spartan 3
-- Engineers: Ángel Larrañaga Muro
-- Nicolás Jurado Jiménez
-- Gonzalo Matarrubia Gonzalez
-- License: All files included in this proyect are licensed under a Creative Commons Attribution-ShareAlike 4.0 International License
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY decoder IS
PORT (
codigo : IN std_logic_vector(3 DOWNTO 0);
led : OUT std_logic_vector(6 DOWNTO 0)
);
END ENTITY decoder;
ARCHITECTURE dataflow OF decoder IS
BEGIN
WITH codigo SELECT
led <= "0000001" WHEN "0000",
"1001111" WHEN "0001",
"0010010" WHEN "0010",
"0000110" WHEN "0011",
"1001100" WHEN "0100",
"0100100" WHEN "0101",
"0100000" WHEN "0110",
"0001111" WHEN "0111",
"0000000" WHEN "1000",
"0000100" WHEN "1001",
"1111110" WHEN others;
END ARCHITECTURE dataflow; |
-------------------------------------------------------------------------------
-- Title : Exercise
-- Project : Counter
-------------------------------------------------------------------------------
-- File : clk_gen.vhd
-- Author : Martin Angermair
-- Company : Technikum Wien, Embedded Systems
-- Last update: 24.10.2017
-- Platform : ModelSim
-------------------------------------------------------------------------------
-- Description: Clockgenerator
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 27.10.2017 0.1 Martin Angermair init
-- 19.11.2017 1.0 Martin Angermair final version
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
architecture rtl of clk_gen is
signal s_signal : std_logic := '0';
begin
process(clk_i, reset_i)
variable v_count : integer := 0;
begin
if reset_i = '1' then
s_signal <= '0';
v_count := 0;
elsif rising_edge(clk_i) then
if v_count = count_val_i then
v_count := 0;
s_signal <= not s_signal;
else
v_count := v_count + 1;
end if;
end if;
end process;
signal_o <= s_signal;
end rtl; |
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of ent_bb
--
-- Generated
-- by: wig
-- on: Tue Nov 29 13:29:43 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../sigport.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_bb-rtl-a.vhd,v 1.3 2005/11/30 14:04:00 wig Exp $
-- $Date: 2005/11/30 14:04:00 $
-- $Log: ent_bb-rtl-a.vhd,v $
-- Revision 1.3 2005/11/30 14:04:00 wig
-- Updated testcase references
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of ent_bb
--
architecture rtl of ent_bb is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
--
-- Nets
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
-----------------------------------------------------------------
-- Project : Invent a Chip
-- Module : Testbench
-- Last update : 28.11.2013
-----------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.standard.all;
use std.textio.all;
use std.env.all;
library work;
use work.iac_pkg.all;
entity iac_testbench is
end iac_testbench;
architecture sim of iac_testbench is
constant SYSTEM_CYCLE_TIME : time := 20 ns; -- 50MHz
constant SIMULATION_TIME : time := 100000 * SYSTEM_CYCLE_TIME;
constant FULL_DEBUG : natural := 0;
constant SIMULATION_MODE : boolean := true;
signal clock, reset_n, reset : std_ulogic;
signal end_simulation : std_ulogic;
-- 7_seg
signal hex0_n : std_ulogic_vector(6 downto 0);
signal hex1_n : std_ulogic_vector(6 downto 0);
signal hex2_n : std_ulogic_vector(6 downto 0);
signal hex3_n : std_ulogic_vector(6 downto 0);
signal hex4_n : std_ulogic_vector(6 downto 0);
signal hex5_n : std_ulogic_vector(6 downto 0);
signal hex6_n : std_ulogic_vector(6 downto 0);
signal hex7_n : std_ulogic_vector(6 downto 0);
-- gpio
signal gpio : std_logic_vector(15 downto 0);
-- lcd
signal lcd_en : std_ulogic;
signal lcd_rs : std_ulogic;
signal lcd_rw : std_ulogic;
signal lcd_on : std_ulogic;
signal lcd_blon : std_ulogic;
signal lcd_dat : std_ulogic_vector(7 downto 0);
-- led/switches/keys
signal led_green : std_ulogic_vector(8 downto 0);
signal led_red : std_ulogic_vector(17 downto 0);
signal switch : std_ulogic_vector(17 downto 0);
signal key_n, key : std_ulogic_vector(2 downto 0);
-- adc_dac
signal exb_adc_switch : std_ulogic_vector(2 downto 0);
signal exb_adc_en_n : std_ulogic;
signal exb_dac_ldac_n : std_ulogic;
signal exb_spi_clk : std_ulogic;
signal exb_spi_mosi : std_ulogic;
signal exb_spi_miso : std_logic;
signal exb_spi_cs_adc_n : std_ulogic;
signal exb_spi_cs_dac_n : std_ulogic;
-- sram
signal sram_ce_n : std_ulogic;
signal sram_oe_n : std_ulogic;
signal sram_we_n : std_ulogic;
signal sram_ub_n : std_ulogic;
signal sram_lb_n : std_ulogic;
signal sram_addr : std_ulogic_vector(19 downto 0);
signal sram_dq : std_logic_vector(15 downto 0);
-- uart
signal uart_rts : std_ulogic;
signal uart_cts : std_ulogic;
signal uart_rxd : std_ulogic;
signal uart_txd : std_ulogic;
-- audio
signal aud_xclk : std_ulogic;
signal aud_bclk : std_ulogic;
signal aud_adc_lrck : std_ulogic;
signal aud_adc_dat : std_ulogic;
signal aud_dac_lrck : std_ulogic;
signal aud_dac_dat : std_ulogic;
signal i2c_sdat : std_logic;
signal i2c_sclk : std_ulogic;
-- infrared
signal irda_rxd : std_ulogic;
component iac_toplevel is
generic (
SIMULATION : boolean
);
port (
-- global signals
clock_ext_50 : in std_ulogic;
clock_ext2_50 : in std_ulogic;
clock_ext3_50 : in std_ulogic;
reset_n : in std_ulogic; -- (key3)
-- 7_seg
hex0_n : out std_ulogic_vector(6 downto 0);
hex1_n : out std_ulogic_vector(6 downto 0);
hex2_n : out std_ulogic_vector(6 downto 0);
hex3_n : out std_ulogic_vector(6 downto 0);
hex4_n : out std_ulogic_vector(6 downto 0);
hex5_n : out std_ulogic_vector(6 downto 0);
hex6_n : out std_ulogic_vector(6 downto 0);
hex7_n : out std_ulogic_vector(6 downto 0);
-- gpio
gpio : inout std_logic_vector(15 downto 0);
-- lcd
lcd_en : out std_ulogic;
lcd_rs : out std_ulogic;
lcd_rw : out std_ulogic;
lcd_on : out std_ulogic;
lcd_blon : out std_ulogic;
lcd_dat : out std_ulogic_vector(7 downto 0);
-- led/switches/keys
led_green : out std_ulogic_vector(8 downto 0);
led_red : out std_ulogic_vector(17 downto 0);
switch : in std_ulogic_vector(17 downto 0);
key_n : in std_ulogic_vector(2 downto 0);
-- adc_dac
exb_adc_switch : out std_ulogic_vector(2 downto 0);
exb_adc_en_n : out std_ulogic;
exb_dac_ldac_n : out std_ulogic;
exb_spi_clk : out std_ulogic;
exb_spi_mosi : out std_ulogic;
exb_spi_miso : in std_logic;
exb_spi_cs_adc_n : out std_ulogic;
exb_spi_cs_dac_n : out std_ulogic;
-- sram
sram_ce_n : out std_ulogic;
sram_oe_n : out std_ulogic;
sram_we_n : out std_ulogic;
sram_ub_n : out std_ulogic;
sram_lb_n : out std_ulogic;
sram_addr : out std_ulogic_vector(19 downto 0);
sram_dq : inout std_logic_vector(15 downto 0);
-- uart
uart_rts : in std_ulogic;
uart_cts : out std_ulogic;
uart_rxd : in std_ulogic;
uart_txd : out std_ulogic;
-- audio
aud_xclk : out std_ulogic;
aud_bclk : in std_ulogic;
aud_adc_lrck : in std_ulogic;
aud_adc_dat : in std_ulogic;
aud_dac_lrck : in std_ulogic;
aud_dac_dat : out std_ulogic;
i2c_sdat : inout std_logic;
i2c_sclk : inout std_logic;
-- infrared
irda_rxd : in std_ulogic
);
end component iac_toplevel;
component io_model is
generic(
-- file containing static bit-settings for io's
FILE_NAME_SET : string
);
port(
-- io's
gpio : inout std_logic_vector(15 downto 0);
switch : out std_ulogic_vector(17 downto 0);
key : out std_ulogic_vector(2 downto 0)
);
end component io_model;
component adc_model is
generic(
SYSTEM_CYCLE_TIME : time;
FULL_DEBUG : natural;
FILE_NAME_PRELOAD : string
);
port(
-- Global Signals
end_simulation : in std_logic;
-- SPI Signals
spi_clk : in std_ulogic;
spi_miso : out std_logic;
spi_cs_n : in std_ulogic;
-- Switch Signals
swt_select : in std_ulogic_vector(2 downto 0);
swt_enable_n : in std_ulogic
);
end component adc_model;
component dac_model is
generic(
SYSTEM_CYCLE_TIME : time;
FILE_NAME_DUMP : string
);
port(
-- Global Signals
end_simulation : in std_logic;
-- SPI Signals
spi_clk : in std_ulogic;
spi_mosi : in std_ulogic;
spi_cs_n : in std_ulogic;
-- DAC Signals
dac_ldac_n : in std_ulogic
);
end component dac_model;
component seven_seg_model is
generic (
SYSTEM_CYCLE_TIME : time
);
port (
-- Global Signals
end_simulation : in std_ulogic;
-- 7-seg connections
hex0_n : in std_ulogic_vector(6 downto 0);
hex1_n : in std_ulogic_vector(6 downto 0);
hex2_n : in std_ulogic_vector(6 downto 0);
hex3_n : in std_ulogic_vector(6 downto 0);
hex4_n : in std_ulogic_vector(6 downto 0);
hex5_n : in std_ulogic_vector(6 downto 0);
hex6_n : in std_ulogic_vector(6 downto 0);
hex7_n : in std_ulogic_vector(6 downto 0)
);
end component seven_seg_model;
component infrared_model is
generic (
SYSTEM_CYCLE_TIME : time;
-- file with bytes to be send to fpga
FILE_NAME_COMMAND : string;
-- custom code of ir-sender
CUSTOM_CODE : std_ulogic_vector(15 downto 0);
SIMULATION : boolean
);
port (
-- global signals
end_simulation : in std_ulogic;
-- ir-pin
irda_txd : out std_ulogic
);
end component infrared_model;
component lcd_model is
generic(
SYSTEM_CYCLE_TIME : time;
FULL_DEBUG : natural
);
port(
-- Global Signals
end_simulation : in std_ulogic;
-- LCD Signals
disp_en : in std_ulogic;
disp_rs : in std_ulogic;
disp_rw : in std_ulogic;
disp_dat : in std_ulogic_vector(7 downto 0)
);
end component lcd_model;
component sram_model is
generic(
SYSTEM_CYCLE_TIME : time;
FULL_DEBUG : natural;
-- file for preload of sram
FILE_NAME_PRELOAD : string;
-- file for dump at end of simulation
FILE_NAME_DUMP : string;
-- number of addressable words in sram (size of sram)
GV_SRAM_SIZE : natural
);
port(
-- global signals
end_simulation : in std_ulogic;
-- sram connections
sram_ce_n : in std_ulogic;
sram_oe_n : in std_ulogic;
sram_we_n : in std_ulogic;
sram_ub_n : in std_ulogic;
sram_lb_n : in std_ulogic;
sram_addr : in std_ulogic_vector(19 downto 0);
sram_dq : inout std_logic_vector(15 downto 0)
);
end component sram_model;
component uart_model is
generic (
SYSTEM_CYCLE_TIME : time;
-- file with data to be send to fpga
FILE_NAME_COMMAND : string;
-- file for dump of data, received by pc
FILE_NAME_DUMP : string;
-- communication speed for uart-link
BAUD_RATE : natural;
SIMULATION : boolean
);
port (
-- global signals
end_simulation : in std_ulogic;
-- uart-pins (pc side)
rx : in std_ulogic;
tx : out std_ulogic
);
end component uart_model;
signal i2c_sdat_pullup_wire : std_logic;
signal i2c_sclk_pullup_wire : std_logic;
component acodec_model is
generic (
SAMPLE_WIDTH : natural;
SAMPLE_RATE : natural;
SAMPLE_FILE : string
);
port (
-- acodec signals
aud_xclk : in std_ulogic;
aud_bclk : out std_ulogic;
aud_adc_lrck : out std_ulogic;
aud_adc_dat : out std_ulogic;
aud_dac_lrck : out std_ulogic;
aud_dac_dat : in std_ulogic;
i2c_sdat : inout std_logic;
i2c_sclk : in std_logic
);
end component acodec_model;
begin
reset <= not(reset_n);
clk : process
begin
clock <= '1';
wait for SYSTEM_CYCLE_TIME/2;
clock <= '0';
wait for SYSTEM_CYCLE_TIME/2;
end process clk;
rst : process
begin
reset_n <= '0';
wait for 2*SYSTEM_CYCLE_TIME;
reset_n <= '1';
wait;
end process rst;
end_sim : process
variable tmp : line;
begin
end_simulation <= '0';
wait for SIMULATION_TIME;
end_simulation <= '1';
wait for 10*SYSTEM_CYCLE_TIME;
write(tmp, string'("Simulation finished: end time reached!"));
writeline(output, tmp);
stop;
wait;
end process end_sim;
iac_toplevel_inst : iac_toplevel
generic map (
SIMULATION => SIMULATION_MODE
)
port map (
clock_ext_50 => clock,
clock_ext2_50 => clock,
clock_ext3_50 => clock,
reset_n => reset_n,
hex0_n => hex0_n,
hex1_n => hex1_n,
hex2_n => hex2_n,
hex3_n => hex3_n,
hex4_n => hex4_n,
hex5_n => hex5_n,
hex6_n => hex6_n,
hex7_n => hex7_n,
gpio => gpio,
lcd_en => lcd_en,
lcd_rs => lcd_rs,
lcd_rw => lcd_rw,
lcd_on => lcd_on,
lcd_blon => lcd_blon,
lcd_dat => lcd_dat,
led_green => led_green,
led_red => led_red,
switch => switch,
key_n => key_n,
exb_adc_switch => exb_adc_switch,
exb_adc_en_n => exb_adc_en_n,
exb_dac_ldac_n => exb_dac_ldac_n,
exb_spi_clk => exb_spi_clk,
exb_spi_mosi => exb_spi_mosi,
exb_spi_miso => exb_spi_miso,
exb_spi_cs_adc_n => exb_spi_cs_adc_n,
exb_spi_cs_dac_n => exb_spi_cs_dac_n,
sram_ce_n => sram_ce_n,
sram_oe_n => sram_oe_n,
sram_we_n => sram_we_n,
sram_ub_n => sram_ub_n,
sram_lb_n => sram_lb_n,
sram_addr => sram_addr,
sram_dq => sram_dq,
uart_rts => uart_rts,
uart_cts => uart_cts,
uart_rxd => uart_rxd,
uart_txd => uart_txd,
aud_xclk => aud_xclk,
aud_bclk => aud_bclk,
aud_adc_lrck => aud_adc_lrck,
aud_adc_dat => aud_adc_dat,
aud_dac_lrck => aud_dac_lrck,
aud_dac_dat => aud_dac_dat,
i2c_sdat => i2c_sdat_pullup_wire,
i2c_sclk => i2c_sclk_pullup_wire,
irda_rxd => irda_rxd
);
key_n <= not(key);
io_model_inst : io_model
generic map (
FILE_NAME_SET => "io.txt")
port map (
gpio => gpio,
switch => switch,
key => key
);
seven_seg_gen : if CV_EN_SEVENSEG = 1 generate
seven_seg_model_inst : seven_seg_model
generic map (
SYSTEM_CYCLE_TIME => SYSTEM_CYCLE_TIME)
port map (
end_simulation => end_simulation,
hex0_n => hex0_n,
hex1_n => hex1_n,
hex2_n => hex2_n,
hex3_n => hex3_n,
hex4_n => hex4_n,
hex5_n => hex5_n,
hex6_n => hex6_n,
hex7_n => hex7_n
);
end generate seven_seg_gen;
exb_spi_miso <= 'H';
adc_dac_gen : if CV_EN_ADC_DAC = 1 generate
adc_model_inst : adc_model
generic map (
SYSTEM_CYCLE_TIME => SYSTEM_CYCLE_TIME,
FULL_DEBUG => FULL_DEBUG,
FILE_NAME_PRELOAD => "adc_preload.txt")
port map (
end_simulation => end_simulation,
spi_clk => exb_spi_clk,
spi_miso => exb_spi_miso,
spi_cs_n => exb_spi_cs_adc_n,
swt_select => exb_adc_switch,
swt_enable_n => exb_adc_en_n
);
dac_model_inst : dac_model
generic map (
SYSTEM_CYCLE_TIME => SYSTEM_CYCLE_TIME,
FILE_NAME_DUMP => "dac_dump.txt")
port map (
end_simulation => end_simulation,
spi_clk => exb_spi_clk,
spi_mosi => exb_spi_mosi,
spi_cs_n => exb_spi_cs_dac_n,
dac_ldac_n => exb_dac_ldac_n
);
end generate adc_dac_gen;
i2c_sdat_pullup_wire <= 'H';
i2c_sclk_pullup_wire <= 'H';
audio_gen : if CV_EN_AUDIO = 1 generate
acodec_inst : acodec_model
generic map (
SAMPLE_WIDTH => 16,
SAMPLE_RATE => 8*44100,
SAMPLE_FILE => "audio_samples.txt")
port map (
aud_xclk => aud_xclk,
aud_bclk => aud_bclk,
aud_adc_lrck => aud_adc_lrck,
aud_adc_dat => aud_adc_dat,
aud_dac_lrck => aud_dac_lrck,
aud_dac_dat => aud_dac_dat,
i2c_sdat => i2c_sdat_pullup_wire,
i2c_sclk => i2c_sclk_pullup_wire
);
end generate audio_gen;
infrared_gen : if CV_EN_IR = 1 generate
infrared_inst : infrared_model
generic map (
SYSTEM_CYCLE_TIME => SYSTEM_CYCLE_TIME,
FILE_NAME_COMMAND => "ir_command.txt",
CUSTOM_CODE => x"6B86",
SIMULATION => SIMULATION_MODE
)
port map (
end_simulation => end_simulation,
irda_txd => irda_rxd
);
end generate infrared_gen;
lcd_gen : if CV_EN_LCD = 1 generate
lcd_model_inst : lcd_model
generic map(
SYSTEM_CYCLE_TIME => SYSTEM_CYCLE_TIME,
FULL_DEBUG => FULL_DEBUG
)
port map (
end_simulation => end_simulation,
disp_en => lcd_en,
disp_rs => lcd_rs,
disp_rw => lcd_rw,
disp_dat => lcd_dat
);
end generate lcd_gen;
sram_gen : if CV_EN_SRAM = 1 generate
sram_model_inst : sram_model
generic map (
SYSTEM_CYCLE_TIME => SYSTEM_CYCLE_TIME,
FULL_DEBUG => FULL_DEBUG,
FILE_NAME_PRELOAD => "sram_preload.txt",
FILE_NAME_DUMP => "sram_dump.txt",
GV_SRAM_SIZE => 2**20
)
port map (
end_simulation => end_simulation,
sram_ce_n => sram_ce_n,
sram_oe_n => sram_oe_n,
sram_we_n => sram_we_n,
sram_ub_n => sram_ub_n,
sram_lb_n => sram_lb_n,
sram_addr => sram_addr,
sram_dq => sram_dq
);
end generate sram_gen;
uart_gen : if CV_EN_UART = 1 generate
uart_model_inst : uart_model
generic map (
SYSTEM_CYCLE_TIME => SYSTEM_CYCLE_TIME,
FILE_NAME_COMMAND => "uart_command.txt",
FILE_NAME_DUMP => "uart_dump.txt",
BAUD_RATE => CV_UART_BAUDRATE,
SIMULATION => SIMULATION_MODE
)
port map (
end_simulation => end_simulation,
rx => uart_txd,
tx => uart_rxd
);
end generate uart_gen;
end sim;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc826.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s02b02x00p02n01i00826ent IS
END c01s02b02x00p02n01i00826ent;
ARCHITECTURE c01s02b02x00p02n01i00826arch OF c01s02b02x00p02n01i00826ent IS
BEGIN
return; -- illegal location for return statement
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s02b02x00p02n01i00826 - Architecture statement can only have concurrent statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s02b02x00p02n01i00826arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc826.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s02b02x00p02n01i00826ent IS
END c01s02b02x00p02n01i00826ent;
ARCHITECTURE c01s02b02x00p02n01i00826arch OF c01s02b02x00p02n01i00826ent IS
BEGIN
return; -- illegal location for return statement
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s02b02x00p02n01i00826 - Architecture statement can only have concurrent statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s02b02x00p02n01i00826arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc826.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s02b02x00p02n01i00826ent IS
END c01s02b02x00p02n01i00826ent;
ARCHITECTURE c01s02b02x00p02n01i00826arch OF c01s02b02x00p02n01i00826ent IS
BEGIN
return; -- illegal location for return statement
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s02b02x00p02n01i00826 - Architecture statement can only have concurrent statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s02b02x00p02n01i00826arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2001.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b02x00p07n02i02001ent IS
END c07s02b02x00p07n02i02001ent;
ARCHITECTURE c07s02b02x00p07n02i02001arch OF c07s02b02x00p07n02i02001ent IS
BEGIN
TESTING: PROCESS
type CHAR_RECORD is record
C1, C2, C3 : CHARACTER;
end record;
variable k : integer := 0;
variable m : CHAR_RECORD := ('a','b','c');
BEGIN
if (m = CHAR_RECORD'('a','b','c')) then
k := 5;
else
k := 0;
end if;
assert NOT(k=5)
report "***PASSED TEST: c07s02b02x00p07n02i02001"
severity NOTE;
assert (k=5)
report "***FAILED TEST: c07s02b02x00p07n02i02001 - The equality operator returns the value TRUE if the two operands are equal, and the value FALSE otherwise."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b02x00p07n02i02001arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2001.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b02x00p07n02i02001ent IS
END c07s02b02x00p07n02i02001ent;
ARCHITECTURE c07s02b02x00p07n02i02001arch OF c07s02b02x00p07n02i02001ent IS
BEGIN
TESTING: PROCESS
type CHAR_RECORD is record
C1, C2, C3 : CHARACTER;
end record;
variable k : integer := 0;
variable m : CHAR_RECORD := ('a','b','c');
BEGIN
if (m = CHAR_RECORD'('a','b','c')) then
k := 5;
else
k := 0;
end if;
assert NOT(k=5)
report "***PASSED TEST: c07s02b02x00p07n02i02001"
severity NOTE;
assert (k=5)
report "***FAILED TEST: c07s02b02x00p07n02i02001 - The equality operator returns the value TRUE if the two operands are equal, and the value FALSE otherwise."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b02x00p07n02i02001arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2001.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b02x00p07n02i02001ent IS
END c07s02b02x00p07n02i02001ent;
ARCHITECTURE c07s02b02x00p07n02i02001arch OF c07s02b02x00p07n02i02001ent IS
BEGIN
TESTING: PROCESS
type CHAR_RECORD is record
C1, C2, C3 : CHARACTER;
end record;
variable k : integer := 0;
variable m : CHAR_RECORD := ('a','b','c');
BEGIN
if (m = CHAR_RECORD'('a','b','c')) then
k := 5;
else
k := 0;
end if;
assert NOT(k=5)
report "***PASSED TEST: c07s02b02x00p07n02i02001"
severity NOTE;
assert (k=5)
report "***FAILED TEST: c07s02b02x00p07n02i02001 - The equality operator returns the value TRUE if the two operands are equal, and the value FALSE otherwise."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b02x00p07n02i02001arch;
|
entity func1 is
end entity;
architecture test of func1 is
function add1(x : integer) return integer is
begin
return x + 1;
end function;
begin
p1: process is
variable r : integer;
begin
r := 2;
r := add1(r);
wait;
end process;
end architecture;
|
------------------------------------------------------------------------------------
--state machine:
--1) idle: wait for the period to start the ping sensing
--2) trigger: send the 10us trigger pulse
--3) wait echo: wait for the echo high edge, if timeout_cnt reaches 50ms, restart
--4) echo count: echo rising edge received begin count, end count when echo falling edge, if timeout_cnt reaches 50ms, restart
--5) wait next: wait for timeout to reach 50ms.
-----------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.ALL;
use ieee.std_logic_unsigned.all;
entity ping_sensor is
generic (CLK_FREQ_NS : positive := 20);
port( clk : in std_logic;
reset: in std_logic;
--ping signals
ping_io: inout std_logic; --tristate option usage
echo_length : out std_logic_vector(15 downto 0);
ping_enable: in std_logic;
echo_done_out: out std_logic;
state_debug: out std_logic_vector(2 downto 0);
timeout: out std_logic;
busy : out std_logic
);
end ping_sensor ;
architecture Behavioral of ping_sensor is
type state_type is (idle, trigger_on, trigger_off, echo_wait, echo_cnt, echo_wait_low, wait_next); --,wait_sample_period);
signal state_reg, state_next: state_type;
--@50Mhz
constant VAL_1us :integer:= 1_000/CLK_FREQ_NS;
constant VAL_WAIT_NEXT_PING: integer := 5000; -- found that at least 170 us need on the parallax sensor.
constant VAL_10us :integer:= 10 ; --10us
constant TIMEOUT_VAL: integer := 50_000; --50ms
signal echo_reading_r: unsigned(31 downto 0);
--general purpose 1us counter used in state machine
signal cnt_us_r: unsigned(31 downto 0);
signal cnt_us_rst, cnt_us_rst_r: std_logic;
signal trigger_out_n, echo_done : std_logic ;
signal timeout_q : std_logic ;
--usec counter signals
signal end_usec, load_usec_counter : std_logic ;
signal usec_counter : std_logic_vector(31 downto 0);
--IF USING TRISTATE VALUES
signal echo_in, trigger_out: std_logic;
signal trigger_out_temp: std_logic;
signal echo_in_r: std_logic;
signal echo_in_debounced: std_logic_vector(7 downto 0);
begin
--tristate option
with state_reg select
ping_io <= '1' when trigger_on,
'Z' when echo_wait,
'Z' when echo_cnt,
'Z' when echo_wait_low,
'0' when others ;
with state_reg select
echo_in <= ping_io when echo_wait,
ping_io when echo_cnt,
'0' when others ;
-- input latch
process(clk, reset)
begin
if reset = '1' then
echo_in_r <= '0';
echo_in_debounced <= (others => '0');
elsif clk'event and clk = '1' then
echo_in_debounced(echo_in_debounced'high downto 1) <= echo_in_debounced((echo_in_debounced'high-1) downto 0);
echo_in_debounced(0) <= echo_in ;
if echo_in_debounced = 0 then
echo_in_r <= '0' ;
elsif echo_in_debounced = X"FF" then
echo_in_r <= '1';
end if ;
end if;
end process ;
--state register
process(clk, reset)
begin
if reset = '1' then
state_reg <= idle;
elsif clk'event and clk = '1' then
state_reg <= state_next;
end if;
end process ;
process(state_reg, ping_enable, echo_in_r,cnt_us_r, end_usec, timeout_q)
begin
state_next <= state_reg;
case state_reg is
when idle =>
if (ping_enable = '1') then --start trigger sequence
state_next <= trigger_on;
end if;
when trigger_on =>
if (cnt_us_r >= VAL_10US and end_usec = '1') then
state_next <= trigger_off;
end if;
when trigger_off =>
if (cnt_us_r >= VAL_10US and end_usec = '1') then
state_next <= echo_wait;
end if;
when echo_wait => --wait for echo to go high
if (echo_in_r = '1' and end_usec = '1') then --echo went high
state_next <= echo_cnt;
elsif timeout_q = '1' then
state_next <= wait_next;
end if;
when echo_cnt => --cnt length of echo pulse
if (echo_in_r = '0' and end_usec = '1') then --ECHO received - DONE!
state_next <= wait_next;
elsif timeout_q = '1' then --check to see if the timeout
state_next <= echo_wait_low;
end if;
when echo_wait_low => --this will wait to ensure echo has gone low, sr04 will timeout @200ms with echo high
if(echo_in_r = '0' and end_usec = '1') then
state_next <= wait_next;
end if;
when wait_next => -- wait end of timeout to start next measurement
if (cnt_us_r >= VAL_WAIT_NEXT_PING and end_usec = '1') then --putting lower values here throws wrencn in the works
state_next <= idle;
end if;
end case;
end process;
with state_reg select
state_debug <= "000" when idle,
"001" when trigger_on,
"010" when trigger_off,
"011" when echo_wait,
"100" when echo_cnt,
"101" when echo_wait_low,
"110" when others ;
cnt_us_rst <= '1' when state_reg = idle else
'1' when state_next /= state_reg else
'0';
echo_done <= '1' when state_reg = echo_cnt and echo_in_r = '0' else
'0' ;
timeout_q <= '1' when state_reg = echo_wait and cnt_us_r >= TIMEOUT_VAL else
'1' when state_reg = echo_cnt and cnt_us_r >= TIMEOUT_VAL else
'0' ;
timeout <= timeout_q ;
busy <= '0' when state_reg = idle and ping_enable = '0' else
'1' ;
-- usec counter
process(clk, reset)
begin
if reset = '1' then
cnt_us_r <= (others => '0');
elsif clk'event and clk = '1' then
if cnt_us_rst = '1' then
cnt_us_r <= (others => '0');
elsif end_usec = '1' then
cnt_us_r <= cnt_us_r + 1 ;
end if ;
end if ;
end process ;
-- main clock divider to generate usec period
process(clk, reset)
begin
if reset = '1' then
usec_counter <= std_logic_vector(to_unsigned(VAL_1us-1, 32));
elsif clk'event and clk = '1' then
if load_usec_counter = '1' then
usec_counter <= std_logic_vector(to_unsigned(VAL_1us-1, 32));
else
usec_counter <= usec_counter - 1 ;
end if ;
end if ;
end process ;
end_usec <= '1' when usec_counter = 0 else
'0' ;
load_usec_counter <= '1' when state_reg = idle else
end_usec;
--result latch
process(clk, reset)
begin
if reset = '1' then
echo_reading_r <= (others => '0');
elsif clk'event and clk = '1' then
if echo_done = '1' then
echo_reading_r <= cnt_us_r;
end if ;
end if ;
end process ;
--register latch the reset signal, getting glic
process(clk, reset)
begin
if reset = '1' then
cnt_us_rst_r <= '0';
elsif clk'event and clk = '1' then
cnt_us_rst_r <= cnt_us_rst ;
end if ;
end process ;
echo_length <= std_logic_vector(echo_reading_r(15 downto 0)) ;
echo_done_out <= echo_done;
end Behavioral;
|
------------------------------------------------------------------------------------
--state machine:
--1) idle: wait for the period to start the ping sensing
--2) trigger: send the 10us trigger pulse
--3) wait echo: wait for the echo high edge, if timeout_cnt reaches 50ms, restart
--4) echo count: echo rising edge received begin count, end count when echo falling edge, if timeout_cnt reaches 50ms, restart
--5) wait next: wait for timeout to reach 50ms.
-----------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.ALL;
use ieee.std_logic_unsigned.all;
entity ping_sensor is
generic (CLK_FREQ_NS : positive := 20);
port( clk : in std_logic;
reset: in std_logic;
--ping signals
ping_io: inout std_logic; --tristate option usage
echo_length : out std_logic_vector(15 downto 0);
ping_enable: in std_logic;
echo_done_out: out std_logic;
state_debug: out std_logic_vector(2 downto 0);
timeout: out std_logic;
busy : out std_logic
);
end ping_sensor ;
architecture Behavioral of ping_sensor is
type state_type is (idle, trigger_on, trigger_off, echo_wait, echo_cnt, echo_wait_low, wait_next); --,wait_sample_period);
signal state_reg, state_next: state_type;
--@50Mhz
constant VAL_1us :integer:= 1_000/CLK_FREQ_NS;
constant VAL_WAIT_NEXT_PING: integer := 5000; -- found that at least 170 us need on the parallax sensor.
constant VAL_10us :integer:= 10 ; --10us
constant TIMEOUT_VAL: integer := 50_000; --50ms
signal echo_reading_r: unsigned(31 downto 0);
--general purpose 1us counter used in state machine
signal cnt_us_r: unsigned(31 downto 0);
signal cnt_us_rst, cnt_us_rst_r: std_logic;
signal trigger_out_n, echo_done : std_logic ;
signal timeout_q : std_logic ;
--usec counter signals
signal end_usec, load_usec_counter : std_logic ;
signal usec_counter : std_logic_vector(31 downto 0);
--IF USING TRISTATE VALUES
signal echo_in, trigger_out: std_logic;
signal trigger_out_temp: std_logic;
signal echo_in_r: std_logic;
signal echo_in_debounced: std_logic_vector(7 downto 0);
begin
--tristate option
with state_reg select
ping_io <= '1' when trigger_on,
'Z' when echo_wait,
'Z' when echo_cnt,
'Z' when echo_wait_low,
'0' when others ;
with state_reg select
echo_in <= ping_io when echo_wait,
ping_io when echo_cnt,
'0' when others ;
-- input latch
process(clk, reset)
begin
if reset = '1' then
echo_in_r <= '0';
echo_in_debounced <= (others => '0');
elsif clk'event and clk = '1' then
echo_in_debounced(echo_in_debounced'high downto 1) <= echo_in_debounced((echo_in_debounced'high-1) downto 0);
echo_in_debounced(0) <= echo_in ;
if echo_in_debounced = 0 then
echo_in_r <= '0' ;
elsif echo_in_debounced = X"FF" then
echo_in_r <= '1';
end if ;
end if;
end process ;
--state register
process(clk, reset)
begin
if reset = '1' then
state_reg <= idle;
elsif clk'event and clk = '1' then
state_reg <= state_next;
end if;
end process ;
process(state_reg, ping_enable, echo_in_r,cnt_us_r, end_usec, timeout_q)
begin
state_next <= state_reg;
case state_reg is
when idle =>
if (ping_enable = '1') then --start trigger sequence
state_next <= trigger_on;
end if;
when trigger_on =>
if (cnt_us_r >= VAL_10US and end_usec = '1') then
state_next <= trigger_off;
end if;
when trigger_off =>
if (cnt_us_r >= VAL_10US and end_usec = '1') then
state_next <= echo_wait;
end if;
when echo_wait => --wait for echo to go high
if (echo_in_r = '1' and end_usec = '1') then --echo went high
state_next <= echo_cnt;
elsif timeout_q = '1' then
state_next <= wait_next;
end if;
when echo_cnt => --cnt length of echo pulse
if (echo_in_r = '0' and end_usec = '1') then --ECHO received - DONE!
state_next <= wait_next;
elsif timeout_q = '1' then --check to see if the timeout
state_next <= echo_wait_low;
end if;
when echo_wait_low => --this will wait to ensure echo has gone low, sr04 will timeout @200ms with echo high
if(echo_in_r = '0' and end_usec = '1') then
state_next <= wait_next;
end if;
when wait_next => -- wait end of timeout to start next measurement
if (cnt_us_r >= VAL_WAIT_NEXT_PING and end_usec = '1') then --putting lower values here throws wrencn in the works
state_next <= idle;
end if;
end case;
end process;
with state_reg select
state_debug <= "000" when idle,
"001" when trigger_on,
"010" when trigger_off,
"011" when echo_wait,
"100" when echo_cnt,
"101" when echo_wait_low,
"110" when others ;
cnt_us_rst <= '1' when state_reg = idle else
'1' when state_next /= state_reg else
'0';
echo_done <= '1' when state_reg = echo_cnt and echo_in_r = '0' else
'0' ;
timeout_q <= '1' when state_reg = echo_wait and cnt_us_r >= TIMEOUT_VAL else
'1' when state_reg = echo_cnt and cnt_us_r >= TIMEOUT_VAL else
'0' ;
timeout <= timeout_q ;
busy <= '0' when state_reg = idle and ping_enable = '0' else
'1' ;
-- usec counter
process(clk, reset)
begin
if reset = '1' then
cnt_us_r <= (others => '0');
elsif clk'event and clk = '1' then
if cnt_us_rst = '1' then
cnt_us_r <= (others => '0');
elsif end_usec = '1' then
cnt_us_r <= cnt_us_r + 1 ;
end if ;
end if ;
end process ;
-- main clock divider to generate usec period
process(clk, reset)
begin
if reset = '1' then
usec_counter <= std_logic_vector(to_unsigned(VAL_1us-1, 32));
elsif clk'event and clk = '1' then
if load_usec_counter = '1' then
usec_counter <= std_logic_vector(to_unsigned(VAL_1us-1, 32));
else
usec_counter <= usec_counter - 1 ;
end if ;
end if ;
end process ;
end_usec <= '1' when usec_counter = 0 else
'0' ;
load_usec_counter <= '1' when state_reg = idle else
end_usec;
--result latch
process(clk, reset)
begin
if reset = '1' then
echo_reading_r <= (others => '0');
elsif clk'event and clk = '1' then
if echo_done = '1' then
echo_reading_r <= cnt_us_r;
end if ;
end if ;
end process ;
--register latch the reset signal, getting glic
process(clk, reset)
begin
if reset = '1' then
cnt_us_rst_r <= '0';
elsif clk'event and clk = '1' then
cnt_us_rst_r <= cnt_us_rst ;
end if ;
end process ;
echo_length <= std_logic_vector(echo_reading_r(15 downto 0)) ;
echo_done_out <= echo_done;
end Behavioral;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1443.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s07b00x00p02n01i01443ent IS
END c08s07b00x00p02n01i01443ent;
ARCHITECTURE c08s07b00x00p02n01i01443arch OF c08s07b00x00p02n01i01443ent IS
begin
transmit: process
variable k : integer := 10;
variable m : integer := 6;
begin
if m > 5 then
while (k > 5) loop
k := k - 1;
end loop;
end if;
assert (k = 5)
report "***FAILED TEST: c08s07b00x00p02n01i01443 - WHILE statement to be sequence statements of IF statement"
severity ERROR;
assert NOT(k = 5)
report "***PASSED TEST: c08s07b00x00p02n01i01443"
severity NOTE;
wait;
end process;
END c08s07b00x00p02n01i01443arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1443.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s07b00x00p02n01i01443ent IS
END c08s07b00x00p02n01i01443ent;
ARCHITECTURE c08s07b00x00p02n01i01443arch OF c08s07b00x00p02n01i01443ent IS
begin
transmit: process
variable k : integer := 10;
variable m : integer := 6;
begin
if m > 5 then
while (k > 5) loop
k := k - 1;
end loop;
end if;
assert (k = 5)
report "***FAILED TEST: c08s07b00x00p02n01i01443 - WHILE statement to be sequence statements of IF statement"
severity ERROR;
assert NOT(k = 5)
report "***PASSED TEST: c08s07b00x00p02n01i01443"
severity NOTE;
wait;
end process;
END c08s07b00x00p02n01i01443arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1443.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s07b00x00p02n01i01443ent IS
END c08s07b00x00p02n01i01443ent;
ARCHITECTURE c08s07b00x00p02n01i01443arch OF c08s07b00x00p02n01i01443ent IS
begin
transmit: process
variable k : integer := 10;
variable m : integer := 6;
begin
if m > 5 then
while (k > 5) loop
k := k - 1;
end loop;
end if;
assert (k = 5)
report "***FAILED TEST: c08s07b00x00p02n01i01443 - WHILE statement to be sequence statements of IF statement"
severity ERROR;
assert NOT(k = 5)
report "***PASSED TEST: c08s07b00x00p02n01i01443"
severity NOTE;
wait;
end process;
END c08s07b00x00p02n01i01443arch;
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.uart_bfm_pkg.all;
--=================================================================================================
--=================================================================================================
--=================================================================================================
package vvc_cmd_pkg is
--===============================================================================================
-- t_operation
-- - Bitvis defined BFM operations
--===============================================================================================
type t_operation is (
NO_OPERATION,
AWAIT_COMPLETION,
AWAIT_ANY_COMPLETION,
ENABLE_LOG_MSG,
DISABLE_LOG_MSG,
FLUSH_COMMAND_QUEUE,
FETCH_RESULT,
INSERT_DELAY,
TERMINATE_CURRENT_COMMAND,
TRANSMIT, RECEIVE, EXPECT);
constant C_VVC_CMD_DATA_MAX_LENGTH : natural := C_DATA_MAX_LENGTH;
constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300;
--===============================================================================================
-- t_vvc_cmd_record
-- - Record type used for communication with the VVC
--===============================================================================================
type t_vvc_cmd_record is record
-- Common VVC fields
operation : t_operation;
proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
cmd_idx : natural;
command_type : t_immediate_or_queued;
msg_id : t_msg_id;
gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed
gen_boolean : boolean; -- Generic boolean
timeout : time;
alert_level : t_alert_level;
delay : time;
quietness : t_quietness;
-- VVC dedicated fields
data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
max_receptions : integer;
end record;
constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := (
operation => FETCH_RESULT, -- Default unless overwritten by a common operation
data => (others => '0'),
max_receptions => 1,
alert_level => failure,
proc_call => (others => NUL),
msg => (others => NUL),
cmd_idx => 0,
command_type => NO_command_type,
msg_id => NO_ID,
gen_integer_array => (others => -1),
gen_boolean => false,
timeout => 0 ns,
delay => 0 ns,
quietness => NON_QUIET
);
--===============================================================================================
-- shared_vvc_cmd
-- - Shared variable used for transmitting VVC commands
--===============================================================================================
shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
--===============================================================================================
-- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response :
--
-- - Used for storing the result of a BFM procedure called by the VVC,
-- so that the result can be transported from the VVC to for example a sequencer via
-- fetch_result() as described in VVC_Framework_common_methods_QuickRef
--
-- - t_vvc_result includes the return value of the procedure in the BFM.
-- It can also be defined as a record if multiple values shall be transported from the BFM
--===============================================================================================
subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
type t_vvc_result_queue_element is record
cmd_idx : natural; -- from UVVM handshake mechanism
result : t_vvc_result;
end record;
type t_vvc_response is record
fetch_is_accepted : boolean;
transaction_result : t_transaction_result;
result : t_vvc_result;
end record;
shared variable shared_vvc_response : t_vvc_response;
--===============================================================================================
-- t_last_received_cmd_idx :
-- - Used to store the last queued cmd in vvc interpreter.
--===============================================================================================
type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer;
--===============================================================================================
-- shared_vvc_last_received_cmd_idx
-- - Shared variable used to get last queued index from vvc to sequencer
--===============================================================================================
shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1));
end package vvc_cmd_pkg;
package body vvc_cmd_pkg is
end package body vvc_cmd_pkg;
|
-- NEED RESULT: ARCH00631: Index constraints passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00631
--
-- AUTHOR:
--
-- D. Hyman
--
-- TEST OBJECTIVES:
--
-- 3.2.1.1 (4)
-- 3.2.1.1 (5)
-- 3.2.1.1 (6)
-- 3.2.1.1 (7)
-- 3.2.1.1 (8)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00631)
-- ENT00631_Test_Bench(ARCH00631_Test_Bench)
--
-- REVISION HISTORY:
--
-- 24-AUG-1987 - initial revision
-- 18-JAN-1987 - removed refs to predefined attributes on func calls
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES; use STANDARD_TYPES.all ;
architecture ARCH00631 of E00000 is
begin
P :
process
constant c : STANDARD_TYPES.t_arr1 := c_st_arr1_1 ;
variable v : integer ;
attribute a : STANDARD_TYPES.t_arr1 ;
attribute a of v : variable is c_st_arr1_1 ;
type array_access is access STANDARD_TYPES.t_arr1 ;
variable ptr : array_access ;
variable low1, high1, left1, right1,
low2, high2, left2, right2 : integer := 9999 ;
variable st : STANDARD_TYPES.st_arr1 := c_st_arr1_1 ;
subtype t_100 is STANDARD_TYPES.t_arr1
(100+st_arr1'left to 100+st_arr1'right) ;
variable t : t_100 := c_st_arr1_1 ;
procedure proc_with_unconstrained_array ( a : in STANDARD_TYPES.t_arr1 ;
lo,hi,lft,rght : out integer )
is
begin
lo := a'low ;
hi := a'high ;
lft := a'left ;
rght := a'right ;
end proc_with_unconstrained_array ;
procedure proc_with_constrained_array ( a : in STANDARD_TYPES.st_arr1 ;
lo,hi,lft,rght : out integer )
is
begin
lo := a'low ;
hi := a'high ;
lft := a'left ;
rght := a'right ;
end proc_with_constrained_array ;
begin
proc_with_unconstrained_array ( st, low1, high1, left1, right1 ) ;
proc_with_constrained_array ( t, low2, high2, left2, right2 ) ;
ptr := new t_arr1(1 to 10) ;
test_report ( "ARCH00631" ,
"Index constraints" ,
(c'low = st_arr1'low) and -- these test 3.2.1.1 (4)
(c'high = st_arr1'high) and
(c'left = st_arr1'left) and
(c'right = st_arr1'right) and
-- (v'a'low = st_arr1'low) and -- these test 3.2.1.1 (5)
-- (v'a'high = st_arr1'high) and
-- (v'a'left = st_arr1'left) and
-- (v'a'right = st_arr1'right) and
(ptr.all'low = 1) and -- these test 3.2.1.1 (6)
(ptr.all'high = 10) and
(ptr.all'left = 1) and
(ptr.all'right = 10) and
(low1 = st_arr1'low) and -- these test 3.2.1.1 (8)
(high1 = st_arr1'high) and
(left1 = st_arr1'left) and
(right1 = st_arr1'right) and
(low2 = st_arr1'low) and -- these test 3.2.1.1 (7)
(high2 = st_arr1'high) and
(left2 = st_arr1'left) and
(right2 = st_arr1'right)
) ;
wait ;
end process P ;
end ARCH00631 ;
--
entity ENT00631_Test_Bench is
end ENT00631_Test_Bench ;
architecture ARCH00631_Test_Bench of ENT00631_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00631 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00631_Test_Bench ;
--
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 05:33:07 11/13/2013
-- Design Name:
-- Module Name: D:/Programming/gitHub/cg3207-proj/IF_test.vhd
-- Project Name: Lab3
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Fetch
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY if_test IS
END if_test;
ARCHITECTURE behavior OF if_test IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Fetch
PORT(
clk : IN std_logic;
reset : IN std_logic;
In_stall_if : IN std_logic;
Instruction : OUT std_logic_vector(31 downto 0);
PC_out : OUT std_logic_vector(31 downto 0);
BEQ_PC : IN std_logic_vector(31 downto 0);
PCSrc : IN std_logic;
Jump : IN std_logic;
JumpPC : IN std_logic_vector(31 downto 0);
IF_ID_Flush : OUT std_logic
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
signal In_stall_if : std_logic := '0';
signal BEQ_PC : std_logic_vector(31 downto 0) := (others => '0');
signal PCSrc : std_logic := '0';
signal Jump : std_logic := '0';
signal JumpPC : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal Instruction : std_logic_vector(31 downto 0);
signal PC_out : std_logic_vector(31 downto 0);
signal IF_ID_Flush : std_logic;
-- Clock period definitions
constant clk_period : time := 100 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Fetch PORT MAP (
clk => clk,
reset => reset,
In_stall_if => In_stall_if,
Instruction => Instruction,
PC_out => PC_out,
BEQ_PC => BEQ_PC,
PCSrc => PCSrc,
Jump => Jump,
JumpPC => JumpPC,
IF_ID_Flush => IF_ID_Flush
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
reset <= '1';
wait for 100 ns;
-- normal, expect PC_out = 00000000
reset <= '0';
In_stall_if <= '0';
BEQ_PC <= X"00000002";
PCSrc <= '0';
Jump <= '0';
JumpPC <= X"00000004";
wait for 100 ns;
-- normal, expect PC_out = 00000001
-- BEQ_PC <= X"00000001";
-- JUMPpC <= X"00000002";
-- wait for 100 ns;
--
-- -- jump, expect PC_out = 0000001C
-- BEQ_PC <= X"00000003";
-- Jump <= '1';
-- JumpPC <= X"0000001C";
-- wait for 100 ns;
--
-- -- normal, expect PC_out = 00000020
-- Jump <= '0';
-- wait for 200 ns;
--
-- -- beq, expect PC_out = 00000004
-- PCSrc <= '1';
-- BEQ_PC <= X"00000004";
-- Jump <= '0';
-- JumpPC <= X"00000004";
-- wait for 100 ns;
--
-- -- normal, expect PC_out = 00000005
-- PCSrc <= '0';
-- wait for 200 ns;
--
-- -- stall, expect PC_out = 00000005
-- In_stall_if <= '1';
-- wait for 100 ns;
--
-- -- normal, expect PC_out = 00000006
-- In_stall_if <= '0';
wait for 500 ns;
wait;
end process;
END;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Tue Mar 28 05:22:49 2017
-- Host : DESKTOP-B1QME94 running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dds_compiler_0_sim_netlist.vhdl
-- Design : dds_compiler_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
UeUQOSqc517u4Gp21W1qcB44JkXjttQw3I9etxLnnrt3tkJ0d4uxhbBwSkc7IM9w0xxr7owGLR37
1Ii0/OYJsQ==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
kOXgzYTJC4GxJCP3UAJekjjYLOXKC9b70sFPvaIFCHz6zbI3mz+JUFPTpADGukAuJQCKiXWwYOBZ
MmBb8JugLkKE+O1iqIjgnplEt9Bnnc0cPnUeT9o1Q0bWLLOKk75pVanxsTWyvGhO5t3dBcHf76mm
DceLRrUeM7AAXcHNQP8=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
JeQtyj3Kal6oTj33H4A+stJ+V3DCiNJv8J7k4H0+dLfFYYJJ3jbUoUt90xE3PJrsmjZDUKwDIVOX
HWBDaCL3u44dq/L0M441Q3RfpW9QQqU0ai34/xEtkAvplg6Oe3ludzsYQZ7T2bjYDyh8NSDEu4PD
/ngBWkp/hfXUBkMQq3g=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
D+mEShAo+idVddojD4Ocf30d3PeQsjyupmNQjqsNdbpJFSb9AWyTI4HLKIImT0S50Zgb6LGKxa9h
26g8vXL3CdbVdP5O8FpM1809Abu5sfhEOCwdvtKWRwLRZt1+A/6C8nMHuYTLwrt4lXg1bU5c54n9
i12z+RFxTTeQUgM++Sl/RYKl7QJ7e+6a2bvs7RCI+NDk3Qaeos9nT6roJnfx2wpYOF4jStxFa2up
F5q2mhYTDOmLHpkBQCKAWc41vFlv1ZeWkv5nIa97hTbbuUW8GmJEmxKYO5Ix08oKP4QxHuiNF++X
v0t8M5z/+3rsLJl0oKiKofyP/dx+okR3PXDIyw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
tcnuNu53+hchNr+pZ1NtakfiTYoR6SYivYJdM66R8/4XDELZLm46FZjh8e2MDPfDIe0TPxgXssIK
JBpdVvHEF3sN4ne8BH5Hig1m+5eYblKUujpGtmIpXovQKiu33+xi9YvN+S91R0i8O+wIG5Y8ZtSd
416fkpAXIqKUgtlCKXBPfNKh6pXB2wSYbWz3TlPOiCZhgXOn24ftBdQmq794Zo6QdyiBWEIqcHvf
cGxpfdy9soUWUFDgRcMQziQpv5Bf40FoOoFPc0PTxzAfe1PMhPuWIOtJwU7v2ehiljl8zfvKr43F
vafnOBmYmG/WIJ2D8gT8zcjKCOuzkEZD4/6LHw==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
CtAJ5i2Ss06xmVLrV4Tdrt3cQho/pCz9fbTCKJxQdDrBclu8FdA7n6uV/sbGH0tMaSievrFx2Jcw
lrfRQgsQbFyxSpn5PUFRabLV3UXwVpPqRPFv60hHW8dL6EBKTJRiEKGMFV/9GNtBclnQParE68gy
UWIYfWYlfU8odNKh63v3UlbKBdSSTudb0Ul16UHMxR9rOEcIVol8aLIxFF0XFN3SbjvZQYMrSrda
mdPrPZ6RZeEOu+2fjH5DVxI6YAiec9k48XHplaRfVHc5p6pbC8oywpPPg+e3mzZanroV2DYjAywn
LeUIPZxac7VkB/2/ioqm/Wqs+AR5+6YLStbDqg==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
Sk+Ok3Tq9jEXZvURgvNmr8IkFV2a/tf1syzqgNwdgZpUX+1P7BCTFQmUFiJtvSwBh5MX3CmcHE1e
QJVFgR9eBNNmqgwv8CV+T6GpEVdtXuBGY1v391P1VDykkB7d+T2jzds0BMTiFg/O6KNHljmIsAXc
h9ybOV5qx4rOQxavUGnQmsMiL/et95WXk/tICU1TBvcfIWCdv2Y1nqQNFstohOIST8oKhsJMREIV
84yso5OSDRB8VbTfJAa0Hk348Hcoowx1qEvsqnAem849PxgoVRnxQw1G045e4dMHhjokuv5LLhVF
Qp2FCBupaWo8RzaC2sl9Y5huEK/I1ejCEsD1gw==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
FiuNWaOSv/DwnukjJDo7TPcVH510EHjec9H3tUwnf7LCOxosJctcOYnI8efX3rZ+ILceRYBVEAXC
MKPzWpJkW+1zcEbD5DwwleQ3RUdjzU+0hgunhS+cc3CxbnvmsS5vbVnEUphJMsaxsGoSGV0P5Ulr
i8PI66HDmcdryEZa6w/OCpQGEz66AIOqOTOjkbNDLK3OK2ztbUAjyiJh+xBZNck+/M/MDs+tsZpe
DLin0GPA4xyKs6hHiJfcF32tEfdpvhOFi3dCV7S3IjFRDxf1J6AopGKSf9JmyukLpNII+z0JZTKv
d30rXxvRVS22qAHZaAnviHumabDrKOUgxzQ9GA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 136080)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tlast : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tready : in STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tlast : out STD_LOGIC;
m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
event_pinc_invalid : out STD_LOGIC;
event_poff_invalid : out STD_LOGIC;
event_phase_in_invalid : out STD_LOGIC;
event_s_phase_tlast_missing : out STD_LOGIC;
event_s_phase_tlast_unexpected : out STD_LOGIC;
event_s_phase_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_poff_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_resync_in : out STD_LOGIC;
debug_axi_chan_in : out STD_LOGIC_VECTOR ( 0 to 0 );
debug_core_nd : out STD_LOGIC;
debug_phase : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_phase_nd : out STD_LOGIC
);
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 8;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 10000;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 12;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 12;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "artix7";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axis_data_tdata\ : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_m_axis_data_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 14 downto 11 );
signal NLW_i_synth_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH of i_synth : label is 22;
attribute C_AMPLITUDE of i_synth : label is 1;
attribute C_CHANNELS of i_synth : label is 1;
attribute C_CHAN_WIDTH of i_synth : label is 1;
attribute C_DEBUG_INTERFACE of i_synth : label is 0;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_M_DATA of i_synth : label is 1;
attribute C_HAS_M_PHASE of i_synth : label is 0;
attribute C_HAS_PHASEGEN of i_synth : label is 1;
attribute C_HAS_PHASE_OUT of i_synth : label is 0;
attribute C_HAS_SINCOS of i_synth : label is 1;
attribute C_HAS_S_CONFIG of i_synth : label is 0;
attribute C_HAS_S_PHASE of i_synth : label is 1;
attribute C_HAS_TLAST of i_synth : label is 0;
attribute C_HAS_TREADY of i_synth : label is 0;
attribute C_LATENCY of i_synth : label is 8;
attribute C_MEM_TYPE of i_synth : label is 1;
attribute C_MODE_OF_OPERATION of i_synth : label is 0;
attribute C_MODULUS of i_synth : label is 10000;
attribute C_M_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 16;
attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_NEGATIVE_COSINE of i_synth : label is 0;
attribute C_NEGATIVE_SINE of i_synth : label is 0;
attribute C_NOISE_SHAPING of i_synth : label is 0;
attribute C_OPTIMISE_GOAL of i_synth : label is 1;
attribute C_OUTPUTS_REQUIRED of i_synth : label is 0;
attribute C_OUTPUT_FORM of i_synth : label is 0;
attribute C_OUTPUT_WIDTH of i_synth : label is 12;
attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 12;
attribute C_PHASE_INCREMENT of i_synth : label is 3;
attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET of i_synth : label is 0;
attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE of i_synth : label is 0;
attribute C_RESYNC of i_synth : label is 0;
attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 24;
attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_USE_DSP48 of i_synth : label is 0;
attribute C_XDEVICEFAMILY of i_synth : label is "artix7";
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
begin
debug_axi_chan_in(0) <= \<const0>\;
debug_axi_pinc_in(21) <= \<const0>\;
debug_axi_pinc_in(20) <= \<const0>\;
debug_axi_pinc_in(19) <= \<const0>\;
debug_axi_pinc_in(18) <= \<const0>\;
debug_axi_pinc_in(17) <= \<const0>\;
debug_axi_pinc_in(16) <= \<const0>\;
debug_axi_pinc_in(15) <= \<const0>\;
debug_axi_pinc_in(14) <= \<const0>\;
debug_axi_pinc_in(13) <= \<const0>\;
debug_axi_pinc_in(12) <= \<const0>\;
debug_axi_pinc_in(11) <= \<const0>\;
debug_axi_pinc_in(10) <= \<const0>\;
debug_axi_pinc_in(9) <= \<const0>\;
debug_axi_pinc_in(8) <= \<const0>\;
debug_axi_pinc_in(7) <= \<const0>\;
debug_axi_pinc_in(6) <= \<const0>\;
debug_axi_pinc_in(5) <= \<const0>\;
debug_axi_pinc_in(4) <= \<const0>\;
debug_axi_pinc_in(3) <= \<const0>\;
debug_axi_pinc_in(2) <= \<const0>\;
debug_axi_pinc_in(1) <= \<const0>\;
debug_axi_pinc_in(0) <= \<const0>\;
debug_axi_poff_in(21) <= \<const0>\;
debug_axi_poff_in(20) <= \<const0>\;
debug_axi_poff_in(19) <= \<const0>\;
debug_axi_poff_in(18) <= \<const0>\;
debug_axi_poff_in(17) <= \<const0>\;
debug_axi_poff_in(16) <= \<const0>\;
debug_axi_poff_in(15) <= \<const0>\;
debug_axi_poff_in(14) <= \<const0>\;
debug_axi_poff_in(13) <= \<const0>\;
debug_axi_poff_in(12) <= \<const0>\;
debug_axi_poff_in(11) <= \<const0>\;
debug_axi_poff_in(10) <= \<const0>\;
debug_axi_poff_in(9) <= \<const0>\;
debug_axi_poff_in(8) <= \<const0>\;
debug_axi_poff_in(7) <= \<const0>\;
debug_axi_poff_in(6) <= \<const0>\;
debug_axi_poff_in(5) <= \<const0>\;
debug_axi_poff_in(4) <= \<const0>\;
debug_axi_poff_in(3) <= \<const0>\;
debug_axi_poff_in(2) <= \<const0>\;
debug_axi_poff_in(1) <= \<const0>\;
debug_axi_poff_in(0) <= \<const0>\;
debug_axi_resync_in <= \<const0>\;
debug_core_nd <= \<const0>\;
debug_phase(21) <= \<const0>\;
debug_phase(20) <= \<const0>\;
debug_phase(19) <= \<const0>\;
debug_phase(18) <= \<const0>\;
debug_phase(17) <= \<const0>\;
debug_phase(16) <= \<const0>\;
debug_phase(15) <= \<const0>\;
debug_phase(14) <= \<const0>\;
debug_phase(13) <= \<const0>\;
debug_phase(12) <= \<const0>\;
debug_phase(11) <= \<const0>\;
debug_phase(10) <= \<const0>\;
debug_phase(9) <= \<const0>\;
debug_phase(8) <= \<const0>\;
debug_phase(7) <= \<const0>\;
debug_phase(6) <= \<const0>\;
debug_phase(5) <= \<const0>\;
debug_phase(4) <= \<const0>\;
debug_phase(3) <= \<const0>\;
debug_phase(2) <= \<const0>\;
debug_phase(1) <= \<const0>\;
debug_phase(0) <= \<const0>\;
debug_phase_nd <= \<const0>\;
event_phase_in_invalid <= \<const0>\;
event_pinc_invalid <= \<const0>\;
event_poff_invalid <= \<const0>\;
event_s_config_tlast_missing <= \<const0>\;
event_s_config_tlast_unexpected <= \<const0>\;
event_s_phase_chanid_incorrect <= \<const0>\;
event_s_phase_tlast_unexpected <= \<const0>\;
m_axis_data_tdata(15) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(14) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(13) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(12) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(11 downto 0) <= \^m_axis_data_tdata\(11 downto 0);
m_axis_data_tlast <= \<const0>\;
m_axis_data_tuser(0) <= \<const0>\;
m_axis_phase_tdata(0) <= \<const0>\;
m_axis_phase_tlast <= \<const0>\;
m_axis_phase_tuser(0) <= \<const0>\;
m_axis_phase_tvalid <= \<const0>\;
s_axis_config_tready <= \<const1>\;
s_axis_phase_tready <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_synth: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13_viv
port map (
aclk => aclk,
aclken => '0',
aresetn => '0',
debug_axi_chan_in(0) => NLW_i_synth_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_i_synth_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_i_synth_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_i_synth_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_i_synth_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_i_synth_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_i_synth_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_i_synth_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_i_synth_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => event_s_phase_tlast_missing,
event_s_phase_tlast_unexpected => NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15) => \^m_axis_data_tdata\(11),
m_axis_data_tdata(14 downto 11) => NLW_i_synth_m_axis_data_tdata_UNCONNECTED(14 downto 11),
m_axis_data_tdata(10 downto 0) => \^m_axis_data_tdata\(10 downto 0),
m_axis_data_tlast => NLW_i_synth_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_i_synth_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_i_synth_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_i_synth_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_i_synth_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_i_synth_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 22) => B"00",
s_axis_phase_tdata(21 downto 0) => s_axis_phase_tdata(21 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_i_synth_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
aclk : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "dds_compiler_0,dds_compiler_v6_0_13,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "dds_compiler_v6_0_13,Vivado 2016.4";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of U0 : label is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of U0 : label is 1;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of U0 : label is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of U0 : label is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of U0 : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of U0 : label is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of U0 : label is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of U0 : label is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of U0 : label is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of U0 : label is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of U0 : label is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of U0 : label is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of U0 : label is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of U0 : label is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 8;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of U0 : label is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of U0 : label is 10000;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of U0 : label is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of U0 : label is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of U0 : label is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of U0 : label is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of U0 : label is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of U0 : label is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of U0 : label is 1;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of U0 : label is 0;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of U0 : label is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of U0 : label is 12;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of U0 : label is 12;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of U0 : label is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of U0 : label is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of U0 : label is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of U0 : label is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of U0 : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of U0 : label is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of U0 : label is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13
port map (
aclk => aclk,
aclken => '1',
aresetn => '1',
debug_axi_chan_in(0) => NLW_U0_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_U0_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_U0_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_U0_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_U0_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_U0_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_U0_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_U0_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_U0_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_U0_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => NLW_U0_event_s_phase_tlast_missing_UNCONNECTED,
event_s_phase_tlast_unexpected => NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0),
m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_U0_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_U0_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_U0_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_U0_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 0) => s_axis_phase_tdata(23 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
|
--------------------------------------------------------------------------------
-- Copyright (c) 2019 David Banks
--
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ /
-- \ \ \/
-- \ \
-- / / Filename : MOS6502CpuMonALS.vhd
-- /___/ /\ Timestamp : 20/09/2019
-- \ \ / \
-- \___\/\___\
--
--Design Name: MOS6502CpuMonALS
--Device: XC6SLX9
--
--
-- This is a small wrapper around MOS6502CpuMon that add the following signals:
-- OEAH_n
-- OEAL_n
-- OED_n
-- DIRD
-- BE
-- ML_n
-- VP_n
-- (these are not fully implemented yet)
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity MOS6502CpuMonALS is
generic (
UseT65Core : boolean := true;
UseAlanDCore : boolean := false;
num_comparators : integer := 8;
avr_prog_mem_size : integer := 8 * 1024
);
port (
clock : in std_logic;
-- 6502 Signals
PhiIn : in std_logic;
Phi1Out : out std_logic;
Phi2Out : out std_logic;
IRQ_n : in std_logic;
NMI_n : in std_logic;
Sync : out std_logic;
Addr : out std_logic_vector(15 downto 0);
R_W_n : out std_logic_vector(1 downto 0);
Data : inout std_logic_vector(7 downto 0);
SO_n : in std_logic;
Res_n : in std_logic;
Rdy : in std_logic;
-- 65C02 Signals
BE : in std_logic;
ML_n : out std_logic;
VP_n : out std_logic;
-- Level Shifter Controls
OERW_n : out std_logic;
OEAH_n : out std_logic;
OEAL_n : out std_logic;
OED_n : out std_logic;
DIRD : out std_logic;
-- External trigger inputs
trig : in std_logic_vector(1 downto 0);
-- ID/mode inputs
mode : in std_logic;
id : in std_logic_vector(3 downto 0);
-- Serial Console
avr_RxD : in std_logic;
avr_TxD : out std_logic;
-- Switches
sw1 : in std_logic;
sw2 : in std_logic;
-- LEDs
led1 : out std_logic;
led2 : out std_logic;
led3 : out std_logic;
-- OHO_DY1 LED display
tmosi : out std_logic;
tdin : out std_logic;
tcclk : out std_logic
);
end MOS6502CpuMonALS;
architecture behavioral of MOS6502CpuMonALS is
signal R_W_n_int : std_logic;
signal sw_reset_cpu : std_logic;
signal sw_reset_avr : std_logic;
signal led_bkpt : std_logic;
signal led_trig0 : std_logic;
signal led_trig1 : std_logic;
signal PhiIn1 : std_logic;
signal PhiIn2 : std_logic;
signal PhiIn3 : std_logic;
signal PhiIn4 : std_logic;
begin
sw_reset_cpu <= not sw1;
sw_reset_avr <= not sw2;
led1 <= led_bkpt;
led2 <= led_trig0;
led3 <= led_trig1;
wrapper : entity work.MOS6502CpuMon
generic map (
UseT65Core => UseT65Core,
UseAlanDCore => UseAlanDCore,
ClkMult => 12,
ClkDiv => 25,
ClkPer => 20.000,
num_comparators => num_comparators,
avr_prog_mem_size => avr_prog_mem_size
)
port map (
clock => clock,
-- 6502 Signals
Phi0 => PhiIn,
Phi1 => Phi1Out,
Phi2 => Phi2Out,
IRQ_n => IRQ_n,
NMI_n => NMI_n,
Sync => Sync,
Addr => Addr,
R_W_n => R_W_n_int,
Data => Data,
SO_n => SO_n,
Res_n => Res_n,
Rdy => Rdy,
-- External trigger inputs
trig => trig,
-- Jumpers
fakeTube_n => '1',
-- Serial Console
avr_RxD => avr_RxD,
avr_TxD => avr_TxD,
-- Switches
sw_reset_cpu => sw_reset_cpu,
sw_reset_avr => sw_reset_avr,
-- LEDs
led_bkpt => led_bkpt,
led_trig0 => led_trig0,
led_trig1 => led_trig1,
-- OHO_DY1 LED display
tmosi => tmosi,
tdin => tdin,
tcclk => tcclk
);
-- 6502 Outputs
R_W_n <= R_W_n_int & R_W_n_int;
-- 65C02 Outputs
ML_n <= '1';
VP_n <= '1';
process(clock)
begin
if rising_edge(clock) then
PhiIn1 <= PhiIn;
PhiIn2 <= PhiIn1;
PhiIn3 <= PhiIn2;
PhiIn4 <= PhiIn3;
end if;
end process;
-- Level Shifter Controls
OERW_n <= '0'; -- not (BE);
OEAH_n <= '0'; -- not (BE);
OEAL_n <= '0'; -- not (BE);
OED_n <= not (BE and PhiIn and PhiIn4); -- TODO: might need to use a slightly delayed version of Phi2 here
DIRD <= R_W_n_int;
end behavioral;
|
-- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library util;
use util.numeric_pkg.all;
use util.logic_pkg.all;
use util.names_pkg.all;
use std.textio.all;
architecture rtl of syncram_1r1w_inferred is
constant memory_size : natural := 2**addr_bits;
type memory_type is array(0 to memory_size-1) of std_ulogic_vector((data_bits-1) downto 0);
-- fill the memory with pseudo-random (but reproduceable) data
pure function memory_init return memory_type is
constant lfsr_bits : natural := addr_bits + log2ceil(data_bits) + 1;
variable lfsr : std_ulogic_vector(lfsr_bits-1 downto 0);
constant taps : std_ulogic_vector(lfsr_bits-1 downto 0) := lfsr_taps(lfsr_bits);
variable ret : memory_type;
variable initial_bit : integer;
variable name : line;
begin
name := new string'(entity_path_name(syncram_1r1w_inferred'path_name));
for n in name.all'range loop
initial_bit := (initial_bit + character'pos(name.all(n))) mod lfsr_bits;
end loop;
deallocate(name);
lfsr := (others => '0');
lfsr(0) := '1';
lfsr(initial_bit) := '1';
for n in 0 to memory_size-1 loop
for m in data_bits-1 downto 0 loop
ret(n)(m) := lfsr(0);
lfsr(lfsr_bits-1 downto 0) := lfsr(0) & (lfsr(lfsr_bits-1 downto 1) xor ((lfsr_bits-2 downto 0 => lfsr(0)) and taps(lfsr_bits-2 downto 0)));
end loop;
end loop;
return ret;
end;
pure function conv_addr (addr : std_ulogic_vector(addr_bits-1 downto 0)) return natural is
begin
if addr_bits > 0 then
return to_integer(unsigned(addr));
else
return 0;
end if;
end function;
signal memory : memory_type := memory_init;
type reg_type is record
raddr : std_ulogic_vector(addr_bits-1 downto 0);
end record;
signal r : reg_type;
begin
write_process : process(clk)
begin
if rising_edge(clk) then
assert not is_x(we) report "we is invalid" severity warning;
if we = '1' then
assert not is_x(waddr) report "waddr is invalid" severity warning;
if not is_x(waddr) then
memory(conv_addr(waddr)) <= wdata;
end if;
end if;
end if;
end process;
write_first_true_gen: if write_first generate
rdata <= memory(conv_addr(r.raddr)) when not is_x(r.raddr) else (others => 'X');
read_process : process(clk)
begin
if rising_edge(clk) then
assert not is_x(re) report "re is invalid" severity warning;
if re = '1' then
r.raddr <= raddr;
end if;
end if;
end process;
end generate;
write_first_false_gen: if not write_first generate
main : process(clk)
begin
if rising_edge(clk) then
assert not is_x(re) report "re is invalid" severity warning;
if re = '1' then
rdata <= memory(conv_addr(raddr));
end if;
end if;
end process;
end generate;
end;
|
package p is
type int_ptr is access integer; -- OK
type bad1 is access foo; -- Error
type rec;
type rec_ptr is access rec;
type rec is record
value : integer;
link : rec_ptr;
end record;
type int_vec is array (integer range <>) of integer;
type int_vec_ptr is access int_vec;
type string_ptr is access string;
end package;
package body p is
procedure test is
variable v : int_ptr;
variable i : integer;
variable r : rec_ptr;
variable a : int_vec_ptr;
variable s : string_ptr;
begin
v := null; -- OK
i := null; -- Error
deallocate(v); -- OK
v := new integer; -- OK
v := new integer'(5); -- OK
v := new 5; -- Error
v := new i; -- Error
v.all := 5; -- OK
v := 5; -- Error
i := v.all + 5; -- OK
r := new rec; -- OK
r.all.value := 1; -- OK
r.value := 1; -- OK
r.link := r; -- OK
r.link := r.all; -- Error
i := r.value; -- OK
r := r.all.link; -- OK
a := new int_vec(1 to 3); -- OK
a.all(5) := 2; -- OK
a(5) := 2; -- OK
a(1 to 2) := (1, 2); -- OK
s := new string'(""); -- OK
s := new integer'(1); -- Error
s := new s(1 to 3); -- Error
end procedure;
procedure test2(x : inout rec_ptr) is
begin
x.value := x.value + 1;
end procedure;
procedure test3 is
type a;
type a is access integer; -- OK
variable v : a; -- OK
begin
end procedure;
type int_ptr_array is array (integer range <>) of int_ptr;
type int_ptr_array_ptr is access int_ptr_array;
procedure alloc_ptr_array(x : out int_ptr_array_ptr) is
begin
x := new int_ptr_array; -- Error
x := new int_ptr_array(1 to 3); -- OK
x.all := (null, null, null); -- OK
end procedure;
procedure tets4 is
type bvp is access bit_vector;
variable x : bvp(1 to 4) := new bit_vector'("1010"); -- OK
variable y : int_ptr(1 to 3) := int_ptr'(null); -- Error
begin
end procedure;
procedure test5 is
type foo;
variable f : foo; -- Error
begin
end procedure;
procedure test6 is
variable v : int_vec(1 to 3);
begin
v(1) := new integer'(5); -- Error
end procedure;
procedure test7 is
type a;
type a_ptr is access a;
variable p : a_ptr;
begin
p := new a; -- Error
end procedure;
procedure test8 is
variable p : int_vec(1 to 3);
begin
for i in p.all'range loop -- Error
end loop;
end procedure;
end package body;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity SRAM is
Port ( ADDR : in std_logic_vector(15 downto 0);
DATA : inout std_logic_vector(7 downto 0);
OE : in std_logic;
WE : in std_logic;
CS : in std_logic);
end SRAM;
architecture Behavioral of SRAM is
subtype byte is std_logic_vector( 7 downto 0 );
type mem_matrix is array (0 to 65535) of byte;
shared variable matrix:mem_matrix;
begin
read:process (CS,OE,ADDR) is
begin
if (CS ='1') OR (OE = '1') then
DATA <= "ZZZZZZZZ";
else
DATA <= matrix(conv_integer(ADDR));
end if;
end process;
write:process (CS,WE) is
begin
if (CS='0') then
if WE = '0' then
matrix (conv_integer(ADDR)):= DATA;
end if;
end if;
end process;
end Behavioral;
|
-------------------------------------------------------------------------------
-- The following entity is automatically generated by Quartus (a megafunction).
-- As Altera DE1 board does not have a 25.175 MHz, but a 27 Mhz, we
-- instantiate a PLL (Phase Locked Loop) to divide out 27 MHz clock
-- and reach a satisfiable 25.2MHz clock for our VGA controller (14/15 ratio)
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY vga_pll IS
PORT
(
inclk0 : IN STD_LOGIC := '0';
c0 : OUT STD_LOGIC
);
END vga_pll;
ARCHITECTURE SYN OF vga_pll IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC ;
SIGNAL sub_wire2 : STD_LOGIC ;
SIGNAL sub_wire3 : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL sub_wire4_bv : BIT_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire4 : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT altpll
GENERIC (
clk0_divide_by : NATURAL;
clk0_duty_cycle : NATURAL;
clk0_multiply_by : NATURAL;
clk0_phase_shift : STRING;
compensate_clock : STRING;
inclk0_input_frequency : NATURAL;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
operation_mode : STRING;
port_activeclock : STRING;
port_areset : STRING;
port_clkbad0 : STRING;
port_clkbad1 : STRING;
port_clkloss : STRING;
port_clkswitch : STRING;
port_configupdate : STRING;
port_fbin : STRING;
port_inclk0 : STRING;
port_inclk1 : STRING;
port_locked : STRING;
port_pfdena : STRING;
port_phasecounterselect : STRING;
port_phasedone : STRING;
port_phasestep : STRING;
port_phaseupdown : STRING;
port_pllena : STRING;
port_scanaclr : STRING;
port_scanclk : STRING;
port_scanclkena : STRING;
port_scandata : STRING;
port_scandataout : STRING;
port_scandone : STRING;
port_scanread : STRING;
port_scanwrite : STRING;
port_clk0 : STRING;
port_clk1 : STRING;
port_clk2 : STRING;
port_clk3 : STRING;
port_clk4 : STRING;
port_clk5 : STRING;
port_clkena0 : STRING;
port_clkena1 : STRING;
port_clkena2 : STRING;
port_clkena3 : STRING;
port_clkena4 : STRING;
port_clkena5 : STRING;
port_extclk0 : STRING;
port_extclk1 : STRING;
port_extclk2 : STRING;
port_extclk3 : STRING
);
PORT (
inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire4_bv(0 DOWNTO 0) <= "0";
sub_wire4 <= To_stdlogicvector(sub_wire4_bv);
sub_wire1 <= sub_wire0(0);
c0 <= sub_wire1;
sub_wire2 <= inclk0;
sub_wire3 <= sub_wire4(0 DOWNTO 0) & sub_wire2;
altpll_component : altpll
GENERIC MAP (
clk0_divide_by => 15,
clk0_duty_cycle => 50,
clk0_multiply_by => 14,
clk0_phase_shift => "0",
compensate_clock => "CLK0",
inclk0_input_frequency => 37037,
intended_device_family => "Cyclone II",
lpm_hint => "CBX_MODULE_PREFIX=vga_pll",
lpm_type => "altpll",
operation_mode => "NORMAL",
port_activeclock => "PORT_UNUSED",
port_areset => "PORT_UNUSED",
port_clkbad0 => "PORT_UNUSED",
port_clkbad1 => "PORT_UNUSED",
port_clkloss => "PORT_UNUSED",
port_clkswitch => "PORT_UNUSED",
port_configupdate => "PORT_UNUSED",
port_fbin => "PORT_UNUSED",
port_inclk0 => "PORT_USED",
port_inclk1 => "PORT_UNUSED",
port_locked => "PORT_UNUSED",
port_pfdena => "PORT_UNUSED",
port_phasecounterselect => "PORT_UNUSED",
port_phasedone => "PORT_UNUSED",
port_phasestep => "PORT_UNUSED",
port_phaseupdown => "PORT_UNUSED",
port_pllena => "PORT_UNUSED",
port_scanaclr => "PORT_UNUSED",
port_scanclk => "PORT_UNUSED",
port_scanclkena => "PORT_UNUSED",
port_scandata => "PORT_UNUSED",
port_scandataout => "PORT_UNUSED",
port_scandone => "PORT_UNUSED",
port_scanread => "PORT_UNUSED",
port_scanwrite => "PORT_UNUSED",
port_clk0 => "PORT_USED",
port_clk1 => "PORT_UNUSED",
port_clk2 => "PORT_UNUSED",
port_clk3 => "PORT_UNUSED",
port_clk4 => "PORT_UNUSED",
port_clk5 => "PORT_UNUSED",
port_clkena0 => "PORT_UNUSED",
port_clkena1 => "PORT_UNUSED",
port_clkena2 => "PORT_UNUSED",
port_clkena3 => "PORT_UNUSED",
port_clkena4 => "PORT_UNUSED",
port_clkena5 => "PORT_UNUSED",
port_extclk0 => "PORT_UNUSED",
port_extclk1 => "PORT_UNUSED",
port_extclk2 => "PORT_UNUSED",
port_extclk3 => "PORT_UNUSED"
)
PORT MAP (
inclk => sub_wire3,
clk => sub_wire0
);
END SYN;
|
-- standard libraries
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity PassMe is
port
(
DSSLOT_CLK : in std_logic;
DSSLOT_ROMCS : in std_logic;
DSSLOT_RESET : in std_logic;
DSSLOT_EEPCS : in std_logic;
DSSLOT_IRQ : out std_logic;
DSSLOT_IO : inout std_logic_vector(7 downto 0);
DSCART_CLK : out std_logic;
DSCART_ROMCS : out std_logic;
DSCART_RESET : out std_logic;
DSCART_EEPCS : out std_logic;
DSCART_IRQ : in std_logic;
DSCART_IO : inout std_logic_vector(7 downto 0);
LED0 : out std_logic
);
end entity;
architecture rtl of passme is
-- removes Xilinx mapping errors
attribute CLOCK_BUFFER : string;
attribute CLOCK_BUFFER of DSSLOT_CLK: signal is "ibuf";
attribute CLOCK_BUFFER of DSCART_CLK: signal is "obuf";
signal is_command : boolean;
signal cmddata_cnt : natural range 0 to 511; -- 8 + 504
signal patched_data : std_logic_vector(7 downto 0);
signal patch_en : boolean;
begin
-- direct passthrough
DSCART_CLK <= DSSLOT_CLK;
DSCART_ROMCS <= DSSLOT_ROMCS;
DSCART_RESET <= DSSLOT_RESET;
DSSLOT_IRQ <= DSCART_IRQ;
DSCART_EEPCS <= DSSLOT_EEPCS;
-- activity LED
LED0 <= not DSSLOT_ROMCS;
-- patch
process (cmddata_cnt)
begin
case (cmddata_cnt - 8) is
--! ALL PATCHES ARE TO BE GENERATED HERE
when others => patched_data <= DSCART_IO;
end case;
end process;
-- dataswitcher
process (DSSLOT_RESET, DSSLOT_ROMCS, DSSLOT_EEPCS, DSSLOT_IO, DSCART_IO, patched_data)
begin
DSSLOT_IO <= (others => 'Z'); -- default is high impedance
DSCART_IO <= (others => 'Z'); -- default is high impedance
if (DSSLOT_RESET='1') then -- if not reset
if (DSSLOT_ROMCS='0') then -- ROM is selected
if (is_command) then -- is command byte
DSCART_IO <= DSSLOT_IO; -- from DS to cartridge
else -- is data byte
if (patch_en) then -- patch enabled
DSSLOT_IO <= patched_data;
else
DSSLOT_IO <= DSCART_IO;
end if;
end if;
elsif (DSSLOT_EEPCS='0') then -- EEPROM is selected
DSCART_IO(7) <= DSSLOT_IO(7); -- pass serial data
DSSLOT_IO(6) <= DSCART_IO(6); -- pass serial data in opposite direction
end if;
end if;
end process;
-- patch_en
process (DSSLOT_RESET, DSSLOT_CLK)
begin
if (DSSLOT_RESET='0') then
patch_en <= true; -- patch header
elsif (rising_edge(DSSLOT_CLK)) then
if (is_command) then
if (DSCART_IO(5) = '1') then -- detect 3C command, assume other command bytes are 00
patch_en <= false; -- do not patch other data
end if;
end if;
end if;
end process;
-- cmddata_cnt, is_command
process (DSSLOT_ROMCS, DSSLOT_CLK)
begin
if (DSSLOT_ROMCS='1') then
cmddata_cnt <= 0; -- new transfer
is_command <= true; -- start with command
elsif (rising_edge(DSSLOT_CLK)) then
if (cmddata_cnt mod 8 = 7) then
is_command <= false; -- next byte is data
end if;
cmddata_cnt <= cmddata_cnt + 1; -- next byte
end if;
end process;
end architecture;
|
-- standard libraries
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity PassMe is
port
(
DSSLOT_CLK : in std_logic;
DSSLOT_ROMCS : in std_logic;
DSSLOT_RESET : in std_logic;
DSSLOT_EEPCS : in std_logic;
DSSLOT_IRQ : out std_logic;
DSSLOT_IO : inout std_logic_vector(7 downto 0);
DSCART_CLK : out std_logic;
DSCART_ROMCS : out std_logic;
DSCART_RESET : out std_logic;
DSCART_EEPCS : out std_logic;
DSCART_IRQ : in std_logic;
DSCART_IO : inout std_logic_vector(7 downto 0);
LED0 : out std_logic
);
end entity;
architecture rtl of passme is
-- removes Xilinx mapping errors
attribute CLOCK_BUFFER : string;
attribute CLOCK_BUFFER of DSSLOT_CLK: signal is "ibuf";
attribute CLOCK_BUFFER of DSCART_CLK: signal is "obuf";
signal is_command : boolean;
signal cmddata_cnt : natural range 0 to 511; -- 8 + 504
signal patched_data : std_logic_vector(7 downto 0);
signal patch_en : boolean;
begin
-- direct passthrough
DSCART_CLK <= DSSLOT_CLK;
DSCART_ROMCS <= DSSLOT_ROMCS;
DSCART_RESET <= DSSLOT_RESET;
DSSLOT_IRQ <= DSCART_IRQ;
DSCART_EEPCS <= DSSLOT_EEPCS;
-- activity LED
LED0 <= not DSSLOT_ROMCS;
-- patch
process (cmddata_cnt)
begin
case (cmddata_cnt - 8) is
--! ALL PATCHES ARE TO BE GENERATED HERE
when others => patched_data <= DSCART_IO;
end case;
end process;
-- dataswitcher
process (DSSLOT_RESET, DSSLOT_ROMCS, DSSLOT_EEPCS, DSSLOT_IO, DSCART_IO, patched_data)
begin
DSSLOT_IO <= (others => 'Z'); -- default is high impedance
DSCART_IO <= (others => 'Z'); -- default is high impedance
if (DSSLOT_RESET='1') then -- if not reset
if (DSSLOT_ROMCS='0') then -- ROM is selected
if (is_command) then -- is command byte
DSCART_IO <= DSSLOT_IO; -- from DS to cartridge
else -- is data byte
if (patch_en) then -- patch enabled
DSSLOT_IO <= patched_data;
else
DSSLOT_IO <= DSCART_IO;
end if;
end if;
elsif (DSSLOT_EEPCS='0') then -- EEPROM is selected
DSCART_IO(7) <= DSSLOT_IO(7); -- pass serial data
DSSLOT_IO(6) <= DSCART_IO(6); -- pass serial data in opposite direction
end if;
end if;
end process;
-- patch_en
process (DSSLOT_RESET, DSSLOT_CLK)
begin
if (DSSLOT_RESET='0') then
patch_en <= true; -- patch header
elsif (rising_edge(DSSLOT_CLK)) then
if (is_command) then
if (DSCART_IO(5) = '1') then -- detect 3C command, assume other command bytes are 00
patch_en <= false; -- do not patch other data
end if;
end if;
end if;
end process;
-- cmddata_cnt, is_command
process (DSSLOT_ROMCS, DSSLOT_CLK)
begin
if (DSSLOT_ROMCS='1') then
cmddata_cnt <= 0; -- new transfer
is_command <= true; -- start with command
elsif (rising_edge(DSSLOT_CLK)) then
if (cmddata_cnt mod 8 = 7) then
is_command <= false; -- next byte is data
end if;
cmddata_cnt <= cmddata_cnt + 1; -- next byte
end if;
end process;
end architecture;
|
-- standard libraries
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity PassMe is
port
(
DSSLOT_CLK : in std_logic;
DSSLOT_ROMCS : in std_logic;
DSSLOT_RESET : in std_logic;
DSSLOT_EEPCS : in std_logic;
DSSLOT_IRQ : out std_logic;
DSSLOT_IO : inout std_logic_vector(7 downto 0);
DSCART_CLK : out std_logic;
DSCART_ROMCS : out std_logic;
DSCART_RESET : out std_logic;
DSCART_EEPCS : out std_logic;
DSCART_IRQ : in std_logic;
DSCART_IO : inout std_logic_vector(7 downto 0);
LED0 : out std_logic
);
end entity;
architecture rtl of passme is
-- removes Xilinx mapping errors
attribute CLOCK_BUFFER : string;
attribute CLOCK_BUFFER of DSSLOT_CLK: signal is "ibuf";
attribute CLOCK_BUFFER of DSCART_CLK: signal is "obuf";
signal is_command : boolean;
signal cmddata_cnt : natural range 0 to 511; -- 8 + 504
signal patched_data : std_logic_vector(7 downto 0);
signal patch_en : boolean;
begin
-- direct passthrough
DSCART_CLK <= DSSLOT_CLK;
DSCART_ROMCS <= DSSLOT_ROMCS;
DSCART_RESET <= DSSLOT_RESET;
DSSLOT_IRQ <= DSCART_IRQ;
DSCART_EEPCS <= DSSLOT_EEPCS;
-- activity LED
LED0 <= not DSSLOT_ROMCS;
-- patch
process (cmddata_cnt)
begin
case (cmddata_cnt - 8) is
--! ALL PATCHES ARE TO BE GENERATED HERE
when others => patched_data <= DSCART_IO;
end case;
end process;
-- dataswitcher
process (DSSLOT_RESET, DSSLOT_ROMCS, DSSLOT_EEPCS, DSSLOT_IO, DSCART_IO, patched_data)
begin
DSSLOT_IO <= (others => 'Z'); -- default is high impedance
DSCART_IO <= (others => 'Z'); -- default is high impedance
if (DSSLOT_RESET='1') then -- if not reset
if (DSSLOT_ROMCS='0') then -- ROM is selected
if (is_command) then -- is command byte
DSCART_IO <= DSSLOT_IO; -- from DS to cartridge
else -- is data byte
if (patch_en) then -- patch enabled
DSSLOT_IO <= patched_data;
else
DSSLOT_IO <= DSCART_IO;
end if;
end if;
elsif (DSSLOT_EEPCS='0') then -- EEPROM is selected
DSCART_IO(7) <= DSSLOT_IO(7); -- pass serial data
DSSLOT_IO(6) <= DSCART_IO(6); -- pass serial data in opposite direction
end if;
end if;
end process;
-- patch_en
process (DSSLOT_RESET, DSSLOT_CLK)
begin
if (DSSLOT_RESET='0') then
patch_en <= true; -- patch header
elsif (rising_edge(DSSLOT_CLK)) then
if (is_command) then
if (DSCART_IO(5) = '1') then -- detect 3C command, assume other command bytes are 00
patch_en <= false; -- do not patch other data
end if;
end if;
end if;
end process;
-- cmddata_cnt, is_command
process (DSSLOT_ROMCS, DSSLOT_CLK)
begin
if (DSSLOT_ROMCS='1') then
cmddata_cnt <= 0; -- new transfer
is_command <= true; -- start with command
elsif (rising_edge(DSSLOT_CLK)) then
if (cmddata_cnt mod 8 = 7) then
is_command <= false; -- next byte is data
end if;
cmddata_cnt <= cmddata_cnt + 1; -- next byte
end if;
end process;
end architecture;
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00187
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.3 (1)
-- 8.3 (2)
-- 8.3 (4)
-- 8.3 (5)
-- 8.3.1 (4)
--
-- DESIGN UNIT ORDERING:
--
-- PKG00187
-- PKG00187/BODY
-- ENT00187(ARCH00187)
-- ENT00187_Test_Bench(ARCH00187_Test_Bench)
--
-- REVISION HISTORY:
--
-- 08-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
package PKG00187 is
type r_st_rec1 is record
f1 : integer ;
f2 : st_rec1 ;
end record ;
function c_r_st_rec1_1 return r_st_rec1 ;
-- (c_integer_1, c_st_rec1_1) ;
function c_r_st_rec1_2 return r_st_rec1 ;
-- (c_integer_2, c_st_rec1_2) ;
--
type r_st_rec2 is record
f1 : integer ;
f2 : st_rec2 ;
end record ;
function c_r_st_rec2_1 return r_st_rec2 ;
-- (c_integer_1, c_st_rec2_1) ;
function c_r_st_rec2_2 return r_st_rec2 ;
-- (c_integer_2, c_st_rec2_2) ;
--
type r_st_rec3 is record
f1 : integer ;
f2 : st_rec3 ;
end record ;
function c_r_st_rec3_1 return r_st_rec3 ;
-- (c_integer_1, c_st_rec3_1) ;
function c_r_st_rec3_2 return r_st_rec3 ;
-- (c_integer_2, c_st_rec3_2) ;
--
--
end PKG00187 ;
--
package body PKG00187 is
function c_r_st_rec1_1 return r_st_rec1
is begin
return (c_integer_1, c_st_rec1_1) ;
end c_r_st_rec1_1 ;
--
function c_r_st_rec1_2 return r_st_rec1
is begin
return (c_integer_2, c_st_rec1_2) ;
end c_r_st_rec1_2 ;
--
--
function c_r_st_rec2_1 return r_st_rec2
is begin
return (c_integer_1, c_st_rec2_1) ;
end c_r_st_rec2_1 ;
--
function c_r_st_rec2_2 return r_st_rec2
is begin
return (c_integer_2, c_st_rec2_2) ;
end c_r_st_rec2_2 ;
--
--
function c_r_st_rec3_1 return r_st_rec3
is begin
return (c_integer_1, c_st_rec3_1) ;
end c_r_st_rec3_1 ;
--
function c_r_st_rec3_2 return r_st_rec3
is begin
return (c_integer_2, c_st_rec3_2) ;
end c_r_st_rec3_2 ;
--
--
--
end PKG00187 ;
--
use WORK.STANDARD_TYPES.all ;
use WORK.PKG00187.all ;
entity ENT00187 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_r_st_rec1 : chk_sig_type := -1 ;
signal chk_r_st_rec2 : chk_sig_type := -1 ;
signal chk_r_st_rec3 : chk_sig_type := -1 ;
--
procedure Proc1 (
signal s_r_st_rec1 : inout r_st_rec1 ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_r_st_rec1 : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_r_st_rec1.f2.f2 <=
c_r_st_rec1_2.f2.f2 after 10 ns,
c_r_st_rec1_1.f2.f2 after 20 ns ;
--
when 1
=> correct :=
s_r_st_rec1.f2.f2 =
c_r_st_rec1_2.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_rec1.f2.f2 =
c_r_st_rec1_1.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00187.P1" ,
"Multi inertial transactions occurred on signal " &
"asg with selected name prefixed by a selected name on LHS",
correct ) ;
s_r_st_rec1.f2.f2 <=
c_r_st_rec1_2.f2.f2 after 10 ns ,
c_r_st_rec1_1.f2.f2 after 20 ns ,
c_r_st_rec1_2.f2.f2 after 30 ns ,
c_r_st_rec1_1.f2.f2 after 40 ns ;
--
when 3
=> correct :=
s_r_st_rec1.f2.f2 =
c_r_st_rec1_2.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_rec1.f2.f2 <=
c_r_st_rec1_1.f2.f2 after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_rec1.f2.f2 =
c_r_st_rec1_1.f2.f2 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"One inertial transaction occurred on signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec1.f2.f2 <= transport
c_r_st_rec1_1.f2.f2 after 100 ns ;
--
when 5
=> correct :=
s_r_st_rec1.f2.f2 =
c_r_st_rec1_1.f2.f2 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"Old transactions were removed on signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec1.f2.f2 <=
c_r_st_rec1_2.f2.f2 after 10 ns ,
c_r_st_rec1_1.f2.f2 after 20 ns ,
c_r_st_rec1_2.f2.f2 after 30 ns ,
c_r_st_rec1_1.f2.f2 after 40 ns ;
--
when 6
=> correct :=
s_r_st_rec1.f2.f2 =
c_r_st_rec1_2.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"One inertial transaction occurred on signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
-- Last transaction above is marked
s_r_st_rec1.f2.f2 <=
c_r_st_rec1_1.f2.f2 after 40 ns ;
--
when 7
=> correct :=
s_r_st_rec1.f2.f2 =
c_r_st_rec1_1.f2.f2 and
(savtime + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct := correct and
s_r_st_rec1.f2.f2 =
c_r_st_rec1_1.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"Inertial semantics check on a signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00187" ,
"Inertial semantics check on a signal " &
"asg with selected name prefixed by an selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_rec1 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
procedure Proc2 (
signal s_r_st_rec2 : inout r_st_rec2 ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_r_st_rec2 : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_r_st_rec2.f2.f2 <=
c_r_st_rec2_2.f2.f2 after 10 ns,
c_r_st_rec2_1.f2.f2 after 20 ns ;
--
when 1
=> correct :=
s_r_st_rec2.f2.f2 =
c_r_st_rec2_2.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_rec2.f2.f2 =
c_r_st_rec2_1.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00187.P2" ,
"Multi inertial transactions occurred on signal " &
"asg with selected name prefixed by a selected name on LHS",
correct ) ;
s_r_st_rec2.f2.f2 <=
c_r_st_rec2_2.f2.f2 after 10 ns ,
c_r_st_rec2_1.f2.f2 after 20 ns ,
c_r_st_rec2_2.f2.f2 after 30 ns ,
c_r_st_rec2_1.f2.f2 after 40 ns ;
--
when 3
=> correct :=
s_r_st_rec2.f2.f2 =
c_r_st_rec2_2.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_rec2.f2.f2 <=
c_r_st_rec2_1.f2.f2 after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_rec2.f2.f2 =
c_r_st_rec2_1.f2.f2 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"One inertial transaction occurred on signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec2.f2.f2 <= transport
c_r_st_rec2_1.f2.f2 after 100 ns ;
--
when 5
=> correct :=
s_r_st_rec2.f2.f2 =
c_r_st_rec2_1.f2.f2 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"Old transactions were removed on signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec2.f2.f2 <=
c_r_st_rec2_2.f2.f2 after 10 ns ,
c_r_st_rec2_1.f2.f2 after 20 ns ,
c_r_st_rec2_2.f2.f2 after 30 ns ,
c_r_st_rec2_1.f2.f2 after 40 ns ;
--
when 6
=> correct :=
s_r_st_rec2.f2.f2 =
c_r_st_rec2_2.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"One inertial transaction occurred on signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
-- Last transaction above is marked
s_r_st_rec2.f2.f2 <=
c_r_st_rec2_1.f2.f2 after 40 ns ;
--
when 7
=> correct :=
s_r_st_rec2.f2.f2 =
c_r_st_rec2_1.f2.f2 and
(savtime + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct := correct and
s_r_st_rec2.f2.f2 =
c_r_st_rec2_1.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"Inertial semantics check on a signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00187" ,
"Inertial semantics check on a signal " &
"asg with selected name prefixed by an selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_rec2 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc2 ;
--
procedure Proc3 (
signal s_r_st_rec3 : inout r_st_rec3 ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_r_st_rec3 : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_r_st_rec3.f2.f2 <=
c_r_st_rec3_2.f2.f2 after 10 ns,
c_r_st_rec3_1.f2.f2 after 20 ns ;
--
when 1
=> correct :=
s_r_st_rec3.f2.f2 =
c_r_st_rec3_2.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_rec3.f2.f2 =
c_r_st_rec3_1.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00187.P3" ,
"Multi inertial transactions occurred on signal " &
"asg with selected name prefixed by a selected name on LHS",
correct ) ;
s_r_st_rec3.f2.f2 <=
c_r_st_rec3_2.f2.f2 after 10 ns ,
c_r_st_rec3_1.f2.f2 after 20 ns ,
c_r_st_rec3_2.f2.f2 after 30 ns ,
c_r_st_rec3_1.f2.f2 after 40 ns ;
--
when 3
=> correct :=
s_r_st_rec3.f2.f2 =
c_r_st_rec3_2.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_rec3.f2.f2 <=
c_r_st_rec3_1.f2.f2 after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_rec3.f2.f2 =
c_r_st_rec3_1.f2.f2 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"One inertial transaction occurred on signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec3.f2.f2 <= transport
c_r_st_rec3_1.f2.f2 after 100 ns ;
--
when 5
=> correct :=
s_r_st_rec3.f2.f2 =
c_r_st_rec3_1.f2.f2 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"Old transactions were removed on signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec3.f2.f2 <=
c_r_st_rec3_2.f2.f2 after 10 ns ,
c_r_st_rec3_1.f2.f2 after 20 ns ,
c_r_st_rec3_2.f2.f2 after 30 ns ,
c_r_st_rec3_1.f2.f2 after 40 ns ;
--
when 6
=> correct :=
s_r_st_rec3.f2.f2 =
c_r_st_rec3_2.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"One inertial transaction occurred on signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
-- Last transaction above is marked
s_r_st_rec3.f2.f2 <=
c_r_st_rec3_1.f2.f2 after 40 ns ;
--
when 7
=> correct :=
s_r_st_rec3.f2.f2 =
c_r_st_rec3_1.f2.f2 and
(savtime + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct := correct and
s_r_st_rec3.f2.f2 =
c_r_st_rec3_1.f2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00187" ,
"Inertial semantics check on a signal " &
"asg with selected name prefixed by an selected name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00187" ,
"Inertial semantics check on a signal " &
"asg with selected name prefixed by an selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_rec3 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc3 ;
--
--
end ENT00187 ;
--
architecture ARCH00187 of ENT00187 is
signal s_r_st_rec1 : r_st_rec1
:= c_r_st_rec1_1 ;
signal s_r_st_rec2 : r_st_rec2
:= c_r_st_rec2_1 ;
signal s_r_st_rec3 : r_st_rec3
:= c_r_st_rec3_1 ;
--
begin
P1 :
process
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc1 (
s_r_st_rec1,
counter,
correct,
savtime,
chk_r_st_rec1
) ;
wait until (not s_r_st_rec1'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P1 ;
--
PGEN_CHKP_1 :
process ( chk_r_st_rec1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Inertial transactions entirely completed",
chk_r_st_rec1 = 8 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
P2 :
process
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc2 (
s_r_st_rec2,
counter,
correct,
savtime,
chk_r_st_rec2
) ;
wait until (not s_r_st_rec2'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P2 ;
--
PGEN_CHKP_2 :
process ( chk_r_st_rec2 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Inertial transactions entirely completed",
chk_r_st_rec2 = 8 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
--
P3 :
process
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc3 (
s_r_st_rec3,
counter,
correct,
savtime,
chk_r_st_rec3
) ;
wait until (not s_r_st_rec3'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P3 ;
--
PGEN_CHKP_3 :
process ( chk_r_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Inertial transactions entirely completed",
chk_r_st_rec3 = 8 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
--
--
end ARCH00187 ;
--
entity ENT00187_Test_Bench is
end ENT00187_Test_Bench ;
--
architecture ARCH00187_Test_Bench of ENT00187_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.ENT00187 ( ARCH00187 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00187_Test_Bench ;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.Vcomponents.all;
entity DCM1 is
port (CLKIN_IN : in std_logic;
RST : in std_logic := '0';
CLK0_OUT : out std_logic;
CLK0_OUT1 : out std_logic;
CLK2X_OUT : out std_logic;
LOCKED : out std_logic
);
end DCM1;
architecture BEHAVIORAL of DCM1 is
signal CLKFX_BUF : std_logic;
signal CLKIN_IBUFG : std_logic;
signal GND_BIT : std_logic;
begin
GND_BIT <= '0';
CLKFX_BUFG_INST : BUFG
port map (I => CLKFX_BUF, O => CLK0_OUT);
DCM_INST : DCM
generic map(CLK_FEEDBACK => "NONE",
CLKDV_DIVIDE => 4.0,
CLKFX_MULTIPLY => 31,
CLKFX_DIVIDE => 26,
CLKIN_DIVIDE_BY_2 => false,
CLKIN_PERIOD => 20.344,
CLKOUT_PHASE_SHIFT => "NONE",
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
DFS_FREQUENCY_MODE => "LOW",
DLL_FREQUENCY_MODE => "LOW",
DUTY_CYCLE_CORRECTION => true,
FACTORY_JF => x"C080",
PHASE_SHIFT => 0,
STARTUP_WAIT => false)
port map (CLKFB => GND_BIT,
CLKIN => CLKIN_IN,
DSSEN => GND_BIT,
PSCLK => GND_BIT,
PSEN => GND_BIT,
PSINCDEC => GND_BIT,
RST => RST,
CLKDV => open,
CLKFX => CLKFX_BUF,
CLKFX180 => open,
CLK0 => open,
CLK2X => CLK2X_OUT,
CLK2X180 => open,
CLK90 => open,
CLK180 => open,
CLK270 => open,
LOCKED => LOCKED,
PSDONE => open,
STATUS => open);
end BEHAVIORAL;
|
entity aggr1 is
end aggr1;
architecture behav of aggr1 is
procedure proc (b, c : out bit_vector) is
begin
b := (others => '0');
c := ('1', others => '0');
end proc;
begin
end behav;
|
entity aggr1 is
end aggr1;
architecture behav of aggr1 is
procedure proc (b, c : out bit_vector) is
begin
b := (others => '0');
c := ('1', others => '0');
end proc;
begin
end behav;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
KCKwKRdb07NCD/6NZAn8TFH5C80lA1tcbUK7Pq+6UvWVD3cSXYsHZQTuYVD/fj9mV4qeCRGupWug
86Z9Eg4OLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gRdoX7f1GKl9bIbKPzc8v0Y4idqwPDgxWoWZE329fQQ6/M+lO7FPjEfbaYCGhH6hVgb0fvfwceZT
62X0yhRVA0LSsciEGs6RD2Z1sKnNgU4Nkd1YNnDFq24vjA1j1lroa/tM0Lxbkbk4NglJxpD5vfQ0
2psLo8vo1Dpild6Slrw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
k743RcSej6FJQ10LUJvY7Sy4RSN7B85fL9R54r8T8TGEQtcKyEdjMDsv4OoupjXXr+F0r95ijVLG
isZ1rTRDONkke9Zn2rdEfwgma8pOSzrcKWXX88ASKu1BQ3KEJsRLYBlLwW/OHXzlpr32VbCtUxRR
+iDjCPorl4/KNj8MkbMOaL1zfErLjjubOtNV7fu3u5BENcQT6QcgWIX3zv/A+iYUr7/B8HCkfbiu
LTmwwdgfNqufXIR2Fg5Ht44jzhYjvSpkCNa7iP61dklv53oJRslxeYw+ej2ysAX13gpkrv15jXxS
srE5dPq5VCB7aKuvbcb8cW7KRwDcL06Z72TnTA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Uch2Jp0edMN/98tE7/CYHyYom3h/lVGCF5S4JLEaZbnAHsGO3bbuQMvCCiowzNWSg1/UxQU2VuIa
oVS7jqwVDDM4o/M0wmgeY04ioIXbQhOPx/B6nAJJzm1EPG1QGbLmloP4uPiaVygzsIOvd5ukjruL
3EmFC50P6CoUseJZqKM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DMleiGjVGZjW3CCXaJKQTe4YGK7mSx/4ZF3ylg4hNKfQxG9ks7qlG6GTuSec04YH5rol7TroBOI7
Oc4h3E2ogoDamt+Va4lZf1E2j9D0gR+upHMNH8Lb1W60ZV1uPub1YEFyl2Q1Apy2JknLXJVcHM5d
JNRYSOW7zOAyQdlpDlMmjhmNoJjp6twKWzLjf6s1KMfM2D8WBolCNVX/9o9gBaf7QVeSYjYd2btU
Igm4Qis4riU3LZY6f9aIhP3Xy46NOP57HQAFmFXajslXWA9xeB6KGkJF5a87dfEnD4UbyL8+AzcK
V398SdzuSGhxwFvAhC0R6X6HADcM3crDz8xLfQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35456)
`protect data_block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`protect end_protected
|
-------------------------------------------------------------------------------
--! @file AEAD.vhd
--! @brief Entity of authenticated encryption unit.
--!
--! Entity for dummy1 core
--!
--! @project CAESAR Candidate Evaluation
--! @author Ekawat (ice) Homsirikamol
--! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group
--! ECE Department, George Mason University Fairfax, VA, U.S.A.
--! All rights Reserved.
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is publicly available encryption source code that falls
--! under the License Exception TSU (Technology and software-
--! —unrestricted)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity AEAD is
generic (
--! I/O size (bits)
G_W : integer := 32; --! Public data input
G_SW : integer := 32; --! Secret data input
--! Reset behavior
G_ASYNC_RSTN : boolean := False; --! Async active low reset
--! Special features parameters
G_ENABLE_PAD : boolean := True; --! Enable padding
G_CIPH_EXP : boolean := False; --! Ciphertext expansion
G_REVERSE_CIPH : boolean := False; --! Reversed ciphertext
G_MERGE_TAG : boolean := False; --! Merge tag with data segment
--! Block size (bits)
G_ABLK_SIZE : integer := 128; --! Associated data
G_DBLK_SIZE : integer := 128; --! Data
G_KEY_SIZE : integer := 128; --! Key
G_TAG_SIZE : integer := 128; --! Tag
--! Padding options
G_PAD_STYLE : integer := 1; --! Pad style
G_PAD_AD : integer := 1; --! Padding behavior for AD
G_PAD_D : integer := 1 --! Padding behavior for Data
);
port (
--! Global ports
clk : in std_logic;
rst : in std_logic;
--! Publica data ports
pdi_data : in std_logic_vector(G_W -1 downto 0);
pdi_valid : in std_logic;
pdi_ready : out std_logic;
--! Secret data ports
sdi_data : in std_logic_vector(G_SW -1 downto 0);
sdi_valid : in std_logic;
sdi_ready : out std_logic;
--! Data out ports
do_data : out std_logic_vector(G_W -1 downto 0);
do_ready : in std_logic;
do_valid : out std_logic
);
end AEAD; |
--!
--! Copyright 2019 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
library commonlib;
use commonlib.types_common.all;
library riverlib;
use riverlib.river_cfg.all;
entity FpuTop is
generic (
async_reset : boolean
);
port (
i_nrst : in std_logic;
i_clk : in std_logic;
i_ena : in std_logic;
i_ivec : in std_logic_vector(Instr_FPU_Total-1 downto 0);
i_a : in std_logic_vector(63 downto 0);
i_b : in std_logic_vector(63 downto 0);
o_res : out std_logic_vector(63 downto 0);
o_ex_invalidop : out std_logic; -- Exception: invalid operation
o_ex_divbyzero : out std_logic; -- Exception: divide by zero
o_ex_overflow : out std_logic; -- Exception: overflow
o_ex_underflow : out std_logic; -- Exception: underflow
o_ex_inexact : out std_logic; -- Exception: inexact
o_valid : out std_logic;
o_busy : out std_logic
);
end;
architecture arch_FpuTop of FpuTop is
component DoubleAdd is generic (
async_reset : boolean
);
port (
i_nrst : in std_logic;
i_clk : in std_logic;
i_ena : in std_logic;
i_add : in std_logic;
i_sub : in std_logic;
i_eq : in std_logic;
i_lt : in std_logic;
i_le : in std_logic;
i_max : in std_logic;
i_min : in std_logic;
i_a : in std_logic_vector(63 downto 0);
i_b : in std_logic_vector(63 downto 0);
o_res : out std_logic_vector(63 downto 0);
o_illegal_op : out std_logic;
o_overflow : out std_logic;
o_valid : out std_logic;
o_busy : out std_logic
);
end component;
component DoubleDiv is generic (
async_reset : boolean
);
port (
i_nrst : in std_logic;
i_clk : in std_logic;
i_ena : in std_logic;
i_a : in std_logic_vector(63 downto 0);
i_b : in std_logic_vector(63 downto 0);
o_res : out std_logic_vector(63 downto 0);
o_illegal_op : out std_logic;
o_divbyzero : out std_logic;
o_overflow : out std_logic;
o_underflow : out std_logic;
o_valid : out std_logic;
o_busy : out std_logic
);
end component;
component DoubleMul is generic (
async_reset : boolean
);
port (
i_nrst : in std_logic;
i_clk : in std_logic;
i_ena : in std_logic;
i_a : in std_logic_vector(63 downto 0);
i_b : in std_logic_vector(63 downto 0);
o_res : out std_logic_vector(63 downto 0);
o_illegal_op : out std_logic;
o_overflow : out std_logic;
o_valid : out std_logic;
o_busy : out std_logic
);
end component;
component Double2Long is generic (
async_reset : boolean
);
port (
i_nrst : in std_logic;
i_clk : in std_logic;
i_ena : in std_logic;
i_signed : in std_logic;
i_w32 : in std_logic;
i_a : in std_logic_vector(63 downto 0);
o_res : out std_logic_vector(63 downto 0);
o_overflow : out std_logic;
o_underflow : out std_logic;
o_valid : out std_logic;
o_busy : out std_logic
);
end component;
component Long2Double is generic (
async_reset : boolean
);
port (
i_nrst : in std_logic;
i_clk : in std_logic;
i_ena : in std_logic;
i_signed : in std_logic;
i_w32 : in std_logic;
i_a : in std_logic_vector(63 downto 0);
o_res : out std_logic_vector(63 downto 0);
o_valid : out std_logic;
o_busy : out std_logic
);
end component;
type RegistersType is record
ivec : std_logic_vector(Instr_FPU_Total-1 downto 0);
busy : std_logic;
ready : std_logic;
a : std_logic_vector(63 downto 0);
b : std_logic_vector(63 downto 0);
result : std_logic_vector(63 downto 0);
ex_invalidop : std_logic; -- Exception: invalid operation
ex_divbyzero : std_logic; -- Exception: divide by zero
ex_overflow : std_logic; -- Exception: overflow
ex_underflow : std_logic; -- Exception: underflow
ex_inexact : std_logic; -- Exception: inexact
ena_fadd : std_logic;
ena_fdiv : std_logic;
ena_fmul : std_logic;
ena_d2l : std_logic;
ena_l2d : std_logic;
ena_w32 : std_logic;
end record;
constant R_RESET : RegistersType := (
(others => '0'), -- ivec
'0', '0', (others => '0'), (others => '0'), -- busy, ready, a, b
(others => '0'), -- result
'0', '0', '0', -- ex_invalidop, ex_divbyzero, ex_overflow
'0', '0', '0', -- ex_underflow, ex_inexact, ena_fadd
'0', '0', '0', '0', -- ena_fdiv, ena_fmul, ena_d2l, ena_l2d
'0' -- ena_w32
);
signal r, rin : RegistersType;
signal w_fadd_d : std_logic;
signal w_fsub_d : std_logic;
signal w_feq_d : std_logic;
signal w_flt_d : std_logic;
signal w_fle_d : std_logic;
signal w_fmax_d : std_logic;
signal w_fmin_d : std_logic;
signal w_fcvt_signed : std_logic;
signal wb_res_fadd : std_logic_vector(63 downto 0);
signal w_valid_fadd : std_logic;
signal w_illegalop_fadd : std_logic;
signal w_overflow_fadd : std_logic;
signal w_busy_fadd : std_logic;
signal wb_res_fdiv : std_logic_vector(63 downto 0);
signal w_valid_fdiv : std_logic;
signal w_illegalop_fdiv : std_logic;
signal w_divbyzero_fdiv : std_logic;
signal w_overflow_fdiv : std_logic;
signal w_underflow_fdiv : std_logic;
signal w_busy_fdiv : std_logic;
signal wb_res_fmul : std_logic_vector(63 downto 0);
signal w_valid_fmul : std_logic;
signal w_illegalop_fmul : std_logic;
signal w_overflow_fmul : std_logic;
signal w_busy_fmul : std_logic;
signal wb_res_d2l : std_logic_vector(63 downto 0);
signal w_valid_d2l : std_logic;
signal w_overflow_d2l : std_logic;
signal w_underflow_d2l : std_logic;
signal w_busy_d2l : std_logic;
signal wb_res_l2d : std_logic_vector(63 downto 0);
signal w_valid_l2d : std_logic;
signal w_busy_l2d : std_logic;
begin
fadd_d0 : DoubleAdd generic map (
async_reset => async_reset
) port map (
i_clk => i_clk,
i_nrst => i_nrst,
i_ena => r.ena_fadd,
i_add => w_fadd_d,
i_sub => w_fsub_d,
i_eq => w_feq_d,
i_lt => w_flt_d,
i_le => w_fle_d,
i_max => w_fmax_d,
i_min => w_fmin_d,
i_a => r.a,
i_b => r.b,
o_res => wb_res_fadd,
o_illegal_op => w_illegalop_fadd,
o_overflow => w_overflow_fadd,
o_valid => w_valid_fadd,
o_busy => w_busy_fadd
);
fdiv_d0 : DoubleDiv generic map (
async_reset => async_reset
) port map (
i_clk => i_clk,
i_nrst => i_nrst,
i_ena => r.ena_fdiv,
i_a => r.a,
i_b => r.b,
o_res => wb_res_fdiv,
o_illegal_op => w_illegalop_fdiv,
o_divbyzero => w_divbyzero_fdiv,
o_overflow => w_overflow_fdiv,
o_underflow => w_underflow_fdiv,
o_valid => w_valid_fdiv,
o_busy => w_busy_fdiv
);
fmul_d0 : DoubleMul generic map (
async_reset => async_reset
) port map (
i_clk => i_clk,
i_nrst => i_nrst,
i_ena => r.ena_fmul,
i_a => r.a,
i_b => r.b,
o_res => wb_res_fmul,
o_illegal_op => w_illegalop_fmul,
o_overflow => w_overflow_fmul,
o_valid => w_valid_fmul,
o_busy => w_busy_fmul
);
d2l_d0 : Double2Long generic map (
async_reset => async_reset
) port map (
i_clk => i_clk,
i_nrst => i_nrst,
i_ena => r.ena_d2l,
i_signed => w_fcvt_signed,
i_w32 => r.ena_w32,
i_a => r.a,
o_res => wb_res_d2l,
o_overflow => w_overflow_d2l,
o_underflow => w_underflow_d2l,
o_valid => w_valid_d2l,
o_busy => w_busy_d2l
);
l2d_d0 : Long2Double generic map (
async_reset => async_reset
) port map (
i_clk => i_clk,
i_nrst => i_nrst,
i_ena => r.ena_l2d,
i_signed => w_fcvt_signed,
i_w32 => r.ena_w32,
i_a => r.a,
o_res => wb_res_l2d,
o_valid => w_valid_l2d,
o_busy => w_busy_l2d
);
-- registers:
comb : process(i_nrst, i_ena, i_ivec, i_a, i_b, r,
wb_res_fadd, w_valid_fadd, w_illegalop_fadd, w_overflow_fadd, w_busy_fadd,
wb_res_fdiv, w_valid_fdiv, w_illegalop_fdiv, w_divbyzero_fdiv, w_overflow_fdiv,
w_underflow_fdiv, w_busy_fdiv,
wb_res_fmul, w_valid_fmul, w_illegalop_fmul, w_overflow_fmul, w_busy_fmul,
wb_res_d2l, w_valid_d2l, w_overflow_d2l, w_underflow_d2l, w_busy_d2l,
wb_res_l2d, w_valid_l2d, w_busy_l2d)
variable v : RegistersType;
variable iv : std_logic_vector(Instr_FPU_Total-1 downto 0);
begin
v := r;
iv := i_ivec;
v.ena_fadd := '0';
v.ena_fdiv := '0';
v.ena_fmul := '0';
v.ena_d2l := '0';
v.ena_l2d := '0';
v.ready := '0';
if i_ena = '1' and r.busy = '0' then
v.busy := '1';
v.a := i_a;
v.b := i_b;
v.ivec := i_ivec;
v.ex_invalidop := '0';
v.ex_divbyzero := '0';
v.ex_overflow := '0';
v.ex_underflow := '0';
v.ex_inexact := '0';
v.ena_fadd := iv(Instr_FADD_D - Instr_FADD_D)
or iv(Instr_FSUB_D - Instr_FADD_D)
or iv(Instr_FLE_D - Instr_FADD_D)
or iv(Instr_FLT_D - Instr_FADD_D)
or iv(Instr_FEQ_D - Instr_FADD_D)
or iv(Instr_FMAX_D - Instr_FADD_D)
or iv(Instr_FMIN_D - Instr_FADD_D);
v.ena_fdiv := iv(Instr_FDIV_D - Instr_FADD_D);
v.ena_fmul := iv(Instr_FMUL_D - Instr_FADD_D);
v.ena_d2l := iv(Instr_FCVT_LU_D - Instr_FADD_D)
or iv(Instr_FCVT_L_D - Instr_FADD_D)
or iv(Instr_FCVT_WU_D - Instr_FADD_D)
or iv(Instr_FCVT_W_D - Instr_FADD_D);
v.ena_l2d := iv(Instr_FCVT_D_LU - Instr_FADD_D)
or iv(Instr_FCVT_D_L - Instr_FADD_D)
or iv(Instr_FCVT_D_WU - Instr_FADD_D)
or iv(Instr_FCVT_D_W - Instr_FADD_D);
v.ena_w32 := iv(Instr_FCVT_WU_D - Instr_FADD_D)
or iv(Instr_FCVT_W_D - Instr_FADD_D)
or iv(Instr_FCVT_D_WU - Instr_FADD_D)
or iv(Instr_FCVT_D_W - Instr_FADD_D);
end if;
if r.busy = '1' and (r.ivec(Instr_FMOV_X_D - Instr_FADD_D)
or r.ivec(Instr_FMOV_D_X - Instr_FADD_D)) = '1' then
v.busy := '0';
v.ready := '1';
v.result := r.a;
elsif w_valid_fadd = '1' then
v.busy := '0';
v.ready := '1';
v.result := wb_res_fadd;
v.ex_invalidop := w_illegalop_fadd;
v.ex_overflow := w_overflow_fadd;
elsif w_valid_fdiv = '1' then
v.busy := '0';
v.ready := '1';
v.result := wb_res_fdiv;
v.ex_invalidop := w_illegalop_fdiv;
v.ex_divbyzero := w_divbyzero_fdiv;
v.ex_overflow := w_overflow_fdiv;
v.ex_underflow := w_underflow_fdiv;
elsif w_valid_fmul = '1' then
v.busy := '0';
v.ready := '1';
v.result := wb_res_fmul;
v.ex_invalidop := w_illegalop_fmul;
v.ex_overflow := w_overflow_fmul;
elsif w_valid_d2l = '1' then
v.busy := '0';
v.ready := '1';
v.result := wb_res_d2l;
v.ex_overflow := w_overflow_d2l;
v.ex_underflow := w_underflow_d2l;
elsif w_valid_l2d = '1' then
v.busy := '0';
v.ready := '1';
v.result := wb_res_l2d;
end if;
if not async_reset and i_nrst = '0' then
v := R_RESET;
end if;
rin <= v;
end process;
w_fadd_d <= r.ivec(Instr_FADD_D - Instr_FADD_D);
w_fsub_d <= r.ivec(Instr_FSUB_D - Instr_FADD_D);
w_feq_d <= r.ivec(Instr_FEQ_D - Instr_FADD_D);
w_flt_d <= r.ivec(Instr_FLT_D - Instr_FADD_D);
w_fle_d <= r.ivec(Instr_FLE_D - Instr_FADD_D);
w_fmax_d <= r.ivec(Instr_FMAX_D - Instr_FADD_D);
w_fmin_d <= r.ivec(Instr_FMIN_D - Instr_FADD_D);
w_fcvt_signed <= r.ivec(Instr_FCVT_L_D - Instr_FADD_D) or
r.ivec(Instr_FCVT_D_L - Instr_FADD_D) or
r.ivec(Instr_FCVT_W_D - Instr_FADD_D) or
r.ivec(Instr_FCVT_D_W - Instr_FADD_D);
o_res <= r.result;
o_ex_invalidop <= r.ex_invalidop;
o_ex_divbyzero <= r.ex_divbyzero;
o_ex_overflow <= r.ex_overflow;
o_ex_underflow <= r.ex_underflow;
o_ex_inexact <= r.ex_inexact;
o_valid <= r.ready;
o_busy <= r.busy;
-- registers:
regs : process(i_nrst, i_clk)
begin
if async_reset and i_nrst = '0' then
r <= R_RESET;
elsif rising_edge(i_clk) then
r <= rin;
end if;
end process;
end;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 11/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END fp_ldexp;
ARCHITECTURE rtl OF fp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(10 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 8 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 8 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(8)) OR (NOT(expzeronode(8)) AND NOT(expnode(9))) OR (expnode(10));
expmaxout <= expmaxin(8) OR (expmaxnode(8) AND NOT(expnode(9))) OR (expnode(9) AND NOT(expnode(10)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 23 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(23));
mannonzero <= manzeroin(23);
satnode <= (expmaxin(8) AND NOT(manzeroin(23))) OR expmaxout;
zeronode <= NOT(expzeroin(8)) OR expzeroout;
nannode <= expmaxin(8) AND manzeroin(23);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 11/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END fp_ldexp;
ARCHITECTURE rtl OF fp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(10 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 8 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 8 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(8)) OR (NOT(expzeronode(8)) AND NOT(expnode(9))) OR (expnode(10));
expmaxout <= expmaxin(8) OR (expmaxnode(8) AND NOT(expnode(9))) OR (expnode(9) AND NOT(expnode(10)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 23 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(23));
mannonzero <= manzeroin(23);
satnode <= (expmaxin(8) AND NOT(manzeroin(23))) OR expmaxout;
zeronode <= NOT(expzeroin(8)) OR expzeroout;
nannode <= expmaxin(8) AND manzeroin(23);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 11/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END fp_ldexp;
ARCHITECTURE rtl OF fp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(10 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 8 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 8 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(8)) OR (NOT(expzeronode(8)) AND NOT(expnode(9))) OR (expnode(10));
expmaxout <= expmaxin(8) OR (expmaxnode(8) AND NOT(expnode(9))) OR (expnode(9) AND NOT(expnode(10)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 23 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(23));
mannonzero <= manzeroin(23);
satnode <= (expmaxin(8) AND NOT(manzeroin(23))) OR expmaxout;
zeronode <= NOT(expzeroin(8)) OR expzeroout;
nannode <= expmaxin(8) AND manzeroin(23);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 11/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END fp_ldexp;
ARCHITECTURE rtl OF fp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(10 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 8 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 8 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(8)) OR (NOT(expzeronode(8)) AND NOT(expnode(9))) OR (expnode(10));
expmaxout <= expmaxin(8) OR (expmaxnode(8) AND NOT(expnode(9))) OR (expnode(9) AND NOT(expnode(10)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 23 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(23));
mannonzero <= manzeroin(23);
satnode <= (expmaxin(8) AND NOT(manzeroin(23))) OR expmaxout;
zeronode <= NOT(expzeroin(8)) OR expzeroout;
nannode <= expmaxin(8) AND manzeroin(23);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 11/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END fp_ldexp;
ARCHITECTURE rtl OF fp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(10 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 8 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 8 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(8)) OR (NOT(expzeronode(8)) AND NOT(expnode(9))) OR (expnode(10));
expmaxout <= expmaxin(8) OR (expmaxnode(8) AND NOT(expnode(9))) OR (expnode(9) AND NOT(expnode(10)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 23 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(23));
mannonzero <= manzeroin(23);
satnode <= (expmaxin(8) AND NOT(manzeroin(23))) OR expmaxout;
zeronode <= NOT(expzeroin(8)) OR expzeroout;
nannode <= expmaxin(8) AND manzeroin(23);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 11/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END fp_ldexp;
ARCHITECTURE rtl OF fp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(10 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 8 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 8 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(8)) OR (NOT(expzeronode(8)) AND NOT(expnode(9))) OR (expnode(10));
expmaxout <= expmaxin(8) OR (expmaxnode(8) AND NOT(expnode(9))) OR (expnode(9) AND NOT(expnode(10)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 23 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(23));
mannonzero <= manzeroin(23);
satnode <= (expmaxin(8) AND NOT(manzeroin(23))) OR expmaxout;
zeronode <= NOT(expzeroin(8)) OR expzeroout;
nannode <= expmaxin(8) AND manzeroin(23);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 11/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END fp_ldexp;
ARCHITECTURE rtl OF fp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(10 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 8 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 8 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(8)) OR (NOT(expzeronode(8)) AND NOT(expnode(9))) OR (expnode(10));
expmaxout <= expmaxin(8) OR (expmaxnode(8) AND NOT(expnode(9))) OR (expnode(9) AND NOT(expnode(10)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 23 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(23));
mannonzero <= manzeroin(23);
satnode <= (expmaxin(8) AND NOT(manzeroin(23))) OR expmaxout;
zeronode <= NOT(expzeroin(8)) OR expzeroout;
nannode <= expmaxin(8) AND manzeroin(23);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 11/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END fp_ldexp;
ARCHITECTURE rtl OF fp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(10 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 8 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 8 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(8)) OR (NOT(expzeronode(8)) AND NOT(expnode(9))) OR (expnode(10));
expmaxout <= expmaxin(8) OR (expmaxnode(8) AND NOT(expnode(9))) OR (expnode(9) AND NOT(expnode(10)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 23 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(23));
mannonzero <= manzeroin(23);
satnode <= (expmaxin(8) AND NOT(manzeroin(23))) OR expmaxout;
zeronode <= NOT(expzeroin(8)) OR expzeroout;
nannode <= expmaxin(8) AND manzeroin(23);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 11/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END fp_ldexp;
ARCHITECTURE rtl OF fp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(10 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 8 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 8 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(8)) OR (NOT(expzeronode(8)) AND NOT(expnode(9))) OR (expnode(10));
expmaxout <= expmaxin(8) OR (expmaxnode(8) AND NOT(expnode(9))) OR (expnode(9) AND NOT(expnode(10)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 23 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(23));
mannonzero <= manzeroin(23);
satnode <= (expmaxin(8) AND NOT(manzeroin(23))) OR expmaxout;
zeronode <= NOT(expzeroin(8)) OR expzeroout;
nannode <= expmaxin(8) AND manzeroin(23);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 11/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END fp_ldexp;
ARCHITECTURE rtl OF fp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(10 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 8 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 8 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(8)) OR (NOT(expzeronode(8)) AND NOT(expnode(9))) OR (expnode(10));
expmaxout <= expmaxin(8) OR (expmaxnode(8) AND NOT(expnode(9))) OR (expnode(9) AND NOT(expnode(10)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 23 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(23));
mannonzero <= manzeroin(23);
satnode <= (expmaxin(8) AND NOT(manzeroin(23))) OR expmaxout;
zeronode <= NOT(expzeroin(8)) OR expzeroout;
nannode <= expmaxin(8) AND manzeroin(23);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_misc.all;
package DW_Foundation_comp_arith is
component DW_mult_pipe
generic (
a_width : positive; -- multiplier word width
b_width : positive; -- multiplicand word width
num_stages : positive := 2; -- number of pipeline stages
stall_mode : natural range 0 to 1 := 1; -- '0': non-stallable; '1': stallable
rst_mode : natural range 0 to 2 := 1; -- '0': none; '1': async; '2': sync
op_iso_mode : natural range 0 to 4 := 0); -- '0': apply Power Compiler user setting; '1': noop; '2': and; '3': or; '4' preferred style...'and'
port (
clk : in std_logic; -- register clock
rst_n : in std_logic; -- register reset
en : in std_logic; -- register enable
tc : in std_logic; -- '0' : unsigned, '1' : signed
a : in std_logic_vector(a_width-1 downto 0); -- multiplier
b : in std_logic_vector(b_width-1 downto 0); -- multiplicand
product : out std_logic_vector(a_width+b_width-1 downto 0)); -- product
end component;
component DW02_mult
generic( A_width: NATURAL; -- multiplier wordlength
B_width: NATURAL); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_misc.all;
package DW_Foundation_comp_arith is
component DW_mult_pipe
generic (
a_width : positive; -- multiplier word width
b_width : positive; -- multiplicand word width
num_stages : positive := 2; -- number of pipeline stages
stall_mode : natural range 0 to 1 := 1; -- '0': non-stallable; '1': stallable
rst_mode : natural range 0 to 2 := 1; -- '0': none; '1': async; '2': sync
op_iso_mode : natural range 0 to 4 := 0); -- '0': apply Power Compiler user setting; '1': noop; '2': and; '3': or; '4' preferred style...'and'
port (
clk : in std_logic; -- register clock
rst_n : in std_logic; -- register reset
en : in std_logic; -- register enable
tc : in std_logic; -- '0' : unsigned, '1' : signed
a : in std_logic_vector(a_width-1 downto 0); -- multiplier
b : in std_logic_vector(b_width-1 downto 0); -- multiplicand
product : out std_logic_vector(a_width+b_width-1 downto 0)); -- product
end component;
component DW02_mult
generic( A_width: NATURAL; -- multiplier wordlength
B_width: NATURAL); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_misc.all;
package DW_Foundation_comp_arith is
component DW_mult_pipe
generic (
a_width : positive; -- multiplier word width
b_width : positive; -- multiplicand word width
num_stages : positive := 2; -- number of pipeline stages
stall_mode : natural range 0 to 1 := 1; -- '0': non-stallable; '1': stallable
rst_mode : natural range 0 to 2 := 1; -- '0': none; '1': async; '2': sync
op_iso_mode : natural range 0 to 4 := 0); -- '0': apply Power Compiler user setting; '1': noop; '2': and; '3': or; '4' preferred style...'and'
port (
clk : in std_logic; -- register clock
rst_n : in std_logic; -- register reset
en : in std_logic; -- register enable
tc : in std_logic; -- '0' : unsigned, '1' : signed
a : in std_logic_vector(a_width-1 downto 0); -- multiplier
b : in std_logic_vector(b_width-1 downto 0); -- multiplicand
product : out std_logic_vector(a_width+b_width-1 downto 0)); -- product
end component;
component DW02_mult
generic( A_width: NATURAL; -- multiplier wordlength
B_width: NATURAL); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_misc.all;
package DW_Foundation_comp_arith is
component DW_mult_pipe
generic (
a_width : positive; -- multiplier word width
b_width : positive; -- multiplicand word width
num_stages : positive := 2; -- number of pipeline stages
stall_mode : natural range 0 to 1 := 1; -- '0': non-stallable; '1': stallable
rst_mode : natural range 0 to 2 := 1; -- '0': none; '1': async; '2': sync
op_iso_mode : natural range 0 to 4 := 0); -- '0': apply Power Compiler user setting; '1': noop; '2': and; '3': or; '4' preferred style...'and'
port (
clk : in std_logic; -- register clock
rst_n : in std_logic; -- register reset
en : in std_logic; -- register enable
tc : in std_logic; -- '0' : unsigned, '1' : signed
a : in std_logic_vector(a_width-1 downto 0); -- multiplier
b : in std_logic_vector(b_width-1 downto 0); -- multiplicand
product : out std_logic_vector(a_width+b_width-1 downto 0)); -- product
end component;
component DW02_mult
generic( A_width: NATURAL; -- multiplier wordlength
B_width: NATURAL); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc791.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b02x00p03n01i00791ent_1 IS
END ;
-- legal. with entity_simple_name
ENTITY c01s01b02x00p03n01i00791ent_2 IS
END c01s01b02x00p03n01i00791ent_2 ;
-- legal. begin with no statements following
ENTITY c01s01b02x00p03n01i00791ent_3 IS
begin
END c01s01b02x00p03n01i00791ent_3;
-- legal. no space before semicolon
ENTITY c01s01b02x00p03n01i00791ent_4 IS
END c01s01b02x00p03n01i00791ent_4;
-- legal. NEW line before semicolon
ENTITY c01s01b02x00p03n01i00791ent_5 IS
END c01s01b02x00p03n01i00791ent_5
;
--------------------------------
ENTITY c01s01b02x00p03n01i00791ent IS
END c01s01b02x00p03n01i00791ent;
ARCHITECTURE c01s01b02x00p03n01i00791arch OF c01s01b02x00p03n01i00791ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***PASSED TEST: c01s01b02x00p03n01i00791"
severity NOTE;
wait;
END PROCESS TESTING;
END c01s01b02x00p03n01i00791arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc791.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b02x00p03n01i00791ent_1 IS
END ;
-- legal. with entity_simple_name
ENTITY c01s01b02x00p03n01i00791ent_2 IS
END c01s01b02x00p03n01i00791ent_2 ;
-- legal. begin with no statements following
ENTITY c01s01b02x00p03n01i00791ent_3 IS
begin
END c01s01b02x00p03n01i00791ent_3;
-- legal. no space before semicolon
ENTITY c01s01b02x00p03n01i00791ent_4 IS
END c01s01b02x00p03n01i00791ent_4;
-- legal. NEW line before semicolon
ENTITY c01s01b02x00p03n01i00791ent_5 IS
END c01s01b02x00p03n01i00791ent_5
;
--------------------------------
ENTITY c01s01b02x00p03n01i00791ent IS
END c01s01b02x00p03n01i00791ent;
ARCHITECTURE c01s01b02x00p03n01i00791arch OF c01s01b02x00p03n01i00791ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***PASSED TEST: c01s01b02x00p03n01i00791"
severity NOTE;
wait;
END PROCESS TESTING;
END c01s01b02x00p03n01i00791arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc791.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b02x00p03n01i00791ent_1 IS
END ;
-- legal. with entity_simple_name
ENTITY c01s01b02x00p03n01i00791ent_2 IS
END c01s01b02x00p03n01i00791ent_2 ;
-- legal. begin with no statements following
ENTITY c01s01b02x00p03n01i00791ent_3 IS
begin
END c01s01b02x00p03n01i00791ent_3;
-- legal. no space before semicolon
ENTITY c01s01b02x00p03n01i00791ent_4 IS
END c01s01b02x00p03n01i00791ent_4;
-- legal. NEW line before semicolon
ENTITY c01s01b02x00p03n01i00791ent_5 IS
END c01s01b02x00p03n01i00791ent_5
;
--------------------------------
ENTITY c01s01b02x00p03n01i00791ent IS
END c01s01b02x00p03n01i00791ent;
ARCHITECTURE c01s01b02x00p03n01i00791arch OF c01s01b02x00p03n01i00791ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***PASSED TEST: c01s01b02x00p03n01i00791"
severity NOTE;
wait;
END PROCESS TESTING;
END c01s01b02x00p03n01i00791arch;
|
------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) <2013> <Shimafuji Electric Inc., Osaka University, JAXA>
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
package SpaceWireCODECIPPackage is
--------------------------------------------------------------------------------
-- Declare constants.
--------------------------------------------------------------------------------
constant gDisconnectCountValue : integer range 0 to 255 := 141; -- transmitClock period * gDisconnectCountValue = 850ns.
constant gTimer6p4usValue : integer range 0 to 1023 := 320; -- Clock period * gTimer6p4usValue = 6.4us.
constant gTimer12p8usValue : integer range 0 to 2047 := 640; -- Clock period * gTimer12p8usValue = 12.8us.
constant gInitializeTransmitClockDivideValue : std_logic_vector (5 downto 0) := "001001"; -- transmitClock frequency / (gInitializeTransmitClockDivideValue + 1) = 10MHz.
type bit32X8Array is array (7 downto 0) of std_logic_vector (31 downto 0);
end SpaceWireCODECIPPackage;
package body SpaceWireCODECIPPackage is
end SpaceWireCODECIPPackage;
|
-- Copyright (C) Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, Altera MegaCore Function License
-- Agreement, or other applicable license agreement, including,
-- without limitation, that your use is for the sole purpose of
-- programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the
-- applicable agreement for further details.
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
USE IEEE.vital_timing.ALL;
USE IEEE.vital_primitives.ALL;
package CYCLONEIV_HSSI_COMPONENTS is
-- VITAL constants BEGIN
-- default generic values
CONSTANT DefWireDelay : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01 : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01Z : VitalDelayType01Z := (OTHERS => 0 ns);
CONSTANT DefSetupHoldCnst : TIME := 0 ns;
CONSTANT DefPulseWdthCnst : TIME := 0 ns;
-- default control options
-- CONSTANT DefGlitchMode : VitalGlitchKindType := OnEvent;
-- change default delay type to Transport : for spr 68748
CONSTANT DefGlitchMode : VitalGlitchKindType := VitalTransport;
CONSTANT DefGlitchMsgOn : BOOLEAN := FALSE;
CONSTANT DefGlitchXOn : BOOLEAN := FALSE;
CONSTANT DefMsgOnChecks : BOOLEAN := TRUE;
CONSTANT DefXOnChecks : BOOLEAN := TRUE;
-- output strength mapping
-- UX01ZWHL-
CONSTANT PullUp : VitalOutputMapType := "UX01HX01X";
CONSTANT NoPullUpZ : VitalOutputMapType := "UX01ZX01X";
CONSTANT PullDown : VitalOutputMapType := "UX01LX01X";
-- primitive result strength mapping
CONSTANT wiredOR : VitalResultMapType := ( 'U', 'X', 'L', '1' );
CONSTANT wiredAND : VitalResultMapType := ( 'U', 'X', '0', 'H' );
CONSTANT L : VitalTableSymbolType := '0';
CONSTANT H : VitalTableSymbolType := '1';
CONSTANT x : VitalTableSymbolType := '-';
CONSTANT S : VitalTableSymbolType := 'S';
CONSTANT R : VitalTableSymbolType := '/';
CONSTANT U : VitalTableSymbolType := 'X';
CONSTANT V : VitalTableSymbolType := 'B'; -- valid clock signal (non-rising)
-- VITAL constants END
-- GENERIC utility functions BEGIN
function bin2int (s : std_logic_vector) return integer;
function bin2int (s : std_logic) return integer;
function int2bit (arg : boolean) return std_logic;
function str2bin (s : string) return std_logic_vector;
function str2int (s : string) return integer;
function int2bin (arg : integer; size : integer) return std_logic_vector;
function int2bin (arg : boolean; size : integer) return std_logic_vector;
function int2bit (arg : integer) return std_logic;
function tx_top_ctrl_in_width(
double_data_mode : string;
ser_double_data_mode : string
) return integer;
function rx_top_a1k1_out_width(des_double_data_mode : string) return integer;
function rx_top_ctrl_out_width(
double_data_mode : string;
des_double_data_mode : string
) return integer;
function rx_top_basic_width (channel_width : integer) return integer;
function rx_top_num_of_basic (channel_width : integer) return integer;
function hssiSelectDelay (CONSTANT Paths: IN VitalPathArray01Type) return TIME;
function mux_select (sel : boolean; data1 : std_logic_vector; data2 : std_logic_vector) return std_logic_vector;
function mux_select (sel : bit; data1 : std_logic_vector; data2 : std_logic_vector) return std_logic_vector;
function mux_select (sel : boolean; data1 : std_logic; data2 : std_logic) return std_logic;
function mux_select (sel : bit; data1 : std_logic; data2 : std_logic) return std_logic;
function reduction_or (val : std_logic_vector) return std_logic;
function reduction_nor (val : std_logic_vector) return std_logic;
function reduction_xor (val : std_logic_vector) return std_logic;
function reduction_and (val : std_logic_vector) return std_logic;
function reduction_nand (val : std_logic_vector) return std_logic;
function alpha_tolower (given_string : string) return string;
function cycloneiv_tx_pcs_mph_fifo_xn_mapping (ph_fifo_xn_select : integer; ph_fifo_xn_mapping0 : string; ph_fifo_xn_mapping1 : string; ph_fifo_xn_mapping2 : string) return string;
function cycloneiv_tx_pcs_mphfifo_index ( ph_fifo_xn_select : integer) return integer;
function cycloneiv_tx_pcs_miqp_phfifo_index ( ph_fifo_xn_select : integer) return integer;
-- GENERIC utility functions END
TYPE CMU_MULT_STATE_TYPE IS (INITIAL,INACTIVE,ACTIVE);
--
-- cycloneiv_hssi_tx_pma
--
COMPONENT cycloneiv_hssi_tx_pma
GENERIC (
enable_diagnostic_loopback : STRING := "false";
enable_reverse_serial_loopback : STRING := "false";
enable_txclkout_loopback : STRING := "false";
lpm_type : STRING := "cycloneiv_hssi_tx_pma";
channel_number : INTEGER := 0;
common_mode : STRING := "0.65V";
dprio_config_mode : STD_LOGIC_VECTOR(5 DOWNTO 0) := "000000";
logical_channel_address : INTEGER := 0;
preemp_tap_1 : INTEGER := 0;
protocol_hint : STRING := "basic";
effective_data_rate : STRING := "unused";
rx_detect : INTEGER := 0;
serialization_factor : INTEGER := 8;
slew_rate : STRING := "low";
termination : STRING := "OCT 100 Ohms";
use_external_termination : STRING := "false";
use_rx_detect : STRING := "false";
vod_selection : INTEGER := 0
);
PORT (
cgbpowerdn : IN STD_LOGIC := '0';
datain : IN STD_LOGIC_VECTOR(9 DOWNTO 0) := (others => '0');
detectrxpowerdown : IN STD_LOGIC := '0';
diagnosticlpbkin : IN STD_LOGIC := '0';
dpriodisable : IN STD_LOGIC := '1';
dprioin : IN STD_LOGIC_VECTOR(300 - 1 DOWNTO 0) := (others => '0');
fastrefclk0in : IN STD_LOGIC := '0';
forceelecidle : IN STD_LOGIC := '0';
powerdn : IN STD_LOGIC := '0';
refclk0in : IN STD_LOGIC := '0';
refclk0inpulse : IN STD_LOGIC := '0';
reverselpbkin : IN STD_LOGIC := '0';
rxdetectclk : IN STD_LOGIC := '0';
rxdetecten : IN STD_LOGIC := '0';
txpmareset : IN STD_LOGIC := '0';
clockout : OUT STD_LOGIC;
dataout : OUT STD_LOGIC;
dprioout : OUT STD_LOGIC_VECTOR(300 - 1 DOWNTO 0);
rxdetectvalidout : OUT STD_LOGIC;
rxfoundout : OUT STD_LOGIC;
seriallpbkout : OUT STD_LOGIC
);
END COMPONENT;
--
-- cycloneiv_hssi_rx_pma
--
COMPONENT cycloneiv_hssi_rx_pma
GENERIC (
lpm_type : STRING := "cycloneiv_hssi_rx_pma";
allow_serial_loopback : STRING := "false";
channel_number : INTEGER := 0;
common_mode : STRING := "0.82V";
deserialization_factor : INTEGER := 8;
dprio_config_mode : STD_LOGIC_VECTOR(5 DOWNTO 0) := "000000";
enable_local_divider : STRING := "false";
enable_dpa_shift : STRING := "false";
enable_initial_phase_selection : STRING := "false";
enable_pd_counter_accumulate_mode : STRING := "false";
enable_ltd : STRING := "false";
enable_ltr : STRING := "false";
eq_dc_gain : INTEGER := 0;
eq_setting : INTEGER := 1;
force_signal_detect : STRING := "true";
initial_phase_value : INTEGER := 0;
logical_channel_address : INTEGER := 0;
offset_cancellation : INTEGER := 0;
pi_frequency_selector : INTEGER := 0;
ppm_gen1_2_xcnt_en : INTEGER := 1;
ppm_post_eidle : INTEGER := 0;
pd1_counter_setting : INTEGER := 5;
pd2_counter_setting : INTEGER := 5;
pd_rising_edge_only : STRING := "false";
phase_step_add_setting : INTEGER := 2;
phase_step_sub_setting : INTEGER := 1;
ppmselect : INTEGER := 0;
protocol_hint : STRING := "basic";
effective_data_rate : STRING := "unused";
send_reverse_serial_loopback_data : STRING := "false";
send_reverse_serial_loopback_recovered_clk : STRING := "false";
signal_detect_hysteresis : INTEGER := 4;
signal_detect_hysteresis_valid_threshold : INTEGER := 1;
signal_detect_loss_threshold : INTEGER := 1;
termination : STRING := "OCT 100 Ohms";
use_external_termination : STRING := "false";
loop_1_digital_filter : INTEGER := 8;
enable_second_order_loop : STRING := "false"
);
PORT (
crupowerdn : IN STD_LOGIC := '0';
datain : IN STD_LOGIC := '0';
deserclock : IN STD_LOGIC := '0';
dpashift : IN STD_LOGIC := '0';
dpriodisable : IN STD_LOGIC := '1';
dprioin : IN STD_LOGIC_VECTOR(300 - 1 DOWNTO 0) := (others => '0');
locktodata : IN STD_LOGIC := '0';
locktoref : IN STD_LOGIC := '0';
powerdn : IN STD_LOGIC := '0';
ppmdetectrefclk : IN STD_LOGIC := '0';
rxpmareset : IN STD_LOGIC := '0';
seriallpbkin : IN STD_LOGIC := '0';
testbussel : IN STD_LOGIC_VECTOR(3 DOWNTO 0):= (others => '0');
analogtestbus : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
clockout : OUT STD_LOGIC;
datastrobeout : OUT STD_LOGIC;
diagnosticlpbkout : OUT STD_LOGIC;
dprioout : OUT STD_LOGIC_VECTOR(300 - 1 DOWNTO 0);
freqlocked : OUT STD_LOGIC;
locktorefout : OUT STD_LOGIC;
recoverdataout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
reverselpbkout : OUT STD_LOGIC;
signaldetect : OUT STD_LOGIC
);
END COMPONENT;
--
-- cycloneiv_hssi_tx_pcs
--
COMPONENT cycloneiv_hssi_tx_pcs
GENERIC (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
TimingChecksOn : Boolean := True;
tipd_bitslipboundaryselect :VitalDelayArrayType01(4 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_coreclk : VitalDelayType01 := DefpropDelay01;
tipd_ctrlenable :VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_datain :VitalDelayArrayType01(39 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_datainfull :VitalDelayArrayType01(43 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_detectrxloop : VitalDelayType01 := DefpropDelay01;
tipd_digitalreset : VitalDelayType01 := DefpropDelay01;
tipd_dispval :VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dpriodisable : VitalDelayType01 := DefpropDelay01;
tipd_dprioin :VitalDelayArrayType01(149 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_enrevparallellpbk : VitalDelayType01 := DefpropDelay01;
tipd_forcedisp :VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_forcedispcompliance : VitalDelayType01 := DefpropDelay01;
tipd_forceelecidle : VitalDelayType01 := DefpropDelay01;
tipd_freezptr : VitalDelayType01 := DefpropDelay01;
tipd_hipdatain :VitalDelayArrayType01(9 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_hipdetectrxloop : VitalDelayType01 := DefpropDelay01;
tipd_hipelecidleinfersel :VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_hipforceelecidle : VitalDelayType01 := DefpropDelay01;
tipd_hippowerdn :VitalDelayArrayType01(1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_hiptxdeemph : VitalDelayType01 := DefpropDelay01;
tipd_hiptxmargin :VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_invpol : VitalDelayType01 := DefpropDelay01;
tipd_iqpphfifoxnwrenable :VitalDelayArrayType01(1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_iqpphfifoxnrdenable :VitalDelayArrayType01(1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_iqpphfifoxnbytesel :VitalDelayArrayType01(1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_iqpphfifoxnrdclk :VitalDelayArrayType01(1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_localrefclk : VitalDelayType01 := DefpropDelay01;
tipd_phfifobyteserdisable : VitalDelayType01 := DefpropDelay01;
tipd_phfifoxnbytesel :VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_phfifoxnbottomwrenable : VitalDelayType01 := DefpropDelay01;
tipd_phfifoxntopwrenable : VitalDelayType01 := DefpropDelay01;
tipd_phfifoptrsreset : VitalDelayType01 := DefpropDelay01;
tipd_phfifoxnptrsreset :VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_phfifoxnrdenable :VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_phfiforddisable : VitalDelayType01 := DefpropDelay01;
tipd_phfiforeset : VitalDelayType01 := DefpropDelay01;
tipd_phfifowrenable : VitalDelayType01 := DefpropDelay01;
tipd_phfifox4bytesel : VitalDelayType01 := DefpropDelay01;
tipd_phfifox4rdclk : VitalDelayType01 := DefpropDelay01;
tipd_phfifox4rdenable : VitalDelayType01 := DefpropDelay01;
tipd_phfifox4wrenable : VitalDelayType01 := DefpropDelay01;
tipd_phfifoxntopbytesel : VitalDelayType01 := DefpropDelay01;
tipd_phfifoxntoprdclk : VitalDelayType01 := DefpropDelay01;
tipd_phfifoxnbottombytesel : VitalDelayType01 := DefpropDelay01;
tipd_phfifoxntoprdenable : VitalDelayType01 := DefpropDelay01;
tipd_phfifoxnrdclk :VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_phfifoxnbottomrdenable : VitalDelayType01 := DefpropDelay01;
tipd_phfifoxnbottomrdclk : VitalDelayType01 := DefpropDelay01;
tipd_phfifoxnwrenable :VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_pipestatetransdone : VitalDelayType01 := DefpropDelay01;
tipd_pipetxmargin :VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_pipetxdeemph : VitalDelayType01 := DefpropDelay01;
tipd_pipetxswing : VitalDelayType01 := DefpropDelay01;
tipd_powerdn :VitalDelayArrayType01(1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_prbscidenable : VitalDelayType01 := DefpropDelay01;
tipd_quadreset : VitalDelayType01 := DefpropDelay01;
tipd_rateswitch : VitalDelayType01 := DefpropDelay01;
tipd_rateswitchisdone : VitalDelayType01 := DefpropDelay01;
tipd_rateswitchxndone : VitalDelayType01 := DefpropDelay01;
tipd_refclk : VitalDelayType01 := DefpropDelay01;
tipd_revparallelfdbk :VitalDelayArrayType01(19 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_xgmctrl : VitalDelayType01 := DefpropDelay01;
tipd_xgmdatain :VitalDelayArrayType01(7 DOWNTO 0) := (OTHERS => DefPropDelay01);
tsetup_ctrlenable_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_datain_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_detectrxloop_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dispval_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_forcedisp_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_phfifowrenable_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_forceelecidle_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_powerdn_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_pipetxswing_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ctrlenable_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_detectrxloop_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dispval_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_forcedisp_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_phfifowrenable_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_forceelecidle_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_powerdn_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_pipetxswing_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_coreclk_phfifooverflow_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_phfifounderflow_posedge : VitalDelayType01 := DefPropDelay01;
lpm_type : STRING := "cycloneiv_hssi_tx_pcs";
allow_polarity_inversion : STRING := "false";
bitslip_enable : STRING := "false";
channel_bonding : STRING := "none"; -- none, x8, x4
channel_number : INTEGER := 0;
channel_width : INTEGER := 8;
core_clock_0ppm : STRING := "false";
datapath_low_latency_mode : STRING := "false"; --NEW_PARAM, RTL=
datapath_protocol : STRING := "basic"; --replaced by protocol_hint
disable_ph_low_latency_mode : STRING := "false";
disparity_mode : STRING := "none"; -- legacy, new, none
dprio_config_mode : STD_LOGIC_VECTOR(5 DOWNTO 0) := "000000";
elec_idle_delay : INTEGER := 6; -- new in 6.0 <3-6>
enable_bit_reversal : STRING := "false";
enable_idle_selection : STRING := "false";
enable_phfifo_bypass : STRING := "false";
enable_reverse_parallel_loopback : STRING := "false";
enable_self_test_mode : STRING := "false";
enc_8b_10b_compatibility_mode : STRING := "true";
enc_8b_10b_mode : STRING := "none"; -- cascade, normal, none
force_echar : STRING := "false";
force_kchar : STRING := "false";
hip_enable : STRING := "false";
logical_channel_address : INTEGER := 0;
migrated_from_prev_family : STRING := "false";
ph_fifo_reg_mode : STRING := "false";
ph_fifo_reset_enable : STRING := "false";
ph_fifo_user_ctrl_enable : STRING := "false";
pipe_voltage_swing_control : STRING := "false"; --NEW_PARAM, RTL=
prbs_cid_pattern : STRING := "false";
prbs_cid_pattern_length : INTEGER := 0;
protocol_hint : STRING := "basic";
refclk_select : STRING := "local"; -- cmu_clk_divider
reset_clock_output_during_digital_reset : STRING := "false";
self_test_mode : STRING := "incremental";
use_double_data_mode : STRING := "false";
wr_clk_mux_select : STRING := "core_clk" -- INT_CLK // int_clk
);
PORT (
bitslipboundaryselect : IN STD_LOGIC_VECTOR(4 DOWNTO 0) := (others => '0');
coreclk : IN STD_LOGIC := '0';
ctrlenable : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others => '0');
datain : IN STD_LOGIC_VECTOR(19 DOWNTO 0) := (others => '0');
datainfull : IN STD_LOGIC_VECTOR(21 DOWNTO 0) := (others => '0'); -- WYS_TO_CHANGE
detectrxloop : IN STD_LOGIC := '0';
digitalreset : IN STD_LOGIC := '0';
dispval : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others => '0');
dpriodisable : IN STD_LOGIC := '1';
dprioin : IN STD_LOGIC_VECTOR(149 DOWNTO 0) := (others => '0');
elecidleinfersel : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (others => '0');
enrevparallellpbk : IN STD_LOGIC := '0';
forcedisp : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others => '0'); --fix_width
forceelecidle : IN STD_LOGIC := '0';
hipdatain : IN STD_LOGIC_VECTOR(9 DOWNTO 0) := (others => '0');
hipdetectrxloop : IN STD_LOGIC := '0';
hipelecidleinfersel : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (others => '0');
hipforceelecidle : IN STD_LOGIC := '0';
hippowerdn : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others => '0');
invpol : IN STD_LOGIC := '0';
localrefclk : IN STD_LOGIC := '0';
phfiforddisable : IN STD_LOGIC := '0';
phfiforeset : IN STD_LOGIC := '0';
phfifowrenable : IN STD_LOGIC := '1';
phfifox4bytesel : IN STD_LOGIC := '0';
phfifox4rdclk : IN STD_LOGIC := '0';
phfifox4rdenable : IN STD_LOGIC := '0';
phfifox4wrenable : IN STD_LOGIC := '0';
pipestatetransdone : IN STD_LOGIC := '0';
pipetxswing : IN STD_LOGIC := '0'; --NEW; RTL=txswing
powerdn : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others => '0');
prbscidenable : IN STD_LOGIC := '0';
quadreset : IN STD_LOGIC := '0';
refclk : IN STD_LOGIC := '0';
revparallelfdbk : IN STD_LOGIC_VECTOR(19 DOWNTO 0) := (others => '0');
xgmctrl : IN STD_LOGIC := '0';
xgmdatain : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (others => '0');
clkout : OUT STD_LOGIC;
coreclkout : OUT STD_LOGIC;
dataout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
dprioout : OUT STD_LOGIC_VECTOR(149 DOWNTO 0);
forceelecidleout : OUT STD_LOGIC;
grayelecidleinferselout : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
hiptxclkout : OUT STD_LOGIC;
parallelfdbkout : OUT STD_LOGIC_VECTOR(19 DOWNTO 0);
phfifooverflow : OUT STD_LOGIC;
phfiforddisableout : OUT STD_LOGIC;
phfiforesetout : OUT STD_LOGIC;
phfifounderflow : OUT STD_LOGIC;
phfifowrenableout : OUT STD_LOGIC;
pipeenrevparallellpbkout : OUT STD_LOGIC;
pipepowerdownout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
pipepowerstateout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rdenablesync : OUT STD_LOGIC;
txdetectrx : OUT STD_LOGIC;
xgmctrlenable : OUT STD_LOGIC;
xgmdataout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
--
-- cycloneiv_hssi_rx_pcs
--
COMPONENT cycloneiv_hssi_rx_pcs
GENERIC (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
TimingChecksOn : Boolean := True;
tipd_a1a2size : VitalDelayType01 := DefpropDelay01;
tipd_alignstatus : VitalDelayType01 := DefpropDelay01;
tipd_alignstatussync : VitalDelayType01 := DefpropDelay01;
tipd_bitslip : VitalDelayType01 := DefpropDelay01;
tipd_cdrctrllocktorefcl : VitalDelayType01 := DefpropDelay01;
tipd_coreclk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(19 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_digitalreset : VitalDelayType01 := DefpropDelay01;
tipd_dpriodisable : VitalDelayType01 := DefpropDelay01;
tipd_dprioin : VitalDelayArrayType01(399 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_elecidleinfersel : VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_enabledeskew : VitalDelayType01 := DefpropDelay01;
tipd_enabyteord : VitalDelayType01 := DefpropDelay01;
tipd_enapatternalign : VitalDelayType01 := DefpropDelay01;
tipd_fifordin : VitalDelayType01 := DefpropDelay01;
tipd_fiforesetrd : VitalDelayType01 := DefpropDelay01;
tipd_hip8b10binvpolarity : VitalDelayType01 := DefpropDelay01;
tipd_hipelecidleinfersel : VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_hippowerdown : VitalDelayArrayType01(1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_invpol : VitalDelayType01 := DefpropDelay01;
tipd_localrefclk : VitalDelayType01 := DefpropDelay01;
tipd_masterclk : VitalDelayType01 := DefpropDelay01;
tipd_parallelfdbk : VitalDelayArrayType01(19 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_phfifordenable : VitalDelayType01 := DefpropDelay01;
tipd_phfiforeset : VitalDelayType01 := DefpropDelay01;
tipd_phfifowrdisable : VitalDelayType01 := DefpropDelay01;
tipd_phfifox4bytesel : VitalDelayType01 := DefpropDelay01;
tipd_phfifox4rdenable : VitalDelayType01 := DefpropDelay01;
tipd_phfifox4wrclk : VitalDelayType01 := DefpropDelay01;
tipd_phfifox4wrenable : VitalDelayType01 := DefpropDelay01;
tipd_pipe8b10binvpolarity : VitalDelayType01 := DefpropDelay01;
tipd_pipepowerdown : VitalDelayArrayType01(1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_pipepowerstate : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_powerdn : VitalDelayArrayType01(1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_prbscidenable : VitalDelayType01 := DefpropDelay01;
tipd_quadreset : VitalDelayType01 := DefpropDelay01;
tipd_recoveredclk : VitalDelayType01 := DefpropDelay01;
tipd_refclk : VitalDelayType01 := DefpropDelay01;
tipd_revbitorderwa : VitalDelayType01 := DefpropDelay01;
tipd_revbyteorderwa : VitalDelayType01 := DefpropDelay01;
tipd_rmfifordena : VitalDelayType01 := DefpropDelay01;
tipd_rmfiforeset : VitalDelayType01 := DefpropDelay01;
tipd_rmfifowrena : VitalDelayType01 := DefpropDelay01;
tipd_rxdetectvalid : VitalDelayType01 := DefpropDelay01;
tipd_rxfound : VitalDelayArrayType01(1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_signaldetected : VitalDelayType01 := DefpropDelay01;
tipd_xgmctrlin : VitalDelayType01 := DefpropDelay01;
tipd_xgmdatain : VitalDelayArrayType01(7 DOWNTO 0) := (OTHERS => DefPropDelay01);
tsetup_phfifordenable_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_phfifordenable_coreclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_coreclk_a1a2sizeout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_byteorderalignstatus_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_ctrldetect_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_dataoutfull_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_disperr_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_errdetect_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_patterndetect_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_phfifooverflow_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_phfifounderflow_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_rmfifodatadeleted_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_rmfifodatainserted_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_runningdisp_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_syncstatus_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_pipebufferstat_posedge : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tpd_coreclk_pipestatus_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_pipephydonestatus_posedge : VitalDelayType01 := DefPropDelay01;
tpd_coreclk_pipedatavalid_posedge : VitalDelayType01 := DefPropDelay01;
lpm_type : STRING := "cycloneiv_hssi_rx_pcs";
auto_spd_deassert_ph_fifo_rst_count : INTEGER := 0;
auto_spd_phystatus_notify_count : INTEGER := 0;
align_ordered_set_based : STRING := "false";
align_pattern : STRING := "0101111100"; -- word align: size of align_pattern_length;
align_pattern_length : INTEGER := 10; -- <7, 8, 10, 16, 20, 32, 40>;
align_to_deskew_pattern_pos_disp_only : STRING := "false"; -- <true/false>;
allow_align_polarity_inversion : STRING := "false";
allow_pipe_polarity_inversion : STRING := "false";
bit_slip_enable : STRING := "false";
byte_order_back_compat_enable : STRING := "false";
byte_order_invalid_code_or_run_disp_error : STRING := "false";
byte_order_mode : STRING := "none"; --NEW_PARAM_replace byte_ordering_mode
byte_order_pad_pattern : STRING := "0101111100"; -- <10-bit binary string>;
byte_order_pattern : STRING := "0101111100"; -- <10-bit binary string>;
byte_order_pld_ctrl_enable : STRING := "false"; --ww47_cram added in build 165
cdrctrl_bypass_ppm_detector_cycle : INTEGER := 0;
cdrctrl_cid_mode_enable : STRING := "false";
cdrctrl_enable : STRING := "false";
cdrctrl_mask_cycle : INTEGER := 0;
cdrctrl_min_lock_to_ref_cycle : INTEGER := 0;
cdrctrl_rxvalid_mask : STRING := "false";
channel_bonding : STRING := "none"; -- <none, x4, x8>;
channel_number : INTEGER := 0; -- <integer 0-3>;
channel_width : INTEGER := 10; -- <integer 8,10,16,20,32,40>;
clk1_mux_select : STRING := "recvd_clk"; -- <RECVD_CLK, MASTER_CLK, LOCAL_REFCLK, DIGITAL_REFCLK>;
clk2_mux_select : STRING := "recvd_clk"; -- <RECVD_CLK, LOCAL_REFCLK, DIGITAL_REFCLK, CORE_CLK>;
clk_pd_enable : STRING := "false"; --ww47_cram_p1
core_clock_0ppm : STRING := "false";
datapath_low_latency_mode : STRING := "false";
datapath_protocol : STRING := "basic"; -- <basic/pipe/xaui> replaced by protocol_hint
dec_8b_10b_compatibility_mode : STRING := "true";
dec_8b_10b_mode : STRING := "none"; -- <normal/cascaded/none>;
deskew_pattern : STRING := "1100111100"; -- K28.3
disable_auto_idle_insertion : STRING := "false";
disable_running_disp_in_word_align : STRING := "false";
disallow_kchar_after_pattern_ordered_set : STRING := "false";
elec_idle_eios_detect_priority_over_eidle_disable : STRING := "false";
elec_idle_gen1_sigdet_enable : STRING := "false";
elec_idle_infer_enable : STRING := "false";
elec_idle_num_com_detect : INTEGER := 0;
enable_bit_reversal : STRING := "false";
enable_self_test_mode : STRING := "false";
error_from_wa_or_8b_10b_select : STRING := "false";
force_signal_detect_dig : STRING := "false";
hip_enable : STRING := "false";
infiniband_invalid_code : INTEGER := 0; -- <integer 0-3>;
insert_pad_on_underflow : STRING := "false";
logical_channel_address : INTEGER := 0;
num_align_code_groups_in_ordered_set : INTEGER := 1; -- <integer 0-3>;
num_align_cons_good_data : INTEGER := 3; -- wordalign<Integer 1-256>;
num_align_cons_pat : INTEGER := 4; -- <Integer 1-256>;
num_align_loss_sync_error : INTEGER := 1; --NEW_PARAM_replace align_loss_sync_error_num
ph_fifo_low_latency_enable : STRING := "false";
ph_fifo_reg_mode : STRING := "false";
ph_fifo_reset_enable : STRING := "false";
ph_fifo_user_ctrl_enable : STRING := "false";
phystatus_delay : INTEGER := 0;
phystatus_reset_toggle : STRING := "false";
pipe_auto_speed_nego_enable : STRING := "false";
prbs_all_one_detect : STRING := "false";
prbs_cid_pattern : STRING := "false";
prbs_cid_pattern_length : INTEGER := 0;
protocol_hint : STRING := "basic";
rate_match_back_to_back : STRING := "false";
rate_match_delete_threshold : INTEGER := 13;
rate_match_empty_threshold : INTEGER := 5;
rate_match_fifo_mode : STRING := "false"; -- <normal/cascaded/generic/cascaded_generic/none> in s2gx, bool in s4gx;
rate_match_full_threshold : INTEGER := 20;
rate_match_insert_threshold : INTEGER := 11;
rate_match_ordered_set_based : STRING := "false"; -- <integer 10 or 20>;
rate_match_pattern1 : STRING := "00000000000010111100"; -- <20-bit binary string>;
rate_match_pattern2 : STRING := "00000000000010111100"; -- <20-bit binary string>;
rate_match_pattern_size : INTEGER := 10; -- <integer 10 or 20>;
rate_match_pipe_enable : STRING := "false";
rate_match_reset_enable : STRING := "true"; --NEW_PARAM - default diff from atom
rate_match_skip_set_based : STRING := "false";
rate_match_start_threshold : INTEGER := 7;
rd_clk_mux_select : STRING := "int clock"; -- <INT_CLK, CORE_CLK>;
recovered_clk_mux_select : STRING := "recovered clock"; -- <RECVD_CLK, LOCAL_REFCLK, DIGITAL_REFCLK>;
reset_clock_output_during_digital_reset : STRING := "false";
run_length : INTEGER := 200; -- <5-320 or 4-254 depending on the deserialization factor>;
run_length_enable : STRING := "false";
rx_detect_bypass : STRING := "false";
rx_phfifo_wait_cnt : INTEGER := 32;
rxstatus_error_report_mode : INTEGER := 0;
self_test_mode : STRING := "incremental"; -- <PRBS_7,PRBS_8,PRBS_10,PRBS_23,low_freq,mixed_freq,high_freq,incremental,cjpat,crpat>;
test_bus_sel : INTEGER := 0;
use_alignment_state_machine : STRING := "false";
use_deskew_fifo : STRING := "false";
use_double_data_mode : STRING := "false";
use_parallel_loopback : STRING := "false";
dprio_config_mode : STD_LOGIC_VECTOR(5 DOWNTO 0) := "000000"
);
PORT (
a1a2size : IN STD_LOGIC := '0';
alignstatus : IN STD_LOGIC := '0';
alignstatussync : IN STD_LOGIC := '0';
bitslip : IN STD_LOGIC := '0';
cdrctrllocktorefcl : IN STD_LOGIC := '0'; -- pld_ltr
coreclk : IN STD_LOGIC := '0';
datain : IN STD_LOGIC_VECTOR(9 DOWNTO 0) := (others => '0'); --NEW: updated width
digitalreset : IN STD_LOGIC := '0';
elecidleinfersel : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (others => '0');
enabledeskew : IN STD_LOGIC := '0';
enabyteord : IN STD_LOGIC := '0';
enapatternalign : IN STD_LOGIC := '0';
fifordin : IN STD_LOGIC := '0';
fiforesetrd : IN STD_LOGIC := '0';
grayelecidleinferselfromtx : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (others => '0');
hip8b10binvpolarity : IN STD_LOGIC := '0'; -- hip_rxpolarity
hipelecidleinfersel : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (others => '0'); -- hip_eidleinfersel_ch
hippowerdown : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others => '0'); -- hip_powerdown_ch
invpol : IN STD_LOGIC := '0';
localrefclk : IN STD_LOGIC := '0';
masterclk : IN STD_LOGIC := '0';
parallelfdbk : IN STD_LOGIC_VECTOR(19 DOWNTO 0) := (others => '0');
phfifordenable : IN STD_LOGIC := '1';
phfiforeset : IN STD_LOGIC := '0';
phfifowrdisable : IN STD_LOGIC := '0';
phfifox4bytesel : IN STD_LOGIC := '0';
phfifox4rdenable : IN STD_LOGIC := '0';
phfifox4wrclk : IN STD_LOGIC := '0';
phfifox4wrenable : IN STD_LOGIC := '0';
pipe8b10binvpolarity : IN STD_LOGIC := '0';
pipeenrevparallellpbkfromtx : IN STD_LOGIC := '0';
pipepowerdown : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others => '0');
pipepowerstate : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
pmatestbusin : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (others => '0');
powerdn : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others => '0');
prbscidenable : IN STD_LOGIC := '0'; -- prbs_cid_en
quadreset : IN STD_LOGIC := '0';
recoveredclk : IN STD_LOGIC := '0';
refclk : IN STD_LOGIC := '0';
revbitorderwa : IN STD_LOGIC := '0';
rmfifordena : IN STD_LOGIC := '0';
rmfiforeset : IN STD_LOGIC := '0';
rmfifowrena : IN STD_LOGIC := '0';
rxdetectvalid : IN STD_LOGIC := '0';
rxfound : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others => '0');
signaldetected : IN STD_LOGIC := '0';
xauidelcondmet : IN STD_LOGIC := '0';
xauififoovr : IN STD_LOGIC := '0';
xauiinsertincomplete : IN STD_LOGIC := '0';
xauilatencycomp : IN STD_LOGIC := '0';
xgmctrlin : IN STD_LOGIC := '0';
xgmdatain : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (others => '0'); --54 ins ---
wareset : IN STD_LOGIC := '0';
revbyteorderwa : IN STD_LOGIC := '0';
dpriodisable : IN STD_LOGIC := '1';
dprioin : IN STD_LOGIC_VECTOR(399 DOWNTO 0) := (others => '0');
a1a2sizeout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
a1detect : OUT STD_LOGIC;
a2detect : OUT STD_LOGIC;
adetectdeskew : OUT STD_LOGIC;
alignstatussyncout : OUT STD_LOGIC;
bistdone : OUT STD_LOGIC;
bisterr : OUT STD_LOGIC;
bitslipboundaryselectout : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); --ww47_out wa_boundary
byteorderalignstatus : OUT STD_LOGIC;
cdrctrlearlyeios : OUT STD_LOGIC; --ww47_out Asserted when K_I or K_X_I is detected on the incoming data. To PMA and/or PLD?
cdrctrllocktorefclkout : OUT STD_LOGIC; --ww47_out Force CDR(RX PLL) to LTR.
clkout : OUT STD_LOGIC;
coreclkout : OUT STD_LOGIC; --ww47_out Sim Only. From RX Ch0 to CMU
ctrldetect : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
dataout : OUT STD_LOGIC_VECTOR(19 DOWNTO 0);
dataoutfull : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); -- new in 6.1
disperr : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
errdetect : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
fifordout : OUT STD_LOGIC;
hipdataout : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); --ww47_out hip_rxd_ch(8:0)
hipdatavalid : OUT STD_LOGIC; --ww47_out hip_rxvalid
hipelecidle : OUT STD_LOGIC; --ww47_out hip_rxelecidle
hipphydonestatus : OUT STD_LOGIC; --ww47_out hip_phystatus
hipstatus : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); --ww47_out hip_rxstatus_ch(2:0)
k1detect : OUT STD_LOGIC;
k2detect : OUT STD_LOGIC;
patterndetect : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
phfifooverflow : OUT STD_LOGIC;
phfifordenableout : OUT STD_LOGIC;
phfiforesetout : OUT STD_LOGIC; --ww47_out Sim Only. From RX Ch0 to CMU
phfifounderflow : OUT STD_LOGIC;
phfifowrdisableout : OUT STD_LOGIC; --ww47_out Sim Only. From RX Ch0 to CMU
pipebufferstat : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
pipedatavalid : OUT STD_LOGIC;
pipeelecidle : OUT STD_LOGIC;
pipephydonestatus : OUT STD_LOGIC;
pipestatus : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
rdalign : OUT STD_LOGIC;
revparallelfdbkdata : OUT STD_LOGIC_VECTOR(19 DOWNTO 0);
rlv : OUT STD_LOGIC;
rmfifodatadeleted : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
rmfifodatainserted : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
rmfifoempty : OUT STD_LOGIC;
rmfifofull : OUT STD_LOGIC;
runningdisp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
signaldetect : OUT STD_LOGIC;
syncstatus : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
syncstatusdeskew : OUT STD_LOGIC;
xauidelcondmetout : OUT STD_LOGIC;
xauififoovrout : OUT STD_LOGIC;
xauiinsertincompleteout : OUT STD_LOGIC;
xauilatencycompout : OUT STD_LOGIC;
xgmctrldet : OUT STD_LOGIC;
xgmdataout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
xgmdatavalid : OUT STD_LOGIC;
xgmrunningdisp : OUT STD_LOGIC;
dprioout : OUT STD_LOGIC_VECTOR(399 DOWNTO 0);
pipestatetransdoneout : OUT STD_LOGIC
);
END COMPONENT;
--
-- cycloneiv_hssi_cmu
--
COMPONENT cycloneiv_hssi_cmu
GENERIC (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
TimingChecksOn : Boolean := True;
tipd_txphfiforddisable : VitalDelayType01 := DefpropDelay01;
tipd_txctrl : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxdatain : VitalDelayArrayType01(31 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_txclk : VitalDelayType01 := DefpropDelay01;
tipd_syncstatus : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxpcsdprioin : VitalDelayArrayType01(1599 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_txdigitalreset : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_txdatain : VitalDelayArrayType01(31 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_scanclk : VitalDelayType01 := DefpropDelay01;
tipd_rdenablesync : VitalDelayType01 := DefpropDelay01;
tipd_dpclk : VitalDelayType01 := DefpropDelay01;
tipd_rxphfiforeset : VitalDelayType01 := DefpropDelay01;
tipd_testin : VitalDelayArrayType01(9999 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxrunningdisp : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxdatavalid : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_txpcsdprioin : VitalDelayArrayType01(599 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dprioin : VitalDelayType01 := DefpropDelay01;
tipd_rxctrl : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxanalogreset : VitalDelayArrayType01(5 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_txphfifowrenable : VitalDelayType01 := DefpropDelay01;
tipd_rxphfifowrdisable : VitalDelayType01 := DefpropDelay01;
tipd_rdalign : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_fixedclk : VitalDelayArrayType01(5 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dpriodisable : VitalDelayType01 := DefpropDelay01;
tipd_scanmode : VitalDelayType01 := DefpropDelay01;
tipd_rxphfifordenable : VitalDelayType01 := DefpropDelay01;
tipd_txphfiforeset : VitalDelayType01 := DefpropDelay01;
tipd_txcoreclk : VitalDelayType01 := DefpropDelay01;
tipd_adet : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxdigitalreset : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxpmadprioin : VitalDelayArrayType01(1799 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxcoreclk : VitalDelayType01 := DefpropDelay01;
tipd_dprioload : VitalDelayType01 := DefpropDelay01;
tipd_quadreset : VitalDelayType01 := DefpropDelay01;
tipd_nonuserfromcal : VitalDelayType01 := DefpropDelay01;
tipd_scanshift : VitalDelayType01 := DefpropDelay01;
tipd_txpmadprioin : VitalDelayArrayType01(1799 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_recovclk : VitalDelayType01 := DefpropDelay01;
tipd_rxpowerdown : VitalDelayArrayType01(5 DOWNTO 0) := (OTHERS => DefPropDelay01);
tsetup_dprioin_dpclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dprioin_dpclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_dpclk_dprioout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_dpclk_dpriooe_posedge : VitalDelayType01 := DefPropDelay01;
lpm_type : STRING := "cycloneiv_hssi_cmu";
auto_spd_deassert_ph_fifo_rst_count : INTEGER := 0;
auto_spd_phystatus_notify_count : INTEGER := 0;
coreclk_out_gated_by_quad_reset : STRING := "false"; -- cycloneiv_new
devaddr : INTEGER := 1;
dprio_config_mode : STD_LOGIC_VECTOR(5 DOWNTO 0) := "000000";
in_xaui_mode : STRING := "false";
pipe_auto_speed_nego_enable : STRING := "false";
portaddr : INTEGER := 1;
rx0_channel_bonding : STRING := "none";
rx0_clk1_mux_select : STRING := "recovered clock";
rx0_clk2_mux_select : STRING := "recovered clock";
rx0_clk_pd_enable : STRING := "false";
rx0_ph_fifo_reg_mode : STRING := "false";
rx0_ph_fifo_reset_enable : STRING := "false";
rx0_ph_fifo_user_ctrl_enable : STRING := "false";
rx0_rd_clk_mux_select : STRING := "int clock";
rx0_recovered_clk_mux_select : STRING := "recovered clock";
rx0_reset_clock_output_during_digital_reset : STRING := "false";
rx0_use_double_data_mode : STRING := "false";
rx_xaui_sm_backward_compatible_enable : STRING := "false";
select_refclk_dig : STRING := "false"; -- cycloneiv_new
tx0_channel_bonding : STRING := "none";
tx0_clk_pd_enable : STRING := "false";
tx0_ph_fifo_reset_enable : STRING := "false";
tx0_ph_fifo_user_ctrl_enable : STRING := "false";
tx0_rd_clk_mux_select : STRING := "int clock";
tx0_reset_clock_output_during_digital_reset : STRING := "false";
tx0_use_double_data_mode : STRING := "false";
tx0_wr_clk_mux_select : STRING := "int_clk";
tx_xaui_sm_backward_compatible_enable : STRING := "false";
use_coreclk_out_post_divider : STRING := "false"; -- cycloneiv_new
use_deskew_fifo : STRING := "false";
rx_logical_to_physical_mapping : INTEGER := 0;
tx_logical_to_physical_mapping : INTEGER := 0;
pll_logical_to_physical_mapping : INTEGER := 0;
rx0_logical_to_physical_mapping : INTEGER := 0;
rx1_logical_to_physical_mapping : INTEGER := 1;
rx2_logical_to_physical_mapping : INTEGER := 2;
rx3_logical_to_physical_mapping : INTEGER := 3;
tx0_logical_to_physical_mapping : INTEGER := 0;
tx1_logical_to_physical_mapping : INTEGER := 1;
tx2_logical_to_physical_mapping : INTEGER := 2;
tx3_logical_to_physical_mapping : INTEGER := 3;
sim_dump_dprio_internal_reg_at_time : INTEGER := 0; -- in ps
sim_dump_filename : STRING := "sim_dprio_dump.txt" -- over-write when multiple CMUs
);
PORT (
adet : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
dpclk : IN STD_LOGIC := '0';
dpriodisable : IN STD_LOGIC := '1';
dprioin : IN STD_LOGIC := '0';
dprioload : IN STD_LOGIC := '0';
fixedclk : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
nonuserfromcal : IN STD_LOGIC := '0';
pmacramtest : IN STD_LOGIC := '0'; -- new 9.0 ww47
quadreset : IN STD_LOGIC := '0';
rdalign : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
rdenablesync : IN STD_LOGIC := '0';
recovclk : IN STD_LOGIC := '0';
refclkdig : IN STD_LOGIC := '0'; -- cycloneiv_new
rxanalogreset : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
rxcoreclk : IN STD_LOGIC := '0';
rxctrl : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
rxdatain : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (others => '0');
rxdatavalid : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
rxdigitalreset : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
rxpcsdprioin : IN STD_LOGIC_VECTOR(1599 DOWNTO 0) := (others => '0');
rxphfifordenable : IN STD_LOGIC := '0';
rxphfiforeset : IN STD_LOGIC := '0';
rxphfifowrdisable : IN STD_LOGIC := '0';
rxpmadprioin : IN STD_LOGIC_VECTOR(1199 DOWNTO 0) := (others => '0');
rxpowerdown : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
rxrunningdisp : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
scanclk : IN STD_LOGIC := '0';
scanmode : IN STD_LOGIC := '0';
scanshift : IN STD_LOGIC := '0';
syncstatus : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
testin : IN STD_LOGIC_VECTOR(1999 DOWNTO 0) := (others => '0');
txclk : IN STD_LOGIC := '0';
txcoreclk : IN STD_LOGIC := '0';
txctrl : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
txdatain : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (others => '0');
txdigitalreset : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
txpcsdprioin : IN STD_LOGIC_VECTOR(599 DOWNTO 0) := (others => '0');
txphfiforddisable : IN STD_LOGIC := '0';
txphfiforeset : IN STD_LOGIC := '0';
txphfifowrenable : IN STD_LOGIC := '0';
txpmadprioin : IN STD_LOGIC_VECTOR(1199 DOWNTO 0) := (others => '0');
alignstatus : OUT STD_LOGIC;
coreclkout : OUT STD_LOGIC; -- stnngray_new
digitaltestout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
dpriodisableout : OUT STD_LOGIC;
dpriooe : OUT STD_LOGIC;
dprioout : OUT STD_LOGIC;
enabledeskew : OUT STD_LOGIC;
fiforesetrd : OUT STD_LOGIC;
quadresetout : OUT STD_LOGIC;
refclkout : OUT STD_LOGIC; -- cycloneiv_new
rxanalogresetout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rxcrupowerdown : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rxctrlout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rxdataout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
rxdigitalresetout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rxibpowerdown : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rxpcsdprioout : OUT STD_LOGIC_VECTOR(1599 DOWNTO 0);
rxphfifox4byteselout : OUT STD_LOGIC;
rxphfifox4wrclkout : OUT STD_LOGIC;
rxphfifox4rdenableout : OUT STD_LOGIC;
rxphfifox4wrenableout : OUT STD_LOGIC;
rxpmadprioout : OUT STD_LOGIC_VECTOR(1199 DOWNTO 0);
testout : OUT STD_LOGIC_VECTOR(2399 DOWNTO 0);
txanalogresetout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txctrlout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txdataout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
txdetectrxpowerdown : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txdigitalresetout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txdividerpowerdown : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txobpowerdown : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txpcsdprioout : OUT STD_LOGIC_VECTOR(599 DOWNTO 0);
txphfifox4byteselout : OUT STD_LOGIC;
txphfifox4rdclkout : OUT STD_LOGIC;
txphfifox4rdenableout : OUT STD_LOGIC;
txphfifox4wrenableout : OUT STD_LOGIC;
txpmadprioout : OUT STD_LOGIC_VECTOR(1199 DOWNTO 0)
);
END COMPONENT;
--
-- cycloneiv_hssi_calibration_block
--
COMPONENT cycloneiv_hssi_calibration_block
GENERIC (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
TimingChecksOn : Boolean := True;
tipd_clk : VitalDelayType01 := DefpropDelay01;
lpm_type : string := "cycloneiv_hssi_calibration_block";
cont_cal_mode : string := "false";
enable_rx_cal_tw : string := "false";
enable_tx_cal_tw : string := "false";
migrated_from_prev_family : string := "false";
rtest : string := "false";
rx_cal_wt_value : integer := 0;
send_rx_cal_status : string := "true";
tx_cal_wt_value : integer := 1);
PORT (
clk : IN std_logic := '0';
powerdn : IN std_logic := '0';
testctrl : IN std_logic := '0';
calibrationstatus : OUT std_logic_vector(4 DOWNTO 0);
nonusertocmu : OUT std_logic
);
END COMPONENT;
end cycloneiv_hssi_components;
package body CYCLONEIV_HSSI_COMPONENTS is
function bin2int (s : std_logic_vector) return integer is
constant temp : std_logic_vector(s'high-s'low DOWNTO 0) := s;
variable result : integer := 0;
begin
for i in temp'range loop
if (temp(i) = '1') then
result := result + (2**i);
end if;
end loop;
return(result);
end bin2int;
function bin2int (s : std_logic) return integer is
constant temp : std_logic := s;
variable result : integer := 0;
begin
if (temp = '1') then
result := 1;
else
result := 0;
end if;
return(result);
end bin2int;
function str2bin (s : string) return std_logic_vector is
variable len : integer := s'length;
variable result : std_logic_vector(39 DOWNTO 0) := (OTHERS => '0');
variable i : integer;
begin
for i in 1 to len loop
case s(i) is
when '0' => result(len - i) := '0';
when '1' => result(len - i) := '1';
when others =>
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "in string parameter! "
SEVERITY ERROR;
end case;
end loop;
return result;
end;
function str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "i n string parameter! " SEVERITY ERROR;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "in string parameter! "
SEVERITY ERROR;
end case;
newdigit := newdigit * 10 + digit;
end loop;
return (sign*newdigit);
end;
function int2bin (arg : integer; size : integer) return std_logic_vector is
variable int_val : integer := arg;
variable result : std_logic_vector(size-1 downto 0);
begin
for i in 0 to result'left loop
if ((int_val mod 2) = 0) then
result(i) := '0';
else
result(i) := '1';
end if;
int_val := int_val/2;
end loop;
return result;
end int2bin;
function int2bin (arg : boolean; size : integer) return std_logic_vector is
variable result : std_logic_vector(size-1 downto 0);
begin
if(arg)then
result := (OTHERS => '1');
else
result := (OTHERS => '0');
end if;
return result;
end int2bin;
function int2bit (arg : integer) return std_logic is
variable int_val : integer := arg;
variable result : std_logic;
begin
if (int_val = 0) then
result := '0';
else
result := '1';
end if;
return result;
end int2bit;
function int2bit (arg : boolean) return std_logic is
variable int_val : boolean := arg;
variable result : std_logic;
begin
if (int_val ) then
result := '1';
else
result := '0';
end if;
return result;
end int2bit;
function tx_top_ctrl_in_width(double_data_mode : string;
ser_double_data_mode : string
) return integer is
variable real_widthb : integer;
begin
real_widthb := 1;
if (ser_double_data_mode = "true" AND double_data_mode = "true") then
real_widthb := 4;
elsif (ser_double_data_mode = "false" AND double_data_mode = "false") then
real_widthb := 1;
else
real_widthb := 2;
end if;
return real_widthb;
end tx_top_ctrl_in_width;
function rx_top_a1k1_out_width(des_double_data_mode : string) return integer is
variable real_widthb : integer;
begin
if (des_double_data_mode = "true") then
real_widthb := 2;
else
real_widthb := 1;
end if;
return real_widthb;
end rx_top_a1k1_out_width;
function rx_top_ctrl_out_width(double_data_mode : string;
des_double_data_mode : string
) return integer is
variable real_widthb : integer;
begin
real_widthb := 1;
if (des_double_data_mode = "true" AND double_data_mode = "true") then
real_widthb := 4;
elsif (des_double_data_mode = "false" AND double_data_mode = "false") then
real_widthb := 1;
else
real_widthb := 2;
end if;
return real_widthb;
end rx_top_ctrl_out_width;
function hssiSelectDelay (CONSTANT Paths : IN VitalPathArray01Type) return TIME IS
variable Temp : TIME;
variable TransitionTime : TIME := TIME'HIGH;
variable PathDelay : TIME := TIME'HIGH;
begin
for i IN Paths'RANGE loop
next when not Paths(i).PathCondition;
next when Paths(i).InputChangeTime > TransitionTime;
Temp := Paths(i).PathDelay(tr01);
if Paths(i).InputChangeTime < TransitionTime then
PathDelay := Temp;
else
if Temp < PathDelay then
PathDelay := Temp;
end if;
end if;
TransitionTime := Paths(i).InputChangeTime;
end loop;
return PathDelay;
end;
function mux_select (sel : boolean; data1 : std_logic_vector; data2 : std_logic_vector) return std_logic_vector is
variable dataout : std_logic_vector(data1'range);
begin
if(sel) then
dataout := data1;
else
dataout := data2;
end if;
return (dataout);
end mux_select;
function mux_select (sel : boolean; data1 : std_logic; data2 : std_logic) return std_logic is
variable dataout : std_logic;
begin
if(sel) then
dataout := data1;
else
dataout := data2;
end if;
return (dataout);
end mux_select;
function mux_select (sel : bit; data1 : std_logic_vector; data2 : std_logic_vector) return std_logic_vector is
variable dataout : std_logic_vector(data1'range);
begin
if(sel = '1') then
dataout := data1;
else
dataout := data2;
end if;
return (dataout);
end mux_select;
function mux_select (sel : bit; data1 : std_logic; data2 : std_logic) return std_logic is
variable dataout : std_logic;
begin
if(sel = '1') then
dataout := data1;
else
dataout := data2;
end if;
return (dataout);
end mux_select;
function rx_top_basic_width (channel_width : integer) return integer is
variable basic_width : integer;
begin
if (channel_width mod 10 = 0) then
basic_width := 10;
else
basic_width := 8;
end if;
return(basic_width);
end rx_top_basic_width;
function rx_top_num_of_basic (channel_width : integer) return integer is
variable num_of_basic : integer;
begin
if (channel_width mod 10 = 0) then
num_of_basic := channel_width/10;
else
num_of_basic := channel_width/8;
end if;
return(num_of_basic);
end rx_top_num_of_basic;
function reduction_or (
val : std_logic_vector) return std_logic is
variable result : std_logic := '0';
begin
for i in val'range loop
result := result or val(i);
end loop;
return(result);
end reduction_or;
function reduction_nor (
val : std_logic_vector) return std_logic is
variable result : std_logic := '0';
begin
for i in val'range loop
result := result or val(i);
end loop;
return(not result);
end reduction_nor;
function reduction_xor (
val : std_logic_vector) return std_logic is
variable result : std_logic := '0';
begin
for i in val'range loop
result := result xor val(i);
end loop;
return(result);
end reduction_xor;
function reduction_and (
val : std_logic_vector) return std_logic is
variable result : std_logic := '1';
begin
for i in val'range loop
result := result and val(i);
end loop;
return(result);
end reduction_and;
function reduction_nand (
val : std_logic_vector) return std_logic is
variable result : std_logic := '1';
begin
for i in val'range loop
result := result and val(i);
end loop;
return(not result);
end reduction_nand;
function alpha_tolower (given_string : string) return string is
-- VARIABLE DECLARATION
variable string_length : integer := given_string'length;
variable result_string : string(1 to 25) := " ";
begin
for i in 1 to string_length loop
case given_string(i) is
when 'A' => result_string(i) := 'a';
when 'B' => result_string(i) := 'b';
when 'C' => result_string(i) := 'c';
when 'D' => result_string(i) := 'd';
when 'E' => result_string(i) := 'e';
when 'F' => result_string(i) := 'f';
when 'G' => result_string(i) := 'g';
when 'H' => result_string(i) := 'h';
when 'I' => result_string(i) := 'i';
when 'J' => result_string(i) := 'j';
when 'K' => result_string(i) := 'k';
when 'L' => result_string(i) := 'l';
when 'M' => result_string(i) := 'm';
when 'N' => result_string(i) := 'n';
when 'O' => result_string(i) := 'o';
when 'P' => result_string(i) := 'p';
when 'Q' => result_string(i) := 'q';
when 'R' => result_string(i) := 'r';
when 'S' => result_string(i) := 's';
when 'T' => result_string(i) := 't';
when 'U' => result_string(i) := 'u';
when 'V' => result_string(i) := 'v';
when 'W' => result_string(i) := 'w';
when 'X' => result_string(i) := 'x';
when 'Y' => result_string(i) := 'y';
when 'Z' => result_string(i) := 'z';
when others => result_string(i) := given_string(i);
end case;
end loop;
return (result_string(1 to string_length));
end alpha_tolower;
function cycloneiv_tx_pcs_mph_fifo_xn_mapping (ph_fifo_xn_select : integer; ph_fifo_xn_mapping0 : string; ph_fifo_xn_mapping1 : string; ph_fifo_xn_mapping2 : string) return string is
begin
CASE ph_fifo_xn_select IS
WHEN 0 => RETURN ph_fifo_xn_mapping0;
WHEN 1 => RETURN ph_fifo_xn_mapping1;
WHEN 2 => RETURN ph_fifo_xn_mapping2;
WHEN OTHERS => RETURN "none";
END CASE;
end cycloneiv_tx_pcs_mph_fifo_xn_mapping;
function cycloneiv_tx_pcs_mphfifo_index ( ph_fifo_xn_select : integer) return integer is
variable fifo_index : integer;
begin
if ((ph_fifo_xn_select = 0) OR (ph_fifo_xn_select = 1) or (ph_fifo_xn_select = 2)) then
fifo_index := ph_fifo_xn_select;
else
fifo_index := 0;
end if;
return(fifo_index);
end cycloneiv_tx_pcs_mphfifo_index;
function cycloneiv_tx_pcs_miqp_phfifo_index ( ph_fifo_xn_select : integer) return integer is
variable fifo_index : integer;
begin
if ((ph_fifo_xn_select = 0) OR (ph_fifo_xn_select = 1)) then
fifo_index := ph_fifo_xn_select;
else
fifo_index := 0;
end if;
return(fifo_index);
end cycloneiv_tx_pcs_miqp_phfifo_index;
end CYCLONEIV_HSSI_COMPONENTS;
|
--================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
--========================================================================================================================
-- This VVC was generated with Bitvis VVC Generator
--========================================================================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.textio.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
--========================================================================================================================
--========================================================================================================================
package wishbone_bfm_pkg is
--========================================================================================================================
-- Types and constants for WISHBONE BFM
--========================================================================================================================
constant C_SCOPE : string := "WISHBONE BFM";
type t_wishbone_if is record
-- Common for slave and master interfaces
dat_o : std_logic_vector; -- to dut
dat_i : std_logic_vector; -- from dut
-- Master interface
adr_o : std_logic_vector; -- to dut, address
cyc_o : std_logic; -- to dut, valid bus cycle
stb_o : std_logic; -- to dut, chip select
we_o : std_logic; -- to dut, write enable
ack_i : std_logic; -- from dut
end record;
-- Configuration record to be assigned in the test harness.
type t_wishbone_bfm_config is record
max_wait_cycles : integer;
max_wait_cycles_severity : t_alert_level;
clock_period : time; -- Needed in the VVC
clock_period_margin : time; -- Input clock period margin to specified clock_period
clock_margin_severity : t_alert_level; -- The above margin will have this severity
setup_time : time; -- Setup time for generated signals, set to clock_period/4
hold_time : time; -- Hold time for generated signals, set to clock_period/4
match_strictness : t_match_strictness; -- Matching strictness for std_logic values in check procedures.
id_for_bfm : t_msg_id;
id_for_bfm_wait : t_msg_id;
id_for_bfm_poll : t_msg_id;
end record;
-- Define the default value for the BFM config
constant C_WISHBONE_BFM_CONFIG_DEFAULT : t_wishbone_bfm_config := (
max_wait_cycles => 10,
max_wait_cycles_severity => failure,
clock_period => -1 ns,
clock_period_margin => 0 ns,
clock_margin_severity => TB_ERROR,
setup_time => -1 ns,
hold_time => -1 ns,
match_strictness => MATCH_EXACT,
id_for_bfm => ID_BFM,
id_for_bfm_wait => ID_BFM_WAIT,
id_for_bfm_poll => ID_BFM_POLL
);
--========================================================================================================================
-- BFM procedures
--========================================================================================================================
function init_wishbone_if_signals(
addr_width : natural;
data_width : natural
) return t_wishbone_if;
procedure wishbone_write (
constant addr_value : in unsigned;
constant data_value : in std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal wishbone_if : inout t_wishbone_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_wishbone_bfm_config := C_WISHBONE_BFM_CONFIG_DEFAULT
);
procedure wishbone_read (
constant addr_value : in unsigned;
variable data_value : out std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal wishbone_if : inout t_wishbone_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_wishbone_bfm_config := C_WISHBONE_BFM_CONFIG_DEFAULT;
constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure
);
procedure wishbone_check (
constant addr_value : in unsigned;
constant data_exp : in std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal wishbone_if : inout t_wishbone_if;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_wishbone_bfm_config := C_WISHBONE_BFM_CONFIG_DEFAULT
);
end package wishbone_bfm_pkg;
--========================================================================================================================
--========================================================================================================================
package body wishbone_bfm_pkg is
function init_wishbone_if_signals(
addr_width : natural;
data_width : natural
) return t_wishbone_if is
variable result : t_wishbone_if(dat_o(data_width - 1 downto 0),
dat_i(data_width-1 downto 0),
adr_o(addr_width - 1 downto 0)
);
begin
-- BFM to DUT signals
result.dat_o := (result.dat_o'range => '0');
result.adr_o := (result.adr_o'range => '0');
result.cyc_o := '0';
result.stb_o := '0';
result.we_o := '0';
-- DUT to BFM signals
result.dat_i := (result.dat_i'range => 'Z');
result.ack_i := 'Z';
return result;
end function;
procedure wishbone_write (
constant addr_value : in unsigned;
constant data_value : in std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal wishbone_if : inout t_wishbone_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_wishbone_bfm_config := C_WISHBONE_BFM_CONFIG_DEFAULT
) is
constant proc_name : string := "wishbone_write";
constant proc_call : string := "wishbone_write(A:" & to_string(addr_value, HEX, AS_IS, INCL_RADIX) &
", " & to_string(data_value, HEX, AS_IS, INCL_RADIX) & ")";
-- normalize_and_check to the DUT addr/data widths
variable v_normalized_addr : std_logic_vector(wishbone_if.adr_o'length-1 downto 0) :=
normalize_and_check(std_logic_vector(addr_value), wishbone_if.adr_o, ALLOW_NARROWER, "address", "wishbone_if.adr_o", msg);
variable v_normalized_data : std_logic_vector(wishbone_if.dat_o'length-1 downto 0) :=
normalize_and_check(data_value, wishbone_if.dat_o, ALLOW_NARROWER, "data", "wishbone_if.dat_o", msg);
variable timeout : boolean := false;
variable v_last_falling_edge : time := -1 ns; -- time stamp for clk period checking
begin
-- setup_time and hold_time checking
check_value(config.setup_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that setup_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, proc_name);
check_value(config.hold_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that hold_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, proc_name);
check_value(config.setup_time > 0 ns, TB_FAILURE, "Sanity check: Check that setup_time is more than 0 ns.", scope, ID_NEVER, msg_id_panel, proc_name);
check_value(config.hold_time > 0 ns, TB_FAILURE, "Sanity check: Check that hold_time is more than 0 ns.", scope, ID_NEVER, msg_id_panel, proc_name);
-- check if enough room for setup_time in low period
if (clk = '1') and (config.setup_time > (config.clock_period/2 - clk'last_event))then
await_value(clk, '0', 0 ns, config.clock_period/2, TB_FAILURE, proc_name & ": timeout waiting for clk low period for setup_time.");
end if;
-- Wait setup_time specified in config record --wait_until_given_time_after_rising_edge(clk, config.clock_period/4);
wait_until_given_time_after_rising_edge(clk, config.setup_time);
wishbone_if.dat_o <= v_normalized_data;
wishbone_if.adr_o <= v_normalized_addr;
wishbone_if.cyc_o <= '1'; -- Valid bus cycle activated
wishbone_if.stb_o <= '1'; -- Chip-select
wishbone_if.we_o <= '1'; -- Write enable
wait until falling_edge(clk); -- wait for DUT update of signal
-- check if clk period since last rising edge is within specifications and take a new time stamp
if v_last_falling_edge > -1 ns then
check_value_in_range(now - v_last_falling_edge, config.clock_period - config.clock_period_margin, config.clock_period + config.clock_period_margin, config.clock_margin_severity, "checking clk period is within requirement.");
end if;
v_last_falling_edge := now; -- time stamp for clk period checking
for cycle in 1 to config.max_wait_cycles loop
if wishbone_if.ack_i = '0' then
wait until falling_edge(clk);
-- check if clk period since last rising edge is within specifications and take a new time stamp
if v_last_falling_edge > -1 ns then
check_value_in_range(now - v_last_falling_edge, config.clock_period - config.clock_period_margin, config.clock_period + config.clock_period_margin, config.clock_margin_severity, "checking clk period is within requirement.");
end if;
v_last_falling_edge := now; -- time stamp for clk period checking
else
exit;
end if;
if cycle = config.max_wait_cycles then
timeout := true;
end if;
end loop;
-- did we timeout?
if timeout then
alert(config.max_wait_cycles_severity, proc_call & "=> Failed. Timeout waiting for ack_i" & add_msg_delimiter(msg), scope);
else
wait until rising_edge(clk);
-- Wait hold time specified in config record --wait_until_given_time_after_rising_edge(clk, config.clock_period/4);
wait_until_given_time_after_rising_edge(clk, config.hold_time);
end if;
wishbone_if <= init_wishbone_if_signals(wishbone_if.adr_o'length, wishbone_if.dat_o'length);
log(config.id_for_bfm, proc_call & " completed. " & add_msg_delimiter(msg), scope, msg_id_panel);
end procedure wishbone_write;
procedure wishbone_read (
constant addr_value : in unsigned;
variable data_value : out std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal wishbone_if : inout t_wishbone_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_wishbone_bfm_config := C_WISHBONE_BFM_CONFIG_DEFAULT;
constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure
) is
-- local_proc_name/call used if called from sequencer or VVC
constant local_proc_name : string := "wishbone_read";
constant local_proc_call : string := local_proc_name & "(A:" & to_string(addr_value, HEX, AS_IS, INCL_RADIX) & ")";
-- normalize_and_check to the DUT addr/data widths
variable v_normalized_addr : std_logic_vector(wishbone_if.adr_o'length-1 downto 0) :=
normalize_and_check(std_logic_vector(addr_value), wishbone_if.adr_o, ALLOW_NARROWER, "addr", "wishbone_if.adr_o", msg);
variable v_normalized_data : std_logic_vector(wishbone_if.dat_i'length-1 downto 0) :=
normalize_and_check(data_value, wishbone_if.dat_i, ALLOW_NARROWER, "data", "wishbone_if.dat_i", msg);
-- Helper variables
variable timeout : boolean := false;
variable v_last_falling_edge : time := -1 ns; -- time stamp for clk period checking
variable v_last_rising_edge : time := -1 ns; -- time stamp for clk period checking
variable v_proc_call : line;
begin
-- setup_time and hold_time checking
check_value(config.setup_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that setup_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, local_proc_name);
check_value(config.hold_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that hold_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, local_proc_name);
check_value(config.setup_time > 0 ns, TB_FAILURE, "Sanity check: Check that setup_time is more than 0 ns.", scope, ID_NEVER, msg_id_panel, local_proc_name);
check_value(config.hold_time > 0 ns, TB_FAILURE, "Sanity check: Check that hold_time is more than 0 ns.", scope, ID_NEVER, msg_id_panel, local_proc_name);
if ext_proc_call = "" then
-- Called directly from sequencer/VVC, log 'wishbone_read...'
write(v_proc_call, local_proc_call);
else
-- Called from another BFM procedure, log 'ext_proc_call while executing wishbone_read...'
write(v_proc_call, ext_proc_call & " while executing " & local_proc_name);
end if;
-- check if enough room for setup_time in low period
if (clk = '1') and (config.setup_time > (config.clock_period/2 - clk'last_event))then
await_value(clk, '0', 0 ns, config.clock_period/2, TB_FAILURE, local_proc_name & ": timeout waiting for clk low period for setup_time.");
end if;
-- Wait setup_time specified in config record -- wait_until_given_time_after_rising_edge(clk, config.clock_period/4);
wait_until_given_time_after_rising_edge(clk, config.setup_time);
wishbone_if.adr_o <= v_normalized_addr;
wishbone_if.cyc_o <= '1'; -- Valid bus cycle activated
wishbone_if.stb_o <= '1'; -- Chip-select
wishbone_if.we_o <= '0'; -- Read
wait until falling_edge(clk); -- wait for DUT update of signal
-- check if clk period since last rising edge is within specifications and take a new time stamp
if v_last_falling_edge > -1 ns then
check_value_in_range(now - v_last_falling_edge, config.clock_period - config.clock_period_margin, config.clock_period + config.clock_period_margin, config.clock_margin_severity, "checking clk period is within requirement.");
end if;
v_last_falling_edge := now; -- time stamp for clk period checking
for cycle in 1 to config.max_wait_cycles loop
if wishbone_if.ack_i = '0' then
wait until falling_edge(clk);
-- check if clk period since last rising edge is within specifications and take a new time stamp
if v_last_falling_edge > -1 ns then
check_value_in_range(now - v_last_falling_edge, config.clock_period - config.clock_period_margin, config.clock_period + config.clock_period_margin, config.clock_margin_severity, "checking clk period is within requirement.");
end if;
v_last_falling_edge := now; -- time stamp for clk period checking
else
exit;
end if;
if cycle = config.max_wait_cycles then
timeout := true;
end if;
end loop;
-- did we timeout?
if timeout then
alert(config.max_wait_cycles_severity, v_proc_call.all & "=> Failed. Timeout waiting for ack_i " & add_msg_delimiter(msg), scope);
else
wait until rising_edge(clk);
-- check if clk period since last rising edge is within specifications and take a new time stamp
if v_last_rising_edge > -1 ns then
check_value_in_range(now - v_last_rising_edge, config.clock_period - config.clock_period_margin, config.clock_period + config.clock_period_margin, config.clock_margin_severity, "checking clk period is within requirement.");
end if;
v_last_rising_edge := now; -- time stamp for clk period checking
-- Wait hold time specified in config record --wait_until_given_time_after_rising_edge(clk, config.clock_period/4);
wait_until_given_time_after_rising_edge(clk, config.hold_time);
end if;
v_normalized_data := wishbone_if.dat_i;
data_value := v_normalized_data(data_value'length-1 downto 0);
wishbone_if <= init_wishbone_if_signals(wishbone_if.adr_o'length, wishbone_if.dat_i'length);
if ext_proc_call = "" then
log(config.id_for_bfm, v_proc_call.all & "=> " & to_string(data_value, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel);
else
-- Log will be handled by calling procedure (e.g. wishbone_check)
end if;
end procedure wishbone_read;
procedure wishbone_check (
constant addr_value : in unsigned;
constant data_exp : in std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal wishbone_if : inout t_wishbone_if;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_wishbone_bfm_config := C_WISHBONE_BFM_CONFIG_DEFAULT
) is
constant proc_name : string := "wishbone_check";
constant proc_call : string := "wishbone_check(A:" & to_string(addr_value, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")";
-- normalize_and_check to the DUT addr/data widths
variable v_normalized_data : std_logic_vector(wishbone_if.dat_i'length-1 downto 0) :=
normalize_and_check(data_exp, wishbone_if.dat_i, ALLOW_NARROWER, "data", "wishbone_if.dat_i", msg);
-- Helper variables
variable v_data_value : std_logic_vector(wishbone_if.dat_i'length-1 downto 0) := (others => '0');
variable v_check_ok : boolean := true;
variable v_alert_radix : t_radix;
begin
wishbone_read(addr_value, v_data_value, msg, clk, wishbone_if, scope, msg_id_panel, config, proc_call);
for i in v_normalized_data'range loop
-- Allow don't care in expected value and use match strictness from config for comparison
if v_normalized_data(i) = '-' or check_value(v_data_value(i), v_normalized_data(i), config.match_strictness, NO_ALERT, msg) then
v_check_ok := true;
else
v_check_ok := false;
exit;
end if;
end loop;
if not v_check_ok then
-- Use binary representation when mismatch is due to weak signals
v_alert_radix := BIN when config.match_strictness = MATCH_EXACT and check_value(v_data_value, v_normalized_data, MATCH_STD, NO_ALERT, msg) else HEX;
alert(alert_level, proc_call & "=> Failed. Was " & to_string(v_data_value, v_alert_radix, AS_IS, INCL_RADIX) & ". Expected " & to_string(v_normalized_data, v_alert_radix, AS_IS, INCL_RADIX) & "." & LF & add_msg_delimiter(msg), scope);
else
log(config.id_for_bfm, proc_call & "=> OK, received data = " & to_string(v_normalized_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel);
end if;
end procedure wishbone_check;
end package body wishbone_bfm_pkg;
|
entity file2 is
end entity;
architecture test of file2 is
subtype bit_vec5 is bit_vector(1 to 5);
type ft is file of bit_vec5;
begin
process is
file f : ft;
variable v : bit_vec5;
begin
file_open(f, "test.bin", WRITE_MODE);
v := "10100";
write(f, v);
file_close(f);
v := "00000";
file_open(f, "test.bin", READ_MODE);
read(f, v);
file_close(f);
assert v = "10100";
wait;
end process;
end architecture;
|
entity file2 is
end entity;
architecture test of file2 is
subtype bit_vec5 is bit_vector(1 to 5);
type ft is file of bit_vec5;
begin
process is
file f : ft;
variable v : bit_vec5;
begin
file_open(f, "test.bin", WRITE_MODE);
v := "10100";
write(f, v);
file_close(f);
v := "00000";
file_open(f, "test.bin", READ_MODE);
read(f, v);
file_close(f);
assert v = "10100";
wait;
end process;
end architecture;
|
entity file2 is
end entity;
architecture test of file2 is
subtype bit_vec5 is bit_vector(1 to 5);
type ft is file of bit_vec5;
begin
process is
file f : ft;
variable v : bit_vec5;
begin
file_open(f, "test.bin", WRITE_MODE);
v := "10100";
write(f, v);
file_close(f);
v := "00000";
file_open(f, "test.bin", READ_MODE);
read(f, v);
file_close(f);
assert v = "10100";
wait;
end process;
end architecture;
|
entity file2 is
end entity;
architecture test of file2 is
subtype bit_vec5 is bit_vector(1 to 5);
type ft is file of bit_vec5;
begin
process is
file f : ft;
variable v : bit_vec5;
begin
file_open(f, "test.bin", WRITE_MODE);
v := "10100";
write(f, v);
file_close(f);
v := "00000";
file_open(f, "test.bin", READ_MODE);
read(f, v);
file_close(f);
assert v = "10100";
wait;
end process;
end architecture;
|
entity file2 is
end entity;
architecture test of file2 is
subtype bit_vec5 is bit_vector(1 to 5);
type ft is file of bit_vec5;
begin
process is
file f : ft;
variable v : bit_vec5;
begin
file_open(f, "test.bin", WRITE_MODE);
v := "10100";
write(f, v);
file_close(f);
v := "00000";
file_open(f, "test.bin", READ_MODE);
read(f, v);
file_close(f);
assert v = "10100";
wait;
end process;
end architecture;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
entity preamp_config is
port(
preamp_done: out std_logic;
send_data: in std_logic;
busy: out std_logic;
gain_in: in std_logic_vector(3 downto 0);
spi_mosi: out std_logic;
spi_sck: out std_logic;
clk: in std_logic
);
end preamp_config;
architecture Behavioral of preamp_config is
type spi_state is (reset,sending,waiting);
signal curr_state: spi_state;
signal divide_count: integer range 0 to 10;
signal divided_clk: std_logic;
signal spi_count: integer range 0 to 9;
signal spi_clk_sig: std_logic := '0';
begin
clk_div: process(clk)
begin
if(rising_edge(clk)) then
case curr_state is
when reset =>
divided_clk <= '0';
divide_count <= 0;
when sending =>
divided_clk <= '0';
divide_count <= divide_count + 1;
if(divide_count = 10) then
divide_count <= 0;
divided_clk <= '1';
end if;
when waiting =>
end case;
end if;
end process;
process(clk)
begin
if(rising_edge(clk)) then
case curr_state is
when reset =>
curr_state <= waiting;
when sending =>
busy <= '1';
if(divided_clk = '1') then
if(spi_count <= 9) then
spi_clk_sig <= not spi_clk_sig;
end if;
if(spi_clk_sig = '1') then
if(spi_count <= 9) then
spi_count <= spi_count + 1;
preamp_done <= '0';
spi_clk_sig <= '0';
else
preamp_done <= '1';
end if;
case spi_count is
when 0 =>
--amp_cs <= '1';
when 1 =>
--amp_cs <= '0';
spi_mosi <= '1';
when 2 =>
spi_mosi <= '0';
when 3 =>
spi_mosi <= '0';
when 4 =>
spi_mosi <= '0';
when 5 =>
spi_mosi <= gain_in(3);
when 6 =>
spi_mosi <= gain_in(2);
when 7 =>
spi_mosi <= gain_in(1);
when 8 =>
spi_mosi <= gain_in(0);
when others =>
spi_mosi <= '0';
--amp_cs <= '1';
preamp_done <= '1';
curr_state <= waiting;
spi_clk_sig <= '0';
end case;
end if;
end if;
when waiting =>
spi_clk_sig <= '0';
spi_count <= 0;
spi_mosi <= '0';
busy <= '0';
if(send_data = '1') then
curr_state <= sending;
end if;
end case;
end if;
end process;
spi_sck <= spi_clk_sig;
end Behavioral;
|
entity e is
end entity;
architecture a of e is
signal x : real := 1.234; -- OK
type my_real is range 0.0 to 1.0; -- OK
begin
process is
variable v : my_real;
begin
x <= x + 6.1215; -- OK
x <= v; -- Error
end process;
process is
variable i : integer;
begin
i := integer(x); -- OK
x <= real(i); -- OK
x <= real(5); -- OK
x <= real(bit'('1')); -- Error
end process;
process is
variable x : real;
begin
x := real'left; -- OK
x := real'right; -- OK
end process;
process is
constant i : integer := 5;
constant r : real := 252.4;
type t is range i to r; -- Error
begin
end process;
end architecture;
|
architecture RTL of FIFO is
begin
-- These are passing
SIG_LABEL : postponed a <= b;
SIG_LABEL : postponed a <= when c = '0' else '1';
SIG_LABEL : postponed with z select
a <= b when z = "000",
c when z = "001";
-- Failing variations
SIG_LABEL : postponed a <= b;
SIG_LABEL : postponed a <= when c = '0' else '1';
SIG_LABEL : postponed with z select
a <= b when z = "000",
c when z = "001";
-- Remove the labels
postponed a <= b;
postponed a <= when c = '0' else '1';
postponed with z select
a <= b when z = "000",
c when z = "001";
-- Remove the postponed keyword
a <= b;
a <= when c = '0' else '1';
with z select
a <= b when z = "000",
c when z = "001";
BLOCK_LABEL : block
begin
a <= b;
z <= x;
end block BLOCK_LABEL;
end architecture RTL;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.fixed_pkg.all;
package test_pkg is
type t_sf_array is array (natural range <>) of sfixed;
impure function do_something(samples : integer; ret_type : sfixed) return t_sf_array;
end package;
package body test_pkg is
impure function do_something(samples : integer; ret_type : sfixed) return t_sf_array is
variable init_array : t_sf_array(0 to samples - 1)(ret_type'left downto ret_type'right) := (others => (others => '0'));
begin
for i in 0 to (samples - 1) loop
init_array(i) := to_sfixed(1.0/real(1+i), ret_type);
end loop;
return init_array;
end function;
end package body;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2661.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02661ent IS
END c13s03b01x00p02n01i02661ent;
ARCHITECTURE c13s03b01x00p02n01i02661arch OF c13s03b01x00p02n01i02661ent IS
BEGIN
TESTING: PROCESS
variable |k : integer;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02661 - Identifier can only begin with a letter."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02661arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2661.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02661ent IS
END c13s03b01x00p02n01i02661ent;
ARCHITECTURE c13s03b01x00p02n01i02661arch OF c13s03b01x00p02n01i02661ent IS
BEGIN
TESTING: PROCESS
variable |k : integer;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02661 - Identifier can only begin with a letter."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02661arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2661.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02661ent IS
END c13s03b01x00p02n01i02661ent;
ARCHITECTURE c13s03b01x00p02n01i02661arch OF c13s03b01x00p02n01i02661ent IS
BEGIN
TESTING: PROCESS
variable |k : integer;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02661 - Identifier can only begin with a letter."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02661arch;
|
-------------------------------------------------------------------------------
-- Title : Dynamic adder/subtractor
-- Project :
-------------------------------------------------------------------------------
-- File : addsub.vhd
-- Author : Aylons <[email protected]>
-- Company :
-- Created : 2014-05-03
-- Last update: 2014-07-21
-- Platform :
-- Standard : VHDL'93/02/08
-------------------------------------------------------------------------------
-- Description: Depening on sub_i, result_o may be a_i + b_i or a_i - b_i.
-- The three widths must all be the same.
-------------------------------------------------------------------------------
-- This file is part of Concordic.
--
-- Concordic is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- Concordic is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with Foobar. If not, see <http://www.gnu.org/licenses/>.
-- Copyright (c) 2014
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2014-05-03 1.0 aylons Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity addsub is
port (
a_i : in signed;
b_i : in signed;
sub_i : in boolean;
clk_i : in std_logic;
ce_i : in std_logic;
rst_i : in std_logic;
result_o : out signed;
positive_o : out boolean;
negative_o : out boolean
);
end entity addsub;
-------------------------------------------------------------------------------
architecture str of addsub is
constant width : natural := a_i'length;
signal a_1, b_1 : signed(width-1 downto 0) := (others => '0');
signal mux_result : signed (width-1 downto 0) := (others => '0');
begin -- architecture str
assert a_i'length = b_i'length
report "a_i and b_i have different widths"
severity error;
assert a_i'length = result_o'length
report "invalid result_o width"
severity error;
process(clk_i) is
variable result : signed(width-1 downto 0) := (others => '0');
begin
if rising_edge(clk_i) then
if rst_i = '1' then
result_o <= (width-1 downto 0 => '0');
positive_o <= true;
negative_o <= false;
else
if ce_i = '1' then
result := mux_result;
positive_o <= result(result'left) = '0';
negative_o <= result(result'left) = '1';
result_o <= result;
end if;
end if;
end if;
end process;
mux_result <= a_i + b_i when (not sub_i) else a_i - b_i;
end architecture str;
-------------------------------------------------------------------------------
|
entity tb_ent is
end tb_ent;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_ent is
signal clk : std_logic;
signal v : std_logic_vector (31 downto 0);
begin
dut: entity work.ent
port map (clk => clk, o => v);
process
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
assert v = x"8000_0000" severity failure;
wait;
end process;
end behav;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity FPMultiply is
port( A_in : in std_logic_vector(31 downto 0);
B_in : in std_logic_vector(31 downto 0);
R : out std_logic_vector(31 downto 0));
end FPMultiply;
architecture Behavioral of FPMultiply is
type array32x64 is array (31 downto 0) of std_logic_vector(63 downto 0);
signal shiftArray : array32x64;-- := (others => (others => '0'));
signal resultRaw : std_logic_vector(63 downto 0) := (others => '0');
signal resultCut, resultSigned : std_logic_vector(31 downto 0) := (others => '0');
signal A, B : std_logic_vector(31 downto 0);
signal sign : std_logic;
begin
signs : process (A_in, B_in) is
begin
sign <= A_in(31) xor B_in(31); --Sign is 0 if the result is positive, 1 if negative
--Flip A if it's negative
if A_in(31) = '1' then
A <= (not A_in) + 1;
else
A <= A_in;
end if;
--Flip B if it's negative
if B_in(31) = '1' then
B <= (not B_in) + 1;
else
B <= B_in;
end if;
end process;
shift_mul : process (A, B) is
begin
if B(0) = '1' then
shiftArray(0) <= "00000000000000000000000000000000" & A;
else
shiftArray(0) <= (others => '0');
end if;
if B(1) = '1' then
shiftArray(1) <= "0000000000000000000000000000000" & A & "0";
else
shiftArray(1) <= (others => '0');
end if;
if B(2) = '1' then
shiftArray(2) <= "000000000000000000000000000000" & A & "00";
else
shiftArray(2) <= (others => '0');
end if;
if B(3) = '1' then
shiftArray(3) <= "00000000000000000000000000000" & A & "000";
else
shiftArray(3) <= (others => '0');
end if;
if B(4) = '1' then
shiftArray(4) <= "0000000000000000000000000000" & A & "0000";
else
shiftArray(4) <= (others => '0');
end if;
if B(5) = '1' then
shiftArray(5) <= "000000000000000000000000000" & A & "00000";
else
shiftArray(5) <= (others => '0');
end if;
if B(6) = '1' then
shiftArray(6) <= "00000000000000000000000000" & A & "000000";
else
shiftArray(6) <= (others => '0');
end if;
if B(7) = '1' then
shiftArray(7) <= "0000000000000000000000000" & A & "0000000";
else
shiftArray(7) <= (others => '0');
end if;
if B(8) = '1' then
shiftArray(8) <= "000000000000000000000000" & A & "00000000";
else
shiftArray(8) <= (others => '0');
end if;
if B(9) = '1' then
shiftArray(9) <= "00000000000000000000000" & A & "000000000";
else
shiftArray(9) <= (others => '0');
end if;
if B(10) = '1' then
shiftArray(10) <= "0000000000000000000000" & A & "0000000000";
else
shiftArray(10) <= (others => '0');
end if;
if B(11) = '1' then
shiftArray(11) <= "000000000000000000000" & A & "00000000000";
else
shiftArray(11) <= (others => '0');
end if;
if B(12) = '1' then
shiftArray(12) <= "00000000000000000000" & A & "000000000000";
else
shiftArray(12) <= (others => '0');
end if;
if B(13) = '1' then
shiftArray(13) <= "0000000000000000000" & A & "0000000000000";
else
shiftArray(13) <= (others => '0');
end if;
if B(14) = '1' then
shiftArray(14) <= "000000000000000000" & A & "00000000000000";
else
shiftArray(14) <= (others => '0');
end if;
if B(15) = '1' then
shiftArray(15) <= "00000000000000000" & A & "000000000000000";
else
shiftArray(15) <= (others => '0');
end if;
if B(16) = '1' then
shiftArray(16) <= "0000000000000000" & A & "0000000000000000";
else
shiftArray(16) <= (others => '0');
end if;
if B(17) = '1' then
shiftArray(17) <= "000000000000000" & A & "00000000000000000";
else
shiftArray(17) <= (others => '0');
end if;
if B(18) = '1' then
shiftArray(18) <= "00000000000000" & A & "000000000000000000";
else
shiftArray(18) <= (others => '0');
end if;
if B(19) = '1' then
shiftArray(19) <= "0000000000000" & A & "0000000000000000000";
else
shiftArray(19) <= (others => '0');
end if;
if B(20) = '1' then
shiftArray(20) <= "000000000000" & A & "00000000000000000000";
else
shiftArray(20) <= (others => '0');
end if;
if B(21) = '1' then
shiftArray(21) <= "00000000000" & A & "000000000000000000000";
else
shiftArray(21) <= (others => '0');
end if;
if B(22) = '1' then
shiftArray(22) <= "0000000000" & A & "0000000000000000000000";
else
shiftArray(22) <= (others => '0');
end if;
if B(23) = '1' then
shiftArray(23) <= "000000000" & A & "00000000000000000000000";
else
shiftArray(23) <= (others => '0');
end if;
if B(24) = '1' then
shiftArray(24) <= "00000000" & A & "000000000000000000000000";
else
shiftArray(24) <= (others => '0');
end if;
if B(25) = '1' then
shiftArray(25) <= "0000000" & A & "0000000000000000000000000";
else
shiftArray(25) <= (others => '0');
end if;
if B(26) = '1' then
shiftArray(26) <= "000000" & A & "00000000000000000000000000";
else
shiftArray(26) <= (others => '0');
end if;
if B(27) = '1' then
shiftArray(27) <= "00000" & A & "000000000000000000000000000";
else
shiftArray(27) <= (others => '0');
end if;
if B(28) = '1' then
shiftArray(28) <= "0000" & A & "0000000000000000000000000000";
else
shiftArray(28) <= (others => '0');
end if;
if B(29) = '1' then
shiftArray(29) <= "000" & A & "00000000000000000000000000000";
else
shiftArray(29) <= (others => '0');
end if;
if B(30) = '1' then
shiftArray(30) <= "00" & A & "000000000000000000000000000000";
else
shiftArray(30) <= (others => '0');
end if;
if B(31) = '1' then
shiftArray(31) <= "0" & A & "0000000000000000000000000000000";
else
shiftArray(31) <= (others => '0');
end if;
end process;
resultRaw <= shiftArray(0) + shiftArray(1) + shiftArray(2) + shiftArray(3) + shiftArray(4) + shiftArray(5) + shiftArray(6) + shiftArray(7) +
shiftArray(8) + shiftArray(9) + shiftArray(10) + shiftArray(11) + shiftArray(12) + shiftArray(13) + shiftArray(14) + shiftArray(15) +
shiftArray(16) + shiftArray(17) + shiftArray(18) + shiftArray(19) + shiftArray(20) + shiftArray(21) + shiftArray(22) + shiftArray(23) +
shiftArray(24) + shiftArray(25) + shiftArray(26) + shiftArray(27) + shiftArray(28) + shiftArray(29) + shiftArray(30) + shiftArray(31);
saturate : process(resultRaw) is
begin
if resultRaw(59 downto 0) > x"07FFFFFFFFFFFFFF" then
resultCut <= x"7FFFFFFF";
else
resultCut <= resultRaw(59 downto 28); --Take the middle out as the result
end if;
end process;
apply_sign : process(sign, resultCut) is
begin
if sign = '1' then
resultSigned <= (not resultCut) + 1;
else
resultSigned <= resultCut;
end if;
end process;
R <= resultSigned;
end Behavioral;
|
-- NEED RESULT: ARCH00430: String literals passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00430
--
-- AUTHOR:
--
-- D. Hyman
--
-- TEST OBJECTIVES:
--
-- 13.6 (1)
-- 13.6 (2)
-- 13.6 (3)
-- 13.6 (5)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00430)
-- ENT00430_Test_Bench(ARCH00430_Test_Bench)
--
-- REVISION HISTORY:
--
-- 3-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00430 of E00000 is
begin
process
begin
test_report ( "ARCH00430" ,
"String literals" ,
-- this tests 13.6 (1), 13.6 (2) and 13.6 (5)
(string'(" ") /= "") and
(string'("!") /= "") and
(string'("""") /= "") and
(string'("#") /= "") and
(string'("$") /= "") and
(string'("%") /= "") and
(string'("&") /= "") and
(string'("'") /= "") and
(string'("(") /= "") and
(string'(")") /= "") and
(string'("*") /= "") and
(string'("+") /= "") and
(string'(",") /= "") and
(string'("-") /= "") and
(string'(".") /= "") and
(string'("/") /= "") and
(string'("0") /= "") and
(string'("1") /= "") and
(string'("2") /= "") and
(string'("3") /= "") and
(string'("4") /= "") and
(string'("5") /= "") and
(string'("6") /= "") and
(string'("7") /= "") and
(string'("8") /= "") and
(string'("9") /= "") and
(string'(":") /= "") and
(string'(";") /= "") and
(string'("<") /= "") and
(string'("=") /= "") and
(string'(">") /= "") and
(string'("?") /= "") and
(string'("@") /= "") and
(string'("A") /= "") and
(string'("B") /= "") and
(string'("C") /= "") and
(string'("D") /= "") and
(string'("E") /= "") and
(string'("F") /= "") and
(string'("G") /= "") and
(string'("H") /= "") and
(string'("I") /= "") and
(string'("J") /= "") and
(string'("K") /= "") and
(string'("L") /= "") and
(string'("M") /= "") and
(string'("N") /= "") and
(string'("O") /= "") and
(string'("P") /= "") and
(string'("Q") /= "") and
(string'("R") /= "") and
(string'("S") /= "") and
(string'("T") /= "") and
(string'("U") /= "") and
(string'("V") /= "") and
(string'("W") /= "") and
(string'("X") /= "") and
(string'("Y") /= "") and
(string'("Z") /= "") and
(string'("[") /= "") and
(string'("\") /= "") and
(string'("]") /= "") and
(string'("^") /= "") and
(string'("_") /= "") and
(string'("`") /= "") and
(string'("a") /= "") and
(string'("b") /= "") and
(string'("c") /= "") and
(string'("d") /= "") and
(string'("e") /= "") and
(string'("f") /= "") and
(string'("g") /= "") and
(string'("h") /= "") and
(string'("i") /= "") and
(string'("j") /= "") and
(string'("k") /= "") and
(string'("l") /= "") and
(string'("m") /= "") and
(string'("n") /= "") and
(string'("o") /= "") and
(string'("p") /= "") and
(string'("q") /= "") and
(string'("r") /= "") and
(string'("s") /= "") and
(string'("t") /= "") and
(string'("u") /= "") and
(string'("v") /= "") and
(string'("w") /= "") and
(string'("x") /= "") and
(string'("y") /= "") and
(string'("z") /= "") and
(string'("{") /= "") and
(string'("|") /= "") and
(string'("}") /= "") and
(string'("~") /= "") and
-- this tests 13.6 (3)
(string'("A") /= "a") and
(string'("B") /= "b") and
(string'("C") /= "c") and
(string'("D") /= "d") and
(string'("E") /= "e") and
(string'("F") /= "f") and
(string'("G") /= "g") and
(string'("H") /= "h") and
(string'("I") /= "i") and
(string'("J") /= "j") and
(string'("K") /= "k") and
(string'("L") /= "l") and
(string'("M") /= "m") and
(string'("N") /= "n") and
(string'("O") /= "o") and
(string'("P") /= "p") and
(string'("Q") /= "q") and
(string'("R") /= "r") and
(string'("S") /= "s") and
(string'("T") /= "t") and
(string'("U") /= "u") and
(string'("V") /= "v") and
(string'("W") /= "w") and
(string'("X") /= "x") and
(string'("Y") /= "y") and
(string'("Z") /= "z")
) ;
wait ;
end process ;
end ARCH00430 ;
entity ENT00430_Test_Bench is
end ENT00430_Test_Bench ;
architecture ARCH00430_Test_Bench of ENT00430_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00430 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00430_Test_Bench ;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Wed Mar 01 09:52:03 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/ZyboIP/examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ip/system_util_vector_logic_1_0/system_util_vector_logic_1_0_stub.vhdl
-- Design : system_util_vector_logic_1_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_util_vector_logic_1_0 is
Port (
Op1 : in STD_LOGIC_VECTOR ( 0 to 0 );
Op2 : in STD_LOGIC_VECTOR ( 0 to 0 );
Res : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end system_util_vector_logic_1_0;
architecture stub of system_util_vector_logic_1_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "Op1[0:0],Op2[0:0],Res[0:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "util_vector_logic,Vivado 2016.4";
begin
end;
|
-- $Id: rb_mon.vhd 427 2011-11-19 21:04:11Z mueller $
--
-- Copyright 2007-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: rb_mon - sim
-- Description: rbus monitor (for tb's)
--
-- Dependencies: -
-- Test bench: -
-- Tool versions: xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-19 427 3.0.1 now numeric_std clean
-- 2010-12-22 346 3.0 renamed rritb_rbmon -> rb_mon
-- 2010-06-05 301 2.1.1 renamed _rpmon -> _rbmon
-- 2010-06-03 299 2.1 new init encoding (WE=0/1 int/ext)
-- 2010-05-02 287 2.0.1 rename RP_STAT->RB_STAT,AP_LAM->RB_LAM
-- drop RP_IINT signal from interfaces
-- 2008-08-24 162 2.0 with new rb_mreq/rb_sres interface
-- 2008-03-24 129 1.2.1 CLK_CYCLE now 31 bits
-- 2007-12-23 105 1.2 added AP_LAM display
-- 2007-11-24 98 1.1 added RP_IINT support
-- 2007-08-27 76 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
use work.slvtypes.all;
use work.simlib.all;
use work.rblib.all;
entity rb_mon is -- rbus monitor (for tb's)
generic (
DBASE : positive := 2); -- base for writing data values
port (
CLK : in slbit; -- clock
CLK_CYCLE : in slv31 := (others=>'0'); -- clock cycle number
ENA : in slbit := '1'; -- enable monitor output
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : in rb_sres_type; -- rbus: response
RB_LAM : in slv16 := (others=>'0'); -- rbus: look at me
RB_STAT : in slv3 -- rbus: status flags
);
end rb_mon;
architecture sim of rb_mon is
begin
proc_moni: process
variable oline : line;
variable nhold : integer := 0;
variable data : slv16 := (others=>'0');
variable tag : string(1 to 8) := (others=>' ');
variable err : slbit := '0';
procedure write_data(L: inout line;
tag: in string;
data: in slv16;
nhold: in integer := 0;
cond: in boolean := false;
ctxt: in string := " ") is
begin
writetimestamp(L, CLK_CYCLE, tag);
write(L, RB_MREQ.addr, right, 10);
write(L, string'(" "));
writegen(L, data, right, 0, DBASE);
write(L, RB_STAT, right, 4);
if nhold > 0 then
write(L, string'(" nhold="));
write(L, nhold);
end if;
if cond then
write(L, ctxt);
end if;
writeline(output, L);
end procedure write_data;
begin
loop
if ENA = '0' then -- if disabled
wait until ENA='1'; -- stall process till enabled
end if;
wait until rising_edge(CLK); -- check at end of clock cycle
if RB_MREQ.aval='1' and (RB_MREQ.re='1' or RB_MREQ.we='1') then
if RB_SRES.err = '1' then
err := '1';
end if;
if RB_SRES.busy = '1' then
nhold := nhold + 1;
else
data := (others=>'0');
tag := ": ???? ";
if RB_MREQ.re = '1' then
data := RB_SRES.dout;
tag := ": rbre ";
end if;
if RB_MREQ.we = '1' then
data := RB_MREQ.din;
tag := ": rbwe ";
end if;
write_data(oline, tag, data, nhold, err='1', " ERR='1'");
nhold := 0;
end if;
else
if nhold > 0 then
write_data(oline, tag, data, nhold, true, " TIMEOUT");
end if;
nhold := 0;
err := '0';
end if;
if RB_MREQ.init = '1' then -- init
if RB_MREQ.we = '1' then
write_data(oline, ": rbini ", RB_MREQ.din); -- external
else
write_data(oline, ": rbint ", RB_MREQ.din); -- internal
end if;
end if;
if unsigned(RB_LAM) /= 0 then
write_data(oline, ": rblam ", RB_LAM, 0, true, " RB_LAM active");
end if;
end loop;
end process proc_moni;
end sim;
|
entity proc1 is
end;
use work.pkg.all;
architecture behav of proc1 is
procedure proc (v : inout rec) is
begin
v.a := 5;
assert v.a = 5 severity failure;
v.s := "Good";
assert v.a = 5 severity failure;
assert v.s = "Good" severity failure;
assert false report "ok" severity note;
end proc;
begin
process
variable v : rec_4;
begin
proc (v);
wait;
end process;
end behav;
|
entity proc1 is
end;
use work.pkg.all;
architecture behav of proc1 is
procedure proc (v : inout rec) is
begin
v.a := 5;
assert v.a = 5 severity failure;
v.s := "Good";
assert v.a = 5 severity failure;
assert v.s = "Good" severity failure;
assert false report "ok" severity note;
end proc;
begin
process
variable v : rec_4;
begin
proc (v);
wait;
end process;
end behav;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.