content
stringlengths 1
1.04M
⌀ |
---|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity Bin2BCDDecoder is
port( inBin : in std_logic_vector (6 downto 0);
outBCD: out std_logic_vector(3 downto 0);
outBCD2:out std_logic_vector(3 downto 0));
end Bin2BCDDecoder;
architecture Behavioral of Bin2BCDDecoder is
signal n,l,m : natural;
begin
n <= to_integer(unsigned(inBin));
outBCD2 <= "0000" when n<10 else
"0001" when n<20 else
"0010" when n<30 else
"0011" when n<40 else
"0100" when n<50 else
"0101" when n<60 else
"0110" when n<70 else
"0111" when n<80 else
"1000" when n<90 else
"1001";
l <= 0 when n<10 else
10 when n<20 else
20 when n<30 else
30 when n<40 else
40 when n<50 else
50 when n<60 else
60 when n<70 else
70 when n<80 else
80 when n<90 else
90;
m <= n-l;
outBCD <= "0000" when m=0 else
"0001" when m=1 else
"0010" when m=2 else
"0011" when m=3 else
"0100" when m=4 else
"0101" when m=5 else
"0110" when m=6 else
"0111" when m=7 else
"1000" when m=8 else
"1001";
end Behavioral; |
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
entity clkgate is
generic (tech : integer := 0; ncpu : integer := 1; dsuen : integer := 1);
port (
rst : in std_ulogic;
clkin : in std_ulogic;
pwd : in std_logic_vector(ncpu-1 downto 0);
clkahb : out std_ulogic;
clkcpu : out std_logic_vector(ncpu-1 downto 0)
);
end;
architecture rtl of clkgate is
signal npwd, xpwd, ypwd : std_logic_vector(ncpu-1 downto 0);
signal vrst, wrst : std_logic_vector(ncpu-1 downto 0);
signal clken: std_logic_vector(ncpu-1 downto 0);
signal xrst, vcc : std_ulogic;
begin
vcc <= '1';
cand : for i in 0 to ncpu-1 generate
clken(i) <= not npwd(i);
clkand0 : clkand generic map (tech) port map (clkin, clken(i), clkcpu(i));
end generate;
cand0 : clkand generic map (tech) port map (clkin, vcc, clkahb);
vrst <= (others => rst);
r1 : if dsuen = 1 generate
nreg : process(clkin)
begin
if falling_edge(clkin) then
npwd <= pwd and vrst;
end if;
end process;
end generate;
r2 : if dsuen = 0 generate
reg : process(clkin)
begin
if rising_edge(clkin) then
xrst <= rst;
xpwd <= pwd and wrst;
end if;
end process;
wrst <= (others => xrst);
nreg : process(clkin)
begin
if falling_edge(clkin) then
npwd <= xpwd;
end if;
end process;
end generate;
end;
|
library ieee;
use ieee.std_logic_1164.all;
entity D_4FF is port (
CLK, RST: in std_logic;
D: in std_logic_vector(3 downto 0);
Q: out std_logic_vector(3 downto 0)
);
end D_4FF;
architecture behv of D_4FF is
begin
process(CLK, D, RST)
begin
if RST = '0' then
Q <= "0001";
elsif (CLK'event and CLK = '0') then
Q <= D;
end if;
end process;
end behv; |
library ieee;
use ieee.std_logic_1164.all;
entity D_4FF is port (
CLK, RST: in std_logic;
D: in std_logic_vector(3 downto 0);
Q: out std_logic_vector(3 downto 0)
);
end D_4FF;
architecture behv of D_4FF is
begin
process(CLK, D, RST)
begin
if RST = '0' then
Q <= "0001";
elsif (CLK'event and CLK = '0') then
Q <= D;
end if;
end process;
end behv; |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1328.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c08s04b01x00p03n03i01328pkg is
type MVL is ('0', '1', 'Z');
type TVECT is array (INTEGER RANGE <>) of MVL;
function BUSFUNC(INPUT: TVECT) return MVL;
subtype TS1 is BUSFUNC MVL;
type TSV is array (INTEGER RANGE <>) of TS1;
subtype WORD is TSV(1 downto 0);
end c08s04b01x00p03n03i01328pkg;
package body c08s04b01x00p03n03i01328pkg is
function BUSFUNC(INPUT: TVECT) return MVL is
variable RESOLVED_VALUE: MVL := 'Z';
begin
for I in INPUT'RANGE loop
if INPUT(I) /= 'Z' then
RESOLVED_VALUE := INPUT(I);
exit;
end if;
end loop;
return RESOLVED_VALUE;
end BUSFUNC;
end c08s04b01x00p03n03i01328pkg;
use WORK.c08s04b01x00p03n03i01328pkg.all;
ENTITY c08s04b01x00p03n03i01328ent IS
END c08s04b01x00p03n03i01328ent;
ARCHITECTURE c08s04b01x00p03n03i01328arch OF c08s04b01x00p03n03i01328ent IS
signal S1 : BIT :='1';
signal X : BUSFUNC MVL BUS;
BEGIN
TESTING: PROCESS
BEGIN
S1 <= transport '0' after 15 ns;
wait on S1;
if (S1 = '1') then
X <= '1';
else
X <= null after 5 ns;
end if;
wait for 6 ns;
assert NOT( X='Z' )
report "***PASSED TEST: c08s04b01x00p03n03i01328"
severity NOTE;
assert ( X='Z' )
report "***FAILED TEST: c08s04b01x00p03n03i01328 - The driver of the signal of the signal is turned off when the waveform element consists of the reserved word 'null' and an optional after clasuse."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s04b01x00p03n03i01328arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1328.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c08s04b01x00p03n03i01328pkg is
type MVL is ('0', '1', 'Z');
type TVECT is array (INTEGER RANGE <>) of MVL;
function BUSFUNC(INPUT: TVECT) return MVL;
subtype TS1 is BUSFUNC MVL;
type TSV is array (INTEGER RANGE <>) of TS1;
subtype WORD is TSV(1 downto 0);
end c08s04b01x00p03n03i01328pkg;
package body c08s04b01x00p03n03i01328pkg is
function BUSFUNC(INPUT: TVECT) return MVL is
variable RESOLVED_VALUE: MVL := 'Z';
begin
for I in INPUT'RANGE loop
if INPUT(I) /= 'Z' then
RESOLVED_VALUE := INPUT(I);
exit;
end if;
end loop;
return RESOLVED_VALUE;
end BUSFUNC;
end c08s04b01x00p03n03i01328pkg;
use WORK.c08s04b01x00p03n03i01328pkg.all;
ENTITY c08s04b01x00p03n03i01328ent IS
END c08s04b01x00p03n03i01328ent;
ARCHITECTURE c08s04b01x00p03n03i01328arch OF c08s04b01x00p03n03i01328ent IS
signal S1 : BIT :='1';
signal X : BUSFUNC MVL BUS;
BEGIN
TESTING: PROCESS
BEGIN
S1 <= transport '0' after 15 ns;
wait on S1;
if (S1 = '1') then
X <= '1';
else
X <= null after 5 ns;
end if;
wait for 6 ns;
assert NOT( X='Z' )
report "***PASSED TEST: c08s04b01x00p03n03i01328"
severity NOTE;
assert ( X='Z' )
report "***FAILED TEST: c08s04b01x00p03n03i01328 - The driver of the signal of the signal is turned off when the waveform element consists of the reserved word 'null' and an optional after clasuse."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s04b01x00p03n03i01328arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1328.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c08s04b01x00p03n03i01328pkg is
type MVL is ('0', '1', 'Z');
type TVECT is array (INTEGER RANGE <>) of MVL;
function BUSFUNC(INPUT: TVECT) return MVL;
subtype TS1 is BUSFUNC MVL;
type TSV is array (INTEGER RANGE <>) of TS1;
subtype WORD is TSV(1 downto 0);
end c08s04b01x00p03n03i01328pkg;
package body c08s04b01x00p03n03i01328pkg is
function BUSFUNC(INPUT: TVECT) return MVL is
variable RESOLVED_VALUE: MVL := 'Z';
begin
for I in INPUT'RANGE loop
if INPUT(I) /= 'Z' then
RESOLVED_VALUE := INPUT(I);
exit;
end if;
end loop;
return RESOLVED_VALUE;
end BUSFUNC;
end c08s04b01x00p03n03i01328pkg;
use WORK.c08s04b01x00p03n03i01328pkg.all;
ENTITY c08s04b01x00p03n03i01328ent IS
END c08s04b01x00p03n03i01328ent;
ARCHITECTURE c08s04b01x00p03n03i01328arch OF c08s04b01x00p03n03i01328ent IS
signal S1 : BIT :='1';
signal X : BUSFUNC MVL BUS;
BEGIN
TESTING: PROCESS
BEGIN
S1 <= transport '0' after 15 ns;
wait on S1;
if (S1 = '1') then
X <= '1';
else
X <= null after 5 ns;
end if;
wait for 6 ns;
assert NOT( X='Z' )
report "***PASSED TEST: c08s04b01x00p03n03i01328"
severity NOTE;
assert ( X='Z' )
report "***FAILED TEST: c08s04b01x00p03n03i01328 - The driver of the signal of the signal is turned off when the waveform element consists of the reserved word 'null' and an optional after clasuse."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s04b01x00p03n03i01328arch;
|
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
package ram_wb8_init is
constant ADDRLEN : integer := 12; -- bits for number of 8 bit words in memory
type store_t is array(0 to (2**ADDRLEN)-1) of std_logic_vector(7 downto 0);
constant RAM_INIT : store_t := (
-- VGA test program vga.s (hexdump of vga.bin)
X"b7",X"04",X"00",X"10",X"37",X"09",X"00",X"30",X"b7",X"19",X"00",X"30",X"93",X"89",X"09",X"80",X"37",X"0a",X"00",X"20",X"23",X"28",X"09",X"04",X"83",X"02",X"1a",X"00",X"63",X"8c",X"02",X"00",X"03",X"03",X"0a",X"00",X"23",X"08",X"69",X"0e",X"83",X"02",X"2a",X"00",X"e3",X"8e",X"02",X"fe",X"23",X"00",X"6a",X"00",X"83",X"22",X"09",X"05",X"93",X"82",X"12",X"00",X"23",X"28",X"59",X"04",X"23",X"1c",X"59",X"06",X"23",X"00",X"59",X"0a",X"93",X"d2",X"02",X"01",X"23",X"a0",X"54",X"00",X"83",X"c2",X"04",X"00",X"03",X"c3",X"09",X"00",X"e3",X"80",X"62",X"fc",X"13",X"03",X"00",X"00",X"93",X"03",X"80",X"02",X"33",X"0e",X"33",X"01",X"23",X"00",X"5e",X"00",X"93",X"82",X"12",X"00",X"13",X"03",X"13",X"00",X"e3",X"18",X"73",X"fe",X"6f",X"f0",X"1f",X"fa",
others => X"00"
);
end package ram_wb8_init;
|
------------------------------------------------------------------------
-- vga_controller_640_60.vhd
------------------------------------------------------------------------
-- Author : Ulrich Zoltán
-- Copyright 2006 Digilent, Inc.
------------------------------------------------------------------------
-- Software version : Xilinx ISE 7.1.04i
-- WebPack
-- Device : 3s200ft256-4
------------------------------------------------------------------------
-- This file contains the logic to generate the synchronization signals,
-- horizontal and vertical pixel counter and video disable signal
-- for the 640x480@60Hz resolution.
------------------------------------------------------------------------
-- Behavioral description
------------------------------------------------------------------------
-- Please read the following article on the web regarding the
-- vga video timings:
-- http://www.epanorama.net/documents/pc/vga_timing.html
-- This module generates the video synch pulses for the monitor to
-- enter 640x480@60Hz resolution state. It also provides horizontal
-- and vertical counters for the currently displayed pixel and a blank
-- signal that is active when the pixel is not inside the visible screen
-- and the color outputs should be reset to 0.
-- timing diagram for the horizontal synch signal (HS)
-- 0 648 744 800 (pixels)
-- -------------------------|______|-----------------
-- timing diagram for the vertical synch signal (VS)
-- 0 482 484 525 (lines)
-- -----------------------------------|______|-------
-- The blank signal is delayed one pixel clock period (40ns) from where
-- the pixel leaves the visible screen, according to the counters, to
-- account for the pixel pipeline delay. This delay happens because
-- it takes time from when the counters indicate current pixel should
-- be displayed to when the color data actually arrives at the monitor
-- pins (memory read delays, synchronization delays).
------------------------------------------------------------------------
-- Port definitions
------------------------------------------------------------------------
-- rst - global reset signal
-- pixel_clk - input pin, from dcm_25MHz
-- - the clock signal generated by a DCM that has
-- - a frequency of 25MHz.
-- HS - output pin, to monitor
-- - horizontal synch pulse
-- VS - output pin, to monitor
-- - vertical synch pulse
-- hcount - output pin, 11 bits, to clients
-- - horizontal count of the currently displayed
-- - pixel (even if not in visible area)
-- vcount - output pin, 11 bits, to clients
-- - vertical count of the currently active video
-- - line (even if not in visible area)
-- blank - output pin, to clients
-- - active when pixel is not in visible area.
------------------------------------------------------------------------
-- Revision History:
-- 09/18/2006(UlrichZ): created
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- simulation library
library UNISIM;
use UNISIM.VComponents.all;
-- the vga_controller_640_60 entity declaration
-- read above for behavioral description and port definitions.
entity vga_controller_640_60 is
port(
rst : in std_logic;
pixel_clk : in std_logic;
HS : out std_logic;
VS : out std_logic;
hcount : out std_logic_vector(10 downto 0);
vcount : out std_logic_vector(10 downto 0);
blank : out std_logic
);
end vga_controller_640_60;
architecture Behavioral of vga_controller_640_60 is
------------------------------------------------------------------------
-- CONSTANTS
------------------------------------------------------------------------
-- maximum value for the horizontal pixel counter
constant HMAX : std_logic_vector(10 downto 0) := "01100100000"; -- 800
-- maximum value for the vertical pixel counter
constant VMAX : std_logic_vector(10 downto 0) := "01000001101"; -- 525
-- total number of visible columns
constant HLINES: std_logic_vector(10 downto 0) := "01010000000"; -- 640
-- value for the horizontal counter where front porch ends
constant HFP : std_logic_vector(10 downto 0) := "01010001000"; -- 648
-- value for the horizontal counter where the synch pulse ends
constant HSP : std_logic_vector(10 downto 0) := "01011101000"; -- 744
-- total number of visible lines
constant VLINES: std_logic_vector(10 downto 0) := "00111100000"; -- 480
-- value for the vertical counter where the front porch ends
constant VFP : std_logic_vector(10 downto 0) := "00111100010"; -- 482
-- value for the vertical counter where the synch pulse ends
constant VSP : std_logic_vector(10 downto 0) := "00111100100"; -- 484
-- polarity of the horizontal and vertical synch pulse
-- only one polarity used, because for this resolution they coincide.
constant SPP : std_logic := '0';
------------------------------------------------------------------------
-- SIGNALS
------------------------------------------------------------------------
-- horizontal and vertical counters
signal hcounter : std_logic_vector(10 downto 0) := (others => '0');
signal vcounter : std_logic_vector(10 downto 0) := (others => '0');
-- active when inside visible screen area.
signal video_enable: std_logic;
begin
-- output horizontal and vertical counters
hcount <= hcounter;
vcount <= vcounter;
-- blank is active when outside screen visible area
-- color output should be blacked (put on 0) when blank in active
-- blank is delayed one pixel clock period from the video_enable
-- signal to account for the pixel pipeline delay.
blank <= not video_enable when rising_edge(pixel_clk);
-- increment horizontal counter at pixel_clk rate
-- until HMAX is reached, then reset and keep counting
h_count: process(pixel_clk)
begin
if(rising_edge(pixel_clk)) then
if(rst = '1') then
hcounter <= (others => '0');
elsif(hcounter = HMAX) then
hcounter <= (others => '0');
else
hcounter <= hcounter + 1;
end if;
end if;
end process h_count;
-- increment vertical counter when one line is finished
-- (horizontal counter reached HMAX)
-- until VMAX is reached, then reset and keep counting
v_count: process(pixel_clk)
begin
if(rising_edge(pixel_clk)) then
if(rst = '1') then
vcounter <= (others => '0');
elsif(hcounter = HMAX) then
if(vcounter = VMAX) then
vcounter <= (others => '0');
else
vcounter <= vcounter + 1;
end if;
end if;
end if;
end process v_count;
-- generate horizontal synch pulse
-- when horizontal counter is between where the
-- front porch ends and the synch pulse ends.
-- The HS is active (with polarity SPP) for a total of 96 pixels.
do_hs: process(pixel_clk)
begin
if(rising_edge(pixel_clk)) then
if(hcounter >= HFP and hcounter < HSP) then
HS <= SPP;
else
HS <= not SPP;
end if;
end if;
end process do_hs;
-- generate vertical synch pulse
-- when vertical counter is between where the
-- front porch ends and the synch pulse ends.
-- The VS is active (with polarity SPP) for a total of 2 video lines
-- = 2*HMAX = 1600 pixels.
do_vs: process(pixel_clk)
begin
if(rising_edge(pixel_clk)) then
if(vcounter >= VFP and vcounter < VSP) then
VS <= SPP;
else
VS <= not SPP;
end if;
end if;
end process do_vs;
-- enable video output when pixel is in visible area
video_enable <= '1' when (hcounter < HLINES and vcounter < VLINES) else '0';
end Behavioral;
|
-------------------------------------------------------------------------------
-- $Id: reset_mir.vhd,v 1.1 2003/05/19 22:14:03 anitas Exp $
-------------------------------------------------------------------------------
--reset_mir.vhd
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: reset_mir.vhd
--
-- Description: SW reset / MIR register.
--
-------------------------------------------------------------------------------
-- Structure:
-- reset_mir.vhd
--
-------------------------------------------------------------------------------
-- Author: F.Ostler
--
-- History:
-- FLO Aug 16, 2001
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
---------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.conv_std_logic_vector;
----------------------------------------------------------------------
entity reset_mir is
Generic (
C_DWIDTH : integer := 32;
C_INCLUDE_SW_RST : integer := 1;
C_INCLUDE_MIR : integer := 0;
C_MIR_MAJOR_VERSION : integer := 0;
C_MIR_MINOR_VERSION : integer := 0;
C_MIR_REVISION : integer := 1;
C_MIR_BLK_ID : integer := 1;
C_MIR_TYPE : integer := 1
);
port (
Reset : in std_logic;
Bus2IP_Clk : in std_logic;
SW_Reset_WrCE : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_Reset : out std_logic;
Reset2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
Reset2Bus_Ack : out std_logic;
Reset2Bus_Error : out std_logic;
Reset2Bus_Retry : out std_logic;
Reset2Bus_ToutSup : out std_logic
);
end reset_mir;
architecture implementation of reset_mir is
--------------------------------------------------------------------------------
-- Value of data LSBs required for a reset-register write to be valid.
--------------------------------------------------------------------------------
constant RESET_MATCH : std_logic_vector(0 to 3) := "1010";
signal sw_reset : std_logic;
signal sw_rst_cond : std_logic;
signal sw_rst_cond_d1 : std_logic;
signal data_is_non_reset_match : std_logic;
begin
----------------------------------------------------------------------------
-- Response signal generation
----------------------------------------------------------------------------
Reset2Bus_Ack <= '1' -- Always acknowledge immediately
when C_INCLUDE_SW_RST = 1 or C_INCLUDE_MIR = 1
else '0';
Reset2Bus_Error <= SW_Reset_WrCE and data_is_non_reset_match
when C_INCLUDE_SW_RST = 1
else '0';
Reset2Bus_Retry <= '0';
Reset2Bus_ToutSup <= '0';
data_is_non_reset_match <=
'0' when Bus2IP_Data(C_DWIDTH-4 to C_DWIDTH-1) = RESET_MATCH
else '1';
--------------------------------------------------------------------------------
-- SW Reset
--------------------------------------------------------------------------------
INCLUDE_SW_RESET_GEN : if C_INCLUDE_SW_RST = 1 generate
----------------------------------------------------------------------------
-- ToDo, sw_reset could be implemented by instantiating a LUT, muxcy,
-- orcy and two FFs.
----------------------------------------------------------------------------
sw_rst_cond <= SW_Reset_WrCE and not data_is_non_reset_match;
--
RST_PULSE_PROC : process (Bus2IP_Clk)
Begin
if (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then
if (Reset = '1') Then
sw_rst_cond_d1 <= '0';
sw_reset <= '0';
else
sw_rst_cond_d1 <= sw_rst_cond;
sw_reset <= sw_rst_cond or sw_rst_cond_d1;
end if;
end if;
End process;
--
Bus2IP_Reset <= Reset or sw_reset;
end generate;
--
--
EXCLUDE_SW_RESET : if C_INCLUDE_SW_RST = 0 generate
Bus2IP_Reset <= Reset;
end generate;
--------------------------------------------------------------------------------
-- MIR
--------------------------------------------------------------------------------
EXCLUDE_MIR_GEN : if C_INCLUDE_MIR = 0 generate
Reset2Bus_Data <= (others => '0');
end generate;
--
--
INCLUDE_MIR_GEN : if C_INCLUDE_MIR = 1 generate
signal mir_value : std_logic_vector(0 to 31);
begin
----------------------------------------------------------------------
-- assemble the MIR fields from the Applicable Generics
----------------------------------------------------------------------
mir_value(0 to 3) <= CONV_STD_LOGIC_VECTOR(C_MIR_MAJOR_VERSION, 4);
mir_value(4 to 10) <= CONV_STD_LOGIC_VECTOR(C_MIR_MINOR_VERSION, 7);
mir_value(11 to 15) <= CONV_STD_LOGIC_VECTOR(C_MIR_REVISION, 5);
mir_value(16 to 23) <= CONV_STD_LOGIC_VECTOR(C_MIR_BLK_ID, 8);
mir_value(24 to 31) <= CONV_STD_LOGIC_VECTOR(C_MIR_TYPE, 8);
Reset2Bus_Data <= mir_value;
end generate;
end implementation;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
entity noc_control_plus is
generic (
data_width : integer := 64;
addr_width : integer := 4;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
-- recv interface to network
recv_data : in std_logic_vector(data_width-1 downto 0);
src_addr : in std_logic_vector(addr_width-1 downto 0);
is_tail_flit : in std_logic;
data_in_buffer : in std_logic_vector(num_vc-1 downto 0);
dequeue : out std_logic_vector(num_vc-1 downto 0);
select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0);
-- send interface to network
send_data : out std_logic_vector(data_width-1 downto 0);
dest_addr : out std_logic_vector(addr_width-1 downto 0);
set_tail_flit : out std_logic;
send_flit : out std_logic;
ready_to_send : in std_logic;
--send interface to CPU
set_tail_cpu : in std_logic;
addr_cpu : in std_logic_vector(addr_width-1 downto 0);
tx_0 : in std_logic_vector(31 downto 0);
tx_1 : in std_logic_vector(31 downto 0);
tx_2 : in std_logic_vector(31 downto 0);
tx_3 : in std_logic_vector(31 downto 0);
tx_4 : in std_logic_vector(31 downto 0);
tx_5 : in std_logic_vector(31 downto 0);
tx_6 : in std_logic_vector(31 downto 0);
tx_7 : in std_logic_vector(31 downto 0);
format_select : in std_logic_vector(7 downto 0);
send_cmd_cpu : in std_logic;
send_ack : out std_logic;
--receive interface to cpu
rx_0 : out std_logic_vector(31 downto 0);
rx_1 : out std_logic_vector(31 downto 0);
rx_2 : out std_logic_vector(31 downto 0);
rx_3 : out std_logic_vector(31 downto 0);
rx_4 : out std_logic_vector(31 downto 0);
rx_5 : out std_logic_vector(31 downto 0);
rx_6 : out std_logic_vector(31 downto 0);
rx_7 : out std_logic_vector(31 downto 0);
parse_select : in std_logic_vector(7 downto 0);
cpu_rx_ctrl : in std_logic;
rx_state_out : out std_logic_vector(7 downto 0)
);
end entity noc_control_plus;
architecture fsmd of noc_control_plus is
component priority_encoder is
generic(
encoded_word_size : integer := 3
);
Port(
input : in std_logic_vector(2**encoded_word_size-1 downto 0);
output : out std_logic_vector(encoded_word_size-1 downto 0)
);
end component priority_encoder;
----------------------------------------------------------------------------
--- SIGNALS and CONSTANTS For Formating Flits ------------------------------
----------------------------------------------------------------------------
--mode 0: fit as many of the lowest bits from each data word into the flit
--Example: for 64 bit flits put the lowest 8 bits from each data word
--This one covers inter and deblock
signal mode_0_flit : std_logic_vector(data_width-1 downto 0);
--mode 1: same as mode 0 but with data0-data3 only
signal mode_1_flit : std_logic_vector(data_width-1 downto 0);
--mode 2: same as modes 1,2 but with data0 and data1 only
signal mode_2_flit : std_logic_vector(data_width-1 downto 0);
--mode 3: data 0 only, zero fill
signal mode_3_flit : std_logic_vector(data_width-1 downto 0);
--mode 4: data 0 only, sign fill
signal mode_4_flit : std_logic_vector(data_width-1 downto 0);
--mode 5: Intra Set Command
signal mode_5_flit : std_logic_vector(data_width-1 downto 0);
--mode 6: Intra Start Prediction Command
signal mode_6_flit : std_logic_vector(data_width-1 downto 0);
--mode 7: Write to Display
signal mode_7_flit : std_logic_vector(data_width-1 downto 0);
--mode 8: IQIT Header
signal mode_8_flit : std_logic_vector(data_width-1 downto 0);
--mode 9: IQIT row
signal mode_9_flit : std_logic_vector(data_width-1 downto 0);
signal the_flit : std_logic_vector(data_width-1 downto 0);
--modes 0... 1
constant eight_flit : integer := data_width/8;
constant quarter_flit : integer := data_width/4;
--mode 3
constant zero_fill : integer := data_width-32;
----------------------------------------------------------------------------
--- TYPES and SIGNALS for Sending Side State Machine -----------------------
----------------------------------------------------------------------------
type send_state_type is (idle, send_requested, tx, ack);
signal send_state, next_send_state : send_state_type;
----------------------------------------------------------------------------
--- SIGNALS and CONSTANTS for Recieve Side ---------------------------------
----------------------------------------------------------------------------
type rx_states is (rx_idle, rx_addr_rst, rx_start_read, rx_sel_vc, rx_rxd, rx_wait_cpu, rx_dequeue, rx_wait_flits);
signal rx_state, next_rx_state : rx_states;
signal selected_vc_one_hot : std_logic_vector(1 downto 0);
signal state : std_logic_vector(3 downto 0);
signal cpu_read_ctrl : std_logic;
signal selected_vc_q, selected_vc_d, selected_vc_encoder : std_logic_vector(vc_sel_width-1 downto 0);
----------------------------------------------------------------------------
--- REGISTER for HW Timer --------------------------------------------------
----------------------------------------------------------------------------
signal timer_d, timer_q : unsigned(31 downto 0);
begin
--==========================================================================
--==========================================================================
--==SENDING SIDE============================================================
--==========================================================================
--==========================================================================
----------------------------------------------------------------------------
--- FLIT GENERATION --------------------------------------------------------
----------------------------------------------------------------------------
--format flit
mode_0_flit <= tx_0(eight_flit-1 downto 0) &
tx_1(eight_flit-1 downto 0) &
tx_2(eight_flit-1 downto 0) &
tx_3(eight_flit-1 downto 0) &
tx_4(eight_flit-1 downto 0) &
tx_5(eight_flit-1 downto 0) &
tx_6(eight_flit-1 downto 0) &
tx_7(eight_flit-1 downto 0);
mode_1_flit <= tx_0(quarter_flit-1 downto 0) &
tx_1(quarter_flit-1 downto 0) &
tx_2(quarter_flit-1 downto 0) &
tx_3(quarter_flit-1 downto 0);
mode_2_flit <= tx_0 & tx_1;
mode_3_flit <= std_logic_vector(to_unsigned(0,zero_fill)) & tx_0;
mode_4_flit <= std_logic_vector(resize(signed( tx_0 ), data_width));
mode_5_flit <= mode_0_flit;
mode_6_flit <= mode_0_flit;
mode_7_flit <= tx_0(eight_flit-1 downto 0) &
tx_1(eight_flit-1 downto 0) &
tx_2(eight_flit-1 downto 0) &
tx_3(eight_flit-1 downto 0) &
tx_4(eight_flit-1 downto 0) &
tx_5(eight_flit-1 downto 0) &
tx_6(quarter_flit-1 downto 0);
mode_8_flit <= tx_0(15 downto 0) & --dc upper byte
tx_1(7 downto 0) & --qp
tx_2(7 downto 0) & --wo dc
tx_3(1 downto 0) & --LCbCr
tx_4(10 downto 0) & --y coord
tx_5(10 downto 0) & --x ccord
tx_6(7 downto 0); --id
mode_9_flit <= mode_0_flit;
--select flit
the_flit <= mode_0_flit when format_select = std_logic_vector(to_unsigned(0, 8)) else
mode_1_flit when format_select = std_logic_vector(to_unsigned(1, 8)) else
mode_2_flit when format_select = std_logic_vector(to_unsigned(2, 8)) else
mode_3_flit when format_select = std_logic_vector(to_unsigned(3, 8)) else
mode_4_flit when format_select = std_logic_vector(to_unsigned(4, 8)) else
mode_5_flit when format_select = std_logic_vector(to_unsigned(5, 8)) else
mode_6_flit when format_select = std_logic_vector(to_unsigned(6, 8)) else
mode_7_flit when format_select = std_logic_vector(to_unsigned(7, 8)) else
mode_8_flit when format_select = std_logic_vector(to_unsigned(8, 8)) else
mode_9_flit when format_select = std_logic_vector(to_unsigned(9, 8)) else
std_logic_vector(to_unsigned(0, data_width));
----------------------------------------------------------------------------
--- SEND FSM ---------------------------------------------------------------
----------------------------------------------------------------------------
process(clk, rst)
begin
if rst = '1' then
send_state <= idle;
elsif rising_edge(clk) then
send_state <= next_send_state;
end if;
end process;
process(send_state, send_cmd_cpu, ready_to_send)
begin
--default
next_send_state <= send_state;
if send_state = idle and send_cmd_cpu = '1' then
next_send_state <= send_requested;
end if;
if send_state = send_requested and ready_to_send = '1' then
next_send_state <= tx;
end if;
if send_state = tx then
next_send_state <= ack;
end if;
if send_state = ack and send_cmd_cpu = '0' then
next_send_state <= idle;
end if;
end process;
----------------------------------------------------------------------------
--- SEND SIDE OUTPUTS ------------------------------------------------------
----------------------------------------------------------------------------
send_data <= the_flit;
dest_addr <= addr_cpu;
set_tail_flit <= set_tail_cpu when send_state = send_requested or send_state = tx else '0';
send_flit <= '1' when send_state = tx else '0';
send_ack <= '1' when send_state = ack else '0';
--==========================================================================
--==========================================================================
--==RECEIVING SIDE==========================================================
--==========================================================================
--==========================================================================
----------------------------------------------------------------------------
-- DATAPATH ----------------------------------------------------------------
----------------------------------------------------------------------------
process(clk, rst) begin
if rst = '1' then
selected_vc_q <=(others => '0');
elsif rising_edge(clk) then
selected_vc_q <= selected_vc_d;
end if;
end process;
u0: priority_encoder generic map(vc_sel_width)
port map(data_in_buffer, selected_vc_encoder);
selected_vc_d <= selected_vc_encoder when rx_state = rx_sel_vc else selected_vc_q;
cpu_read_ctrl <= cpu_rx_ctrl;
--Parser
rx_0 <= std_logic_vector(resize(unsigned(recv_data(63 downto 32)), 32)) when parse_select = std_logic_vector(to_unsigned(0, 8)) else --mode 0: 32 bit unsigned
std_logic_vector(resize(unsigned(recv_data(63 downto 48)), 32)) when parse_select = std_logic_vector(to_unsigned(1, 8)) else --mode 1: 16 bit unsigned
std_logic_vector(resize(unsigned(recv_data(63 downto 56)), 32)) when parse_select = std_logic_vector(to_unsigned(2, 8)) else --mode 2: 8 bit unsigned
std_logic_vector(resize(signed( recv_data(63 downto 32)), 32)) when parse_select = std_logic_vector(to_unsigned(3, 8)) else --mode 3: 32 bit signed
std_logic_vector(resize(signed( recv_data(63 downto 48)), 32)) when parse_select = std_logic_vector(to_unsigned(4, 8)) else --mode 4: 16 bit signed
std_logic_vector(resize(signed( recv_data(63 downto 56)), 32)) when parse_select = std_logic_vector(to_unsigned(5, 8)) else --mode 5: 8 bit signed
(others => '0');
rx_1 <= std_logic_vector(resize(unsigned(recv_data(31 downto 0 )), 32)) when parse_select = std_logic_vector(to_unsigned(0, 8)) else --mode 0: 32 bit unsigned
std_logic_vector(resize(unsigned(recv_data(47 downto 32)), 32)) when parse_select = std_logic_vector(to_unsigned(1, 8)) else --mode 1: 16 bit unsigned
std_logic_vector(resize(unsigned(recv_data(55 downto 48)), 32)) when parse_select = std_logic_vector(to_unsigned(2, 8)) else --mode 2: 8 bit unsigned
std_logic_vector(resize(signed( recv_data(31 downto 0 )), 32)) when parse_select = std_logic_vector(to_unsigned(3, 8)) else --mode 3: 32 bit signed
std_logic_vector(resize(signed( recv_data(47 downto 32)), 32)) when parse_select = std_logic_vector(to_unsigned(4, 8)) else --mode 4: 16 bit signed
std_logic_vector(resize(signed( recv_data(55 downto 48)), 32)) when parse_select = std_logic_vector(to_unsigned(5, 8)) else --mode 5: 8 bit signed
(others => '0');
rx_2 <= std_logic_vector(resize(unsigned(recv_data(31 downto 16)), 32)) when parse_select = std_logic_vector(to_unsigned(1, 8)) else --mode 1: 16 bit unsigned
std_logic_vector(resize(unsigned(recv_data(47 downto 40)), 32)) when parse_select = std_logic_vector(to_unsigned(2, 8)) else --mode 2: 8 bit unsigned
std_logic_vector(resize(signed( recv_data(31 downto 16)), 32)) when parse_select = std_logic_vector(to_unsigned(4, 8)) else --mode 4: 16 bit signed
std_logic_vector(resize(signed( recv_data(47 downto 40)), 32)) when parse_select = std_logic_vector(to_unsigned(5, 8)) else --mode 5: 8 bit signed
std_logic_vector(timer_q); --this input reused for timer
rx_3 <= std_logic_vector(resize(unsigned(recv_data(15 downto 0 )), 32)) when parse_select = std_logic_vector(to_unsigned(1, 8)) else --mode 1: 16 bit unsigned
std_logic_vector(resize(unsigned(recv_data(39 downto 32)), 32)) when parse_select = std_logic_vector(to_unsigned(2, 8)) else --mode 2: 8 bit unsigned
std_logic_vector(resize(signed( recv_data(15 downto 0 )), 32)) when parse_select = std_logic_vector(to_unsigned(4, 8)) else --mode 4: 16 bit signed
std_logic_vector(resize(signed( recv_data(39 downto 32)), 32)) when parse_select = std_logic_vector(to_unsigned(5, 8)) else --mode 5: 8 bit signed
(others => '0');
rx_4 <= std_logic_vector(resize(unsigned(recv_data(31 downto 24)), 32)) when parse_select = std_logic_vector(to_unsigned(2, 8)) else --mode 2: 8 bit unsigned
std_logic_vector(resize(signed( recv_data(31 downto 24)), 32)) when parse_select = std_logic_vector(to_unsigned(5, 8)) else --mode 5: 8 bit signed
(others => '0');
rx_5 <= std_logic_vector(resize(unsigned(recv_data(23 downto 16)), 32)) when parse_select = std_logic_vector(to_unsigned(2, 8)) else --mode 2: 8 bit unsigned
std_logic_vector(resize(signed( recv_data(23 downto 16)), 32)) when parse_select = std_logic_vector(to_unsigned(5, 8)) else --mode 5: 8 bit signed
(others => '0');
rx_6 <= std_logic_vector(resize(unsigned(recv_data(15 downto 8 )), 32)) when parse_select = std_logic_vector(to_unsigned(2, 8)) else --mode 2: 8 bit unsigned
std_logic_vector(resize(signed( recv_data(15 downto 8 )), 32)) when parse_select = std_logic_vector(to_unsigned(5, 8)) else --mode 5: 8 bit signed
(others => '0');
rx_7 <= std_logic_vector(resize(unsigned(recv_data(7 downto 0 )), 32)) when parse_select = std_logic_vector(to_unsigned(2, 8)) else --mode 2: 8 bit unsigned
std_logic_vector(resize(signed( recv_data(7 downto 0 )), 32)) when parse_select = std_logic_vector(to_unsigned(5, 8)) else --mode 5: 8 bit signed
(others => '0');
--NoC Controls
dequeue <= "01" when selected_vc_q = "0" and rx_state = rx_dequeue else
"10" when selected_vc_q = "1" and rx_state = rx_dequeue else
"00";
selected_vc_one_hot <= "01" when selected_vc_q = "0" else
"10";
select_vc_read <= selected_vc_q;
rx_state_out <= std_logic_vector(to_unsigned(0, 8)) when rx_state = rx_idle else
std_logic_vector(to_unsigned(1, 8)) when rx_state = rx_sel_vc else
std_logic_vector(to_unsigned(2, 8)) when rx_state = rx_addr_rst else
std_logic_vector(to_unsigned(3, 8)) when rx_state = rx_start_read else
std_logic_vector(to_unsigned(4, 8)) when rx_state = rx_rxd else
std_logic_vector(to_unsigned(5, 8)) when rx_state = rx_wait_cpu else
std_logic_vector(to_unsigned(6, 8)) when rx_state = rx_dequeue else
std_logic_vector(to_unsigned(7, 8)) when rx_state = rx_wait_flits else
std_logic_vector(to_unsigned(15, 8));
----------------------------------------------------------------------------
--- RX FSM -----------------------------------------------------------------
----------------------------------------------------------------------------
process(clk, rst) begin
if rst = '1' then
rx_state <= rx_idle;
elsif rising_edge(clk) then
rx_state <= next_rx_state;
end if;
end process;
process(rx_state, data_in_buffer, selected_vc_q, cpu_read_ctrl) begin
next_rx_state <= rx_state;
if rx_state = rx_idle and or_reduce(data_in_buffer) = '1' then
next_rx_state <= rx_sel_vc;
end if;
if rx_state = rx_sel_vc then
next_rx_state <= rx_addr_rst;
end if;
if rx_state = rx_addr_rst and cpu_read_ctrl = '1' then
next_rx_state <= rx_start_read;
end if;
if rx_state = rx_start_read and cpu_read_ctrl = '0' then
next_rx_state <= rx_rxd;
end if;
if rx_state = rx_rxd and cpu_read_ctrl = '1' then
next_rx_state <= rx_wait_cpu;
end if;
if rx_state = rx_wait_cpu and cpu_read_ctrl = '0' then
next_rx_state <= rx_dequeue;
end if;
if rx_state = rx_dequeue and is_tail_flit = '1' then
next_rx_state <= rx_idle;
end if;
if rx_state = rx_dequeue and is_tail_flit = '0' then
next_rx_state <= rx_wait_flits;
end if;
if rx_state = rx_wait_flits and or_reduce(data_in_buffer and selected_vc_one_hot) = '1' then
next_rx_state <= rx_rxd;
end if;
end process;
--==========================================================================
--==========================================================================
--==TIMER===================================================================
--==========================================================================
--==========================================================================
process(clk, rst) begin
if rst = '1' then
timer_q <= (others => '0');
elsif rising_edge(clk) then
timer_q <= timer_d;
end if;
end process;
timer_d <= timer_q + to_unsigned(1, 32);
end architecture fsmd;
|
-- libraries --------------------------------------------------------------------------------- {{{
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_textio.all;
use std.textio.all;
------------------------------------------------------------------------------------------------- }}}
package FGPU_definitions is
constant N_CU_W : natural := 3; --0 to 3
-- Bitwidth of # of CUs
constant LMEM_ADDR_W : natural := 10;
-- bitwidth of local memory address for a single PE
constant N_AXI_W : natural := 1;
-- Bitwidth of # of AXI data ports
constant SUB_INTEGER_IMPLEMENT : natural := 0;
-- implement sub-integer store operations
constant N_STATIONS_ALU : natural := 4;
-- # stations to store memory requests sourced by a single ALU
constant ATOMIC_IMPLEMENT : natural := 0;
-- implement global atomic operations
constant LMEM_IMPLEMENT : natural := 0;
-- implement local scratchpad
constant N_TAG_MANAGERS_W : natural := N_CU_W+0; -- 0 to 1
-- Bitwidth of # tag controllers per CU
constant RD_CACHE_N_WORDS_W : natural := 2;
constant RD_CACHE_FIFO_PORTB_ADDR_W : natural := 8;
constant FLOAT_IMPLEMENT : natural := 1;
constant FADD_IMPLEMENT : integer := 1;
constant FMUL_IMPLEMENT : integer := 1;
constant FDIV_IMPLEMENT : integer := 0;
constant FSQRT_IMPLEMENT : integer := 0;
constant UITOFP_IMPLEMENT : integer := 0;
constant FSLT_IMPLEMENT : integer := 0;
constant FRSQRT_IMPLEMENT : integer := 0;
constant FADD_DELAY : integer := 11;
constant UITOFP_DELAY : integer := 5;
constant FMUL_DELAY : integer := 8;
constant FDIV_DELAY : integer := 28;
constant FSQRT_DELAY : integer := 28;
constant FRSQRT_DELAY : integer := 28;
constant FSLT_DELAY : integer := 2;
constant MAX_FPU_DELAY : integer := FADD_DELAY;
constant CACHE_N_BANKS_W : natural := 3;
-- Bitwidth of # words within a cache line. Minimum is 2
constant N_RECEIVERS_CU_W : natural := 6-N_CU_W;
-- Bitwidth of # of receivers inside the global memory controller per CU. (6-N_CU_W) will lead to 64 receivers whatever the # of CU is.
constant BURST_WORDS_W : natural := 5;
-- Bitwidth # of words within a single AXI burst
constant ENABLE_READ_PRIORIRY_PIPE : boolean := false;
constant FIFO_ADDR_W : natural := 3;
-- Bitwidth of the fifo size to store outgoing memory requests from a CU
constant N_RD_FIFOS_TAG_MANAGER_W : natural := 0;
constant FINISH_FIFO_ADDR_W : natural := 3;
-- Bitwidth of the fifo depth to mark dirty cache lines to be cleared at the end
-- constant CRAM_BLOCKS : natural := 1;
-- # of CRAM replicates. Each replicate will serve some CUs (1 or 2 supported only)
constant CV_W : natural := 3;
-- bitwidth of # of PEs within a CV
constant CV_TO_CACHE_SLICE : natural := 3;
constant INSTR_READ_SLICE : boolean := true;
constant RTM_WRITE_SLICE : boolean := true;
constant WRITE_PHASE_W : natural := 1;
-- # of MSBs of the receiver index in the global memory controller which will be selected to write. These bits increments always.
-- This incrmenetation should help to balance serving the receivers
constant RCV_PRIORITY_W : natural := 3;
constant N_WF_CU_W : natural := 3;
-- bitwidth of # of WFs that can be simultaneously managed within a CU
constant AADD_ATOMIC : natural := 1;
constant AMAX_ATOMIC : natural := 1;
constant GMEM_N_BANK_W : natural := 1;
constant ID_WIDTH : natural := 6;
constant PHASE_W : natural := 3;
constant CV_SIZE : natural := 2**CV_W;
constant RD_CACHE_N_WORDS : natural := 2**RD_CACHE_N_WORDS_W;
constant WF_SIZE_W : natural := PHASE_W + CV_W;
-- A WF will be executed on the PEs of a single CV withen PAHSE_LEN cycels
constant WG_SIZE_W : natural := WF_SIZE_W + N_WF_CU_W;
-- A WG must be executed on a single CV. It contains a number of WFs which is at maximum the amount that can be managed within a CV
constant RTM_ADDR_W : natural := 1+2+N_WF_CU_W+PHASE_W; -- 1+2+3+3 = 9bit
-- The MSB if select between local indcs or other information
-- The lower 2 MSBs for d0, d1 or d2. The middle N_WF_CU_W are for the WF index with the CV. The lower LSBs are for the phase index
constant RTM_DATA_W : natural := CV_SIZE*WG_SIZE_W; -- Bitwidth of RTM data ports
constant BURST_W : natural := BURST_WORDS_W - GMEM_N_BANK_W; -- burst width in number of transfers on the axi bus
constant RD_FIFO_N_BURSTS_W : natural := 1;
constant RD_FIFO_W : natural := BURST_W + RD_FIFO_N_BURSTS_W;
constant N_TAG_MANAGERS : natural := 2**N_TAG_MANAGERS_W;
constant N_AXI : natural := 2**N_AXI_W;
constant N_WR_FIFOS_AXI_W : natural := N_TAG_MANAGERS_W-N_AXI_W;
constant INTERFCE_W_ADDR_W : natural := 14;
constant CRAM_ADDR_W : natural := 12; -- TODO
constant DATA_W : natural := 32;
constant BRAM18kb32b_ADDR_W : natural := 9;
constant BRAM36kb64b_ADDR_W : natural := 9;
constant BRAM36kb_ADDR_W : natural := 10;
constant INST_FIFO_PRE_LEN : natural := 8;
constant CV_INST_FIFO_W : natural := 3;
constant LOC_MEM_W : natural := BRAM18kb32b_ADDR_W;
constant N_PARAMS_W : natural := 4;
constant GMEM_ADDR_W : natural := 32;
constant WI_REG_ADDR_W : natural := 5;
constant N_REG_BLOCKS_W : natural := 2;
constant REG_FILE_BLOCK_W : natural := PHASE_W+WI_REG_ADDR_W+N_WF_CU_W-N_REG_BLOCKS_W; -- default=3+5+3-2=9
constant N_WR_FIFOS_W : natural := N_WR_FIFOS_AXI_W + N_AXI_W;
constant N_WR_FIFOS_AXI : natural := 2**N_WR_FIFOS_AXI_W;
constant N_WR_FIFOS : natural := 2**N_WR_FIFOS_W;
constant STAT : natural := 1;
constant STAT_LOAD : natural := 0;
-- cache & gmem controller constants
constant BRMEM_ADDR_W : natural := BRAM36kb_ADDR_W; -- default=10
constant N_RD_PORTS : natural := 4;
constant N : natural := CACHE_N_BANKS_W; -- max. 3
constant L : natural := BURST_WORDS_W-N; -- min. 2
constant M : natural := BRMEM_ADDR_W - L; -- max. 8
-- L+M = BMEM_ADDR_W = 10 = #address bits of a BRAM
-- cache size = 2^(N+L+M) words; max.=8*4KB=32KB
constant N_RECEIVERS_CU : natural := 2**N_RECEIVERS_CU_W;
constant N_RECEIVERS_W : natural := N_CU_W + N_RECEIVERS_CU_W;
constant N_RECEIVERS : natural := 2**N_RECEIVERS_W;
constant N_CU_STATIONS_W : natural := 6;
constant GMEM_WORD_ADDR_W : natural := GMEM_ADDR_W - 2;
constant TAG_W : natural := GMEM_WORD_ADDR_W -M -L -N;
constant GMEM_N_BANK : natural := 2**GMEM_N_BANK_W;
constant CACHE_N_BANKS : natural := 2**CACHE_N_BANKS_W;
constant REG_FILE_W : natural := N_REG_BLOCKS_W+REG_FILE_BLOCK_W;
constant N_REG_BLOCKS : natural := 2**N_REG_BLOCKS_W;
constant REG_ADDR_W : natural := BRAM18kb32b_ADDR_W+BRAM18kb32b_ADDR_W;
constant REG_FILE_SIZE : natural := 2**REG_ADDR_W;
constant REG_FILE_BLOCK_SIZE : natural := 2**REG_FILE_BLOCK_W;
constant GMEM_DATA_W : natural := GMEM_N_BANK * DATA_W;
constant N_PARAMS : natural := 2**N_PARAMS_W;
constant LOC_MEM_SIZE : natural := 2**LOC_MEM_W;
constant PHASE_LEN : natural := 2**PHASE_W;
constant CV_INST_FIFO_SIZE : natural := 2**CV_INST_FIFO_W;
constant N_CU : natural := 2**N_CU_W;
constant N_WF_CU : natural := 2**N_WF_CU_W;
constant WF_SIZE : natural := 2**WF_SIZE_W;
constant CRAM_SIZE : natural := 2**CRAM_ADDR_W;
constant RTM_SIZE : natural := 2**RTM_ADDR_W;
constant BRAM18kb_SIZE : natural := 2**BRAM18kb32b_ADDR_W;
constant regFile_addr : natural := 2**(INTERFCE_W_ADDR_W-1); -- "10" of the address msbs to choose the register file
constant Rstat_addr : natural := regFile_addr + 0; --address of status register in the register file
constant Rstart_addr : natural := regFile_addr + 1; --address of stat register in the register file
constant RcleanCache_addr : natural := regFile_addr + 2; --address of cleanCache register in the register file
constant RInitiate_addr : natural := regFile_addr + 3; --address of cleanCache register in the register file
constant Rstat_regFile_addr : natural := 0; --address of status register in the register file
constant Rstart_regFile_addr : natural := 1; --address of stat register in the register file
constant RcleanCache_regFile_addr : natural := 2; --address of cleanCache register in the register file
constant RInitiate_regFile_addr : natural := 3; --address of initiate register in the register file
constant N_REG_W : natural := 2;
constant PARAMS_ADDR_LOC_MEM_OFFSET : natural := LOC_MEM_SIZE - N_PARAMS;
-- constant GMEM_RQST_BUS_W : natural := GMEM_DATA_W;
-- new kernel descriptor ----------------------------------------------------------------
constant NEW_KRNL_DESC_W : natural := 5; -- length of the kernel's descripto
constant NEW_KRNL_INDX_W : natural := 4; -- bitwidth of number of kernels that can be started
constant NEW_KRNL_DESC_LEN : natural := 12;
constant WG_MAX_SIZE : natural := 2**WG_SIZE_W;
constant NEW_KRNL_DESC_MAX_LEN : natural := 2**NEW_KRNL_DESC_W;
constant NEW_KRNL_MAX_INDX : natural := 2**NEW_KRNL_INDX_W;
constant KRNL_SCH_ADDR_W : natural := NEW_KRNL_DESC_W + NEW_KRNL_INDX_W;
constant NEW_KRNL_DESC_N_WF : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 0;
constant NEW_KRNL_DESC_ID0_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 1;
constant NEW_KRNL_DESC_ID1_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 2;
constant NEW_KRNL_DESC_ID2_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 3;
constant NEW_KRNL_DESC_ID0_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 4;
constant NEW_KRNL_DESC_ID1_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 5;
constant NEW_KRNL_DESC_ID2_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 6;
constant NEW_KRNL_DESC_WG_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 7;
constant NEW_KRNL_DESC_N_WG_0 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 8;
constant NEW_KRNL_DESC_N_WG_1 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 9;
constant NEW_KRNL_DESC_N_WG_2 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 10;
constant NEW_KRNL_DESC_N_PARAMS : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 11;
constant PARAMS_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 16;
constant WG_SIZE_0_OFFSET : natural := 0;
constant WG_SIZE_1_OFFSET : natural := 10;
constant WG_SIZE_2_OFFSET : natural := 20;
constant N_DIM_OFFSET : natural := 30;
constant ADDR_FIRST_INST_OFFSET : natural := 0;
constant ADDR_LAST_INST_OFFSET : natural := 14;
constant N_WF_OFFSET : natural := 28;
constant N_WG_0_OFFSET : natural := 16;
constant N_WG_1_OFFSET : natural := 0;
constant N_WG_2_OFFSET : natural := 16;
constant WG_SIZE_OFFSET : natural := 0;
constant N_PARAMS_OFFSET : natural := 28;
type cram_type is array (2**CRAM_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0);
type slv32_array is array (natural range<>) of std_logic_vector(DATA_W-1 downto 0);
type krnl_scheduler_ram_TYPE is array (2**KRNL_SCH_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0);
type cram_addr_array is array (natural range <>) of unsigned(CRAM_ADDR_W-1 downto 0); -- range 0 to CRAM_SIZE-1;
type rtm_ram_type is array (natural range <>) of unsigned(RTM_DATA_W-1 downto 0);
type gmem_addr_array is array (natural range<>) of unsigned(GMEM_ADDR_W-1 downto 0);
type op_arith_shift_type is (op_add, op_lw, op_mult, op_bra, op_shift, op_slt, op_mov, op_ato, op_lmem);
type op_logical_type is (op_andi, op_and, op_ori, op_or, op_xor, op_xori, op_nor);
type be_array is array(natural range <>) of std_logic_vector(DATA_W/8-1 downto 0);
type gmem_be_array is array(natural range <>) of std_logic_vector(GMEM_N_BANK*DATA_W/8-1 downto 0);
type sl_array is array(natural range <>) of std_logic;
type nat_array is array(natural range <>) of natural;
type nat_2d_array is array(natural range <>, natural range <>) of natural;
type reg_addr_array is array (natural range <>) of unsigned(REG_FILE_W-1 downto 0);
type gmem_word_addr_array is array(natural range <>) of unsigned(GMEM_WORD_ADDR_W-1 downto 0);
type gmem_addr_array_no_bank is array (natural range <>) of unsigned(GMEM_WORD_ADDR_W-CACHE_N_BANKS_W-1 downto 0);
type alu_en_vec_type is array(natural range <>) of std_logic_vector(CV_SIZE-1 downto 0);
type alu_en_rdAddr_type is array(natural range <>) of unsigned(PHASE_W+N_WF_CU_W-1 downto 0);
type tag_array is array (natural range <>) of unsigned(TAG_W-1 downto 0);
type gmem_word_array is array (natural range <>) of std_logic_vector(DATA_W*GMEM_N_BANK-1 downto 0);
type wf_active_array is array (natural range <>) of std_logic_vector(N_WF_CU-1 downto 0);
type cache_addr_array is array(natural range <>) of unsigned(M+L-1 downto 0);
type cache_word_array is array(natural range <>) of std_logic_vector(CACHE_N_BANKS*DATA_W-1 downto 0);
type tag_addr_array is array(natural range <>) of unsigned(M-1 downto 0);
type reg_file_block_array is array(natural range<>) of unsigned(REG_FILE_BLOCK_W-1 downto 0);
type id_array is array(natural range<>) of std_logic_vector(ID_WIDTH-1 downto 0);
type real_array is array (natural range <>) of real;
type atomic_sgntr_array is array (natural range <>) of std_logic_vector(N_CU_STATIONS_W-1 downto 0);
attribute max_fanout: integer;
attribute keep: string;
attribute mark_debug : string;
impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type;
impure function init_SLV32_ARRAY_from_file(file_name : in string; len: in natural; file_len: in natural) return SLV32_ARRAY;
impure function init_CRAM(file_name : in string; file_len: in natural) return cram_type;
function pri_enc(datain: in std_logic_vector) return integer;
function max (LEFT, RIGHT: integer) return integer;
function min_int (LEFT, RIGHT: integer) return integer;
function clogb2 (bit_depth : integer) return integer;
--- ISA --------------------------------------------------------------------------------------
constant FAMILY_W : natural := 4;
constant CODE_W : natural := 4;
constant IMM_ARITH_W : natural := 14;
constant IMM_W : natural := 16;
constant BRANCH_ADDR_W : natural := 14;
constant FAMILY_POS : natural := 28;
constant CODE_POS : natural := 24;
constant RD_POS : natural := 0;
constant RS_POS : natural := 5;
constant RT_POS : natural := 10;
constant IMM_POS : natural := 10;
constant DIM_POS : natural := 5;
constant PARAM_POS : natural := 5;
constant BRANCH_ADDR_POS : natural := 10;
--------------- families
constant ADD_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"1";
constant SHF_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"2";
constant LGK_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"3";
constant MOV_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"4";
constant MUL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"5";
constant BRA_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"6";
constant GLS_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"7";
constant ATO_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"8";
constant CTL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"9";
constant RTM_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"A";
constant CND_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"B";
constant FLT_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"C";
constant LSI_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"D";
--------------- codes
--RTM
constant LID : std_logic_vector(CODE_W-1 downto 0) := X"0"; --upper two MSBs indicate if the operation is localdx or offsetdx
constant WGOFF : std_logic_vector(CODE_W-1 downto 0) := X"1";
constant SIZE : std_logic_vector(CODE_W-1 downto 0) := X"2";
constant WGID : std_logic_vector(CODE_W-1 downto 0) := X"3";
constant WGSIZE : std_logic_vector(CODE_W-1 downto 0) := X"4";
constant LP : std_logic_vector(CODE_W-1 downto 0) := X"8";
--ADD
constant ADD : std_logic_vector(CODE_W-1 downto 0) := "0000";
constant SUB : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant ADDI : std_logic_vector(CODE_W-1 downto 0) := "0001";
constant LI : std_logic_vector(CODE_W-1 downto 0) := "1001";
constant LUI : std_logic_vector(CODE_W-1 downto 0) := "1101";
--MUL
constant MACC : std_logic_vector(CODE_W-1 downto 0) := "1000";
--BRA
constant BEQ : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant BNE : std_logic_vector(CODE_W-1 downto 0) := "0011";
constant JSUB : std_logic_vector(CODE_W-1 downto 0) := "0100";
--GLS
constant LW : std_logic_vector(CODE_W-1 downto 0) := "0100";
constant SW : std_logic_vector(CODE_W-1 downto 0) := "1100";
--CTL
constant RET : std_logic_vector(CODE_W-1 downto 0) := "0010";
--SHF
constant SLLI : std_logic_vector(CODE_W-1 downto 0) := "0001";
--LGK
constant CODE_AND : std_logic_vector(CODE_W-1 downto 0) := "0000";
constant CODE_ANDI : std_logic_vector(CODE_W-1 downto 0) := "0001";
constant CODE_OR : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant CODE_ORI : std_logic_vector(CODE_W-1 downto 0) := "0011";
constant CODE_XOR : std_logic_vector(CODE_W-1 downto 0) := "0100";
constant CODE_XORI : std_logic_vector(CODE_W-1 downto 0) := "0101";
constant CODE_NOR : std_logic_vector(CODE_W-1 downto 0) := "1000";
--ATO
constant CODE_AMAX : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant CODE_AADD : std_logic_vector(CODE_W-1 downto 0) := "0001";
type branch_distance_vec is array(natural range <>) of unsigned(BRANCH_ADDR_W-1 downto 0);
type code_vec_type is array(natural range <>) of std_logic_vector(CODE_W-1 downto 0);
type atomic_type_vec_type is array(natural range <>) of std_logic_vector(2 downto 0);
end FGPU_definitions;
package body FGPU_definitions is
-- function called clogb2 that returns an integer which has the
--value of the ceiling of the log base 2
function clogb2 (bit_depth : integer) return integer is
variable depth : integer := bit_depth;
variable count : integer := 1;
begin
for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers
if (bit_depth <= 2) then
count := 1;
else
if(depth <= 1) then
count := count;
else
depth := depth / 2;
count := count + 1;
end if;
end if;
end loop;
return(count);
end;
impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable temp_bv : bit_vector(DATA_W-1 downto 0);
variable temp_mem : KRNL_SCHEDULER_RAM_type;
begin
for i in 0 to 16*32-1 loop
readline(init_file, init_line);
hread(init_line, temp_mem(i));
-- read(init_line, temp_bv);
-- temp_mem(i) := to_stdlogicvector(temp_bv);
end loop;
return temp_mem;
end function;
function max (LEFT, RIGHT: integer) return integer is
begin
if LEFT > RIGHT then return LEFT;
else return RIGHT;
end if;
end max;
function min_int (LEFT, RIGHT: integer) return integer is
begin
if LEFT > RIGHT then return RIGHT;
else return LEFT;
end if;
end min_int;
impure function init_CRAM(file_name : in string; file_len : in natural) return cram_type is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable cram : cram_type;
-- variable tmp: std_logic_vector(DATA_W-1 downto 0);
begin
for i in 0 to file_len-1 loop
readline(init_file, init_line);
hread(init_line, cram(i)); -- vivado breaks when synthesizing hread(init_line, cram(0)(i)) without giving any indication about the error
-- cram(i) := tmp;
-- if CRAM_BLOCKS > 1 then
-- for j in 1 to max(1,CRAM_BLOCKS-1) loop
-- cram(j)(i) := cram(0)(i);
-- end loop;
-- end if;
end loop;
return cram;
end function;
impure function init_SLV32_ARRAY_from_file(file_name : in string; len : in natural; file_len : in natural) return SLV32_ARRAY is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable temp_mem : SLV32_ARRAY(len-1 downto 0);
begin
for i in 0 to file_len-1 loop
readline(init_file, init_line);
hread(init_line, temp_mem(i));
end loop;
return temp_mem;
end function;
function pri_enc(datain: in std_logic_vector) return integer is
variable res : integer range 0 to datain'high;
begin
res := 0;
for i in datain'high downto 1 loop
if datain(i) = '1' then
res := i;
end if;
end loop;
return res;
end function;
end FGPU_definitions;
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00310
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 7.2.2 (1)
-- 7.2.2 (2)
-- 7.2.2 (6)
-- 7.2.2 (9)
-- 7.2.2 (10)
-- 7.2.2 (11)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00310(ARCH00310)
-- GENERIC_STANDARD_TYPES(ARCH00310_1)
-- ENT00310_Test_Bench(ARCH00310_Test_Bench)
--
-- REVISION HISTORY:
--
-- 21-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00310 is
generic (
i_boolean_1 : boolean
:= c_boolean_1 ;
i_boolean_2 : boolean
:= c_boolean_2 ;
i_bit_1 : bit
:= c_bit_1 ;
i_bit_2 : bit
:= c_bit_2 ;
i_severity_level_1 : severity_level
:= c_severity_level_1 ;
i_severity_level_2 : severity_level
:= c_severity_level_2 ;
i_character_1 : character
:= c_character_1 ;
i_character_2 : character
:= c_character_2 ;
i_t_enum1_1 : t_enum1
:= c_t_enum1_1 ;
i_t_enum1_2 : t_enum1
:= c_t_enum1_2 ;
i_st_enum1_1 : st_enum1
:= c_st_enum1_1 ;
i_st_enum1_2 : st_enum1
:= c_st_enum1_2 ;
i_integer_1 : integer
:= c_integer_1 ;
i_integer_2 : integer
:= c_integer_2 ;
i_t_int1_1 : t_int1
:= c_t_int1_1 ;
i_t_int1_2 : t_int1
:= c_t_int1_2 ;
i_st_int1_1 : st_int1
:= c_st_int1_1 ;
i_st_int1_2 : st_int1
:= c_st_int1_2 ;
i_time_1 : time
:= c_time_1 ;
i_time_2 : time
:= c_time_2 ;
i_t_phys1_1 : t_phys1
:= c_t_phys1_1 ;
i_t_phys1_2 : t_phys1
:= c_t_phys1_2 ;
i_st_phys1_1 : st_phys1
:= c_st_phys1_1 ;
i_st_phys1_2 : st_phys1
:= c_st_phys1_2 ;
i_real_1 : real
:= c_real_1 ;
i_real_2 : real
:= c_real_2 ;
i_t_real1_1 : t_real1
:= c_t_real1_1 ;
i_t_real1_2 : t_real1
:= c_t_real1_2 ;
i_st_real1_1 : st_real1
:= c_st_real1_1 ;
i_st_real1_2 : st_real1
:= c_st_real1_2 ;
i_st_bit_vector_1 : st_bit_vector
:= c_st_bit_vector_1 ;
i_st_bit_vector_2 : st_bit_vector
:= c_st_bit_vector_2 ;
i_st_string_1 : st_string
:= c_st_string_1 ;
i_st_string_2 : st_string
:= c_st_string_2 ;
i_t_rec1_1 : t_rec1
:= c_t_rec1_1 ;
i_t_rec1_2 : t_rec1
:= c_t_rec1_2 ;
i_st_rec1_1 : st_rec1
:= c_st_rec1_1 ;
i_st_rec1_2 : st_rec1
:= c_st_rec1_2 ;
i_t_rec2_1 : t_rec2
:= c_t_rec2_1 ;
i_t_rec2_2 : t_rec2
:= c_t_rec2_2 ;
i_st_rec2_1 : st_rec2
:= c_st_rec2_1 ;
i_st_rec2_2 : st_rec2
:= c_st_rec2_2 ;
i_t_rec3_1 : t_rec3
:= c_t_rec3_1 ;
i_t_rec3_2 : t_rec3
:= c_t_rec3_2 ;
i_st_rec3_1 : st_rec3
:= c_st_rec3_1 ;
i_st_rec3_2 : st_rec3
:= c_st_rec3_2 ;
i_st_arr1_1 : st_arr1
:= c_st_arr1_1 ;
i_st_arr1_2 : st_arr1
:= c_st_arr1_2 ;
i_st_arr2_1 : st_arr2
:= c_st_arr2_1 ;
i_st_arr2_2 : st_arr2
:= c_st_arr2_2 ;
i_st_arr3_1 : st_arr3 := c_st_arr3_1 ;
i_st_arr3_2 : st_arr3 := c_st_arr3_2
) ;
port ( locally_static_correct : out boolean ;
globally_static_correct : out boolean ;
dynamic_correct : out boolean ) ;
end ENT00310 ;
architecture ARCH00310 of ENT00310 is
begin
process
variable bool : boolean := true ;
variable cons_correct, gen_correct, dyn_correct : boolean := true ;
variable v_boolean_1 : boolean
:= c_boolean_1 ;
variable v_boolean_2 : boolean
:= c_boolean_2 ;
variable v_bit_1 : bit
:= c_bit_1 ;
variable v_bit_2 : bit
:= c_bit_2 ;
variable v_severity_level_1 : severity_level
:= c_severity_level_1 ;
variable v_severity_level_2 : severity_level
:= c_severity_level_2 ;
variable v_character_1 : character
:= c_character_1 ;
variable v_character_2 : character
:= c_character_2 ;
variable v_t_enum1_1 : t_enum1
:= c_t_enum1_1 ;
variable v_t_enum1_2 : t_enum1
:= c_t_enum1_2 ;
variable v_st_enum1_1 : st_enum1
:= c_st_enum1_1 ;
variable v_st_enum1_2 : st_enum1
:= c_st_enum1_2 ;
variable v_integer_1 : integer
:= c_integer_1 ;
variable v_integer_2 : integer
:= c_integer_2 ;
variable v_t_int1_1 : t_int1
:= c_t_int1_1 ;
variable v_t_int1_2 : t_int1
:= c_t_int1_2 ;
variable v_st_int1_1 : st_int1
:= c_st_int1_1 ;
variable v_st_int1_2 : st_int1
:= c_st_int1_2 ;
variable v_time_1 : time
:= c_time_1 ;
variable v_time_2 : time
:= c_time_2 ;
variable v_t_phys1_1 : t_phys1
:= c_t_phys1_1 ;
variable v_t_phys1_2 : t_phys1
:= c_t_phys1_2 ;
variable v_st_phys1_1 : st_phys1
:= c_st_phys1_1 ;
variable v_st_phys1_2 : st_phys1
:= c_st_phys1_2 ;
variable v_real_1 : real
:= c_real_1 ;
variable v_real_2 : real
:= c_real_2 ;
variable v_t_real1_1 : t_real1
:= c_t_real1_1 ;
variable v_t_real1_2 : t_real1
:= c_t_real1_2 ;
variable v_st_real1_1 : st_real1
:= c_st_real1_1 ;
variable v_st_real1_2 : st_real1
:= c_st_real1_2 ;
variable v_st_bit_vector_1 : st_bit_vector
:= c_st_bit_vector_1 ;
variable v_st_bit_vector_2 : st_bit_vector
:= c_st_bit_vector_2 ;
variable v_st_string_1 : st_string
:= c_st_string_1 ;
variable v_st_string_2 : st_string
:= c_st_string_2 ;
variable v_t_rec1_1 : t_rec1
:= c_t_rec1_1 ;
variable v_t_rec1_2 : t_rec1
:= c_t_rec1_2 ;
variable v_st_rec1_1 : st_rec1
:= c_st_rec1_1 ;
variable v_st_rec1_2 : st_rec1
:= c_st_rec1_2 ;
variable v_t_rec2_1 : t_rec2
:= c_t_rec2_1 ;
variable v_t_rec2_2 : t_rec2
:= c_t_rec2_2 ;
variable v_st_rec2_1 : st_rec2
:= c_st_rec2_1 ;
variable v_st_rec2_2 : st_rec2
:= c_st_rec2_2 ;
variable v_t_rec3_1 : t_rec3
:= c_t_rec3_1 ;
variable v_t_rec3_2 : t_rec3
:= c_t_rec3_2 ;
variable v_st_rec3_1 : st_rec3
:= c_st_rec3_1 ;
variable v_st_rec3_2 : st_rec3
:= c_st_rec3_2 ;
variable v_st_arr1_1 : st_arr1
:= c_st_arr1_1 ;
variable v_st_arr1_2 : st_arr1
:= c_st_arr1_2 ;
variable v_st_arr2_1 : st_arr2
:= c_st_arr2_1 ;
variable v_st_arr2_2 : st_arr2
:= c_st_arr2_2 ;
variable v_st_arr3_1 : st_arr3
:= c_st_arr3_1 ;
variable v_st_arr3_2 : st_arr3
:= c_st_arr3_2 ;
constant c2_boolean_1 : boolean :=
i_boolean_1 = c_boolean_1 and
i_boolean_1 /= i_boolean_2 and
not (i_boolean_1 = i_boolean_2)
;
constant c2_bit_1 : boolean :=
i_bit_1 = c_bit_1 and
i_bit_1 /= i_bit_2 and
not (i_bit_1 = i_bit_2)
;
constant c2_severity_level_1 : boolean :=
i_severity_level_1 = c_severity_level_1 and
i_severity_level_1 /= i_severity_level_2 and
not (i_severity_level_1 = i_severity_level_2)
;
constant c2_character_1 : boolean :=
i_character_1 = c_character_1 and
i_character_1 /= i_character_2 and
not (i_character_1 = i_character_2)
;
constant c2_t_enum1_1 : boolean :=
i_t_enum1_1 = c_t_enum1_1 and
i_t_enum1_1 /= i_t_enum1_2 and
not (i_t_enum1_1 = i_t_enum1_2)
;
constant c2_st_enum1_1 : boolean :=
i_st_enum1_1 = c_st_enum1_1 and
i_st_enum1_1 /= i_st_enum1_2 and
not (i_st_enum1_1 = i_st_enum1_2)
;
constant c2_integer_1 : boolean :=
i_integer_1 = c_integer_1 and
i_integer_1 /= i_integer_2 and
not (i_integer_1 = i_integer_2)
;
constant c2_t_int1_1 : boolean :=
i_t_int1_1 = c_t_int1_1 and
i_t_int1_1 /= i_t_int1_2 and
not (i_t_int1_1 = i_t_int1_2)
;
constant c2_st_int1_1 : boolean :=
i_st_int1_1 = c_st_int1_1 and
i_st_int1_1 /= i_st_int1_2 and
not (i_st_int1_1 = i_st_int1_2)
;
constant c2_time_1 : boolean :=
i_time_1 = c_time_1 and
i_time_1 /= i_time_2 and
not (i_time_1 = i_time_2)
;
constant c2_t_phys1_1 : boolean :=
i_t_phys1_1 = c_t_phys1_1 and
i_t_phys1_1 /= i_t_phys1_2 and
not (i_t_phys1_1 = i_t_phys1_2)
;
constant c2_st_phys1_1 : boolean :=
i_st_phys1_1 = c_st_phys1_1 and
i_st_phys1_1 /= i_st_phys1_2 and
not (i_st_phys1_1 = i_st_phys1_2)
;
constant c2_real_1 : boolean :=
i_real_1 = c_real_1 and
i_real_1 /= i_real_2 and
not (i_real_1 = i_real_2)
;
constant c2_t_real1_1 : boolean :=
i_t_real1_1 = c_t_real1_1 and
i_t_real1_1 /= i_t_real1_2 and
not (i_t_real1_1 = i_t_real1_2)
;
constant c2_st_real1_1 : boolean :=
i_st_real1_1 = c_st_real1_1 and
i_st_real1_1 /= i_st_real1_2 and
not (i_st_real1_1 = i_st_real1_2)
;
constant c2_st_bit_vector_1 : boolean :=
i_st_bit_vector_1 = c_st_bit_vector_1 and
i_st_bit_vector_1 /= i_st_bit_vector_2 and
not (i_st_bit_vector_1 = i_st_bit_vector_2)
;
constant c2_st_string_1 : boolean :=
i_st_string_1 = c_st_string_1 and
i_st_string_1 /= i_st_string_2 and
not (i_st_string_1 = i_st_string_2)
;
constant c2_t_rec1_1 : boolean :=
i_t_rec1_1 = c_t_rec1_1 and
i_t_rec1_1 /= i_t_rec1_2 and
not (i_t_rec1_1 = i_t_rec1_2)
;
constant c2_st_rec1_1 : boolean :=
i_st_rec1_1 = c_st_rec1_1 and
i_st_rec1_1 /= i_st_rec1_2 and
not (i_st_rec1_1 = i_st_rec1_2)
;
constant c2_t_rec2_1 : boolean :=
i_t_rec2_1 = c_t_rec2_1 and
i_t_rec2_1 /= i_t_rec2_2 and
not (i_t_rec2_1 = i_t_rec2_2)
;
constant c2_st_rec2_1 : boolean :=
i_st_rec2_1 = c_st_rec2_1 and
i_st_rec2_1 /= i_st_rec2_2 and
not (i_st_rec2_1 = i_st_rec2_2)
;
constant c2_t_rec3_1 : boolean :=
i_t_rec3_1 = c_t_rec3_1 and
i_t_rec3_1 /= i_t_rec3_2 and
not (i_t_rec3_1 = i_t_rec3_2)
;
constant c2_st_rec3_1 : boolean :=
i_st_rec3_1 = c_st_rec3_1 and
i_st_rec3_1 /= i_st_rec3_2 and
not (i_st_rec3_1 = i_st_rec3_2)
;
constant c2_st_arr1_1 : boolean :=
i_st_arr1_1 = c_st_arr1_1 and
i_st_arr1_1 /= i_st_arr1_2 and
not (i_st_arr1_1 = i_st_arr1_2)
;
constant c2_st_arr2_1 : boolean :=
i_st_arr2_1 = c_st_arr2_1 and
i_st_arr2_1 /= i_st_arr2_2 and
not (i_st_arr2_1 = i_st_arr2_2)
;
constant c2_st_arr3_1 : boolean :=
i_st_arr3_1 = c_st_arr3_1 and
i_st_arr3_1 /= i_st_arr3_2 and
not (i_st_arr3_1 = i_st_arr3_2)
;
begin
gen_correct := gen_correct and c2_boolean_1 = true ;
gen_correct := gen_correct and c2_bit_1 = true ;
gen_correct := gen_correct and c2_severity_level_1 = true ;
gen_correct := gen_correct and c2_character_1 = true ;
gen_correct := gen_correct and c2_t_enum1_1 = true ;
gen_correct := gen_correct and c2_st_enum1_1 = true ;
gen_correct := gen_correct and c2_integer_1 = true ;
gen_correct := gen_correct and c2_t_int1_1 = true ;
gen_correct := gen_correct and c2_st_int1_1 = true ;
gen_correct := gen_correct and c2_time_1 = true ;
gen_correct := gen_correct and c2_t_phys1_1 = true ;
gen_correct := gen_correct and c2_st_phys1_1 = true ;
gen_correct := gen_correct and c2_real_1 = true ;
gen_correct := gen_correct and c2_t_real1_1 = true ;
gen_correct := gen_correct and c2_st_real1_1 = true ;
gen_correct := gen_correct and c2_st_bit_vector_1 = true ;
gen_correct := gen_correct and c2_st_string_1 = true ;
gen_correct := gen_correct and c2_t_rec1_1 = true ;
gen_correct := gen_correct and c2_st_rec1_1 = true ;
gen_correct := gen_correct and c2_t_rec2_1 = true ;
gen_correct := gen_correct and c2_st_rec2_1 = true ;
gen_correct := gen_correct and c2_t_rec3_1 = true ;
gen_correct := gen_correct and c2_st_rec3_1 = true ;
gen_correct := gen_correct and c2_st_arr1_1 = true ;
gen_correct := gen_correct and c2_st_arr2_1 = true ;
gen_correct := gen_correct and c2_st_arr3_1 = true ;
dyn_correct := dyn_correct and
v_boolean_1 = c_boolean_1 and
v_boolean_1 /= v_boolean_2 and
not (v_boolean_1 = v_boolean_2)
;
dyn_correct := dyn_correct and
v_bit_1 = c_bit_1 and
v_bit_1 /= v_bit_2 and
not (v_bit_1 = v_bit_2)
;
dyn_correct := dyn_correct and
v_severity_level_1 = c_severity_level_1 and
v_severity_level_1 /= v_severity_level_2 and
not (v_severity_level_1 = v_severity_level_2)
;
dyn_correct := dyn_correct and
v_character_1 = c_character_1 and
v_character_1 /= v_character_2 and
not (v_character_1 = v_character_2)
;
dyn_correct := dyn_correct and
v_t_enum1_1 = c_t_enum1_1 and
v_t_enum1_1 /= v_t_enum1_2 and
not (v_t_enum1_1 = v_t_enum1_2)
;
dyn_correct := dyn_correct and
v_st_enum1_1 = c_st_enum1_1 and
v_st_enum1_1 /= v_st_enum1_2 and
not (v_st_enum1_1 = v_st_enum1_2)
;
dyn_correct := dyn_correct and
v_integer_1 = c_integer_1 and
v_integer_1 /= v_integer_2 and
not (v_integer_1 = v_integer_2)
;
dyn_correct := dyn_correct and
v_t_int1_1 = c_t_int1_1 and
v_t_int1_1 /= v_t_int1_2 and
not (v_t_int1_1 = v_t_int1_2)
;
dyn_correct := dyn_correct and
v_st_int1_1 = c_st_int1_1 and
v_st_int1_1 /= v_st_int1_2 and
not (v_st_int1_1 = v_st_int1_2)
;
dyn_correct := dyn_correct and
v_time_1 = c_time_1 and
v_time_1 /= v_time_2 and
not (v_time_1 = v_time_2)
;
dyn_correct := dyn_correct and
v_t_phys1_1 = c_t_phys1_1 and
v_t_phys1_1 /= v_t_phys1_2 and
not (v_t_phys1_1 = v_t_phys1_2)
;
dyn_correct := dyn_correct and
v_st_phys1_1 = c_st_phys1_1 and
v_st_phys1_1 /= v_st_phys1_2 and
not (v_st_phys1_1 = v_st_phys1_2)
;
dyn_correct := dyn_correct and
v_real_1 = c_real_1 and
v_real_1 /= v_real_2 and
not (v_real_1 = v_real_2)
;
dyn_correct := dyn_correct and
v_t_real1_1 = c_t_real1_1 and
v_t_real1_1 /= v_t_real1_2 and
not (v_t_real1_1 = v_t_real1_2)
;
dyn_correct := dyn_correct and
v_st_real1_1 = c_st_real1_1 and
v_st_real1_1 /= v_st_real1_2 and
not (v_st_real1_1 = v_st_real1_2)
;
dyn_correct := dyn_correct and
v_st_bit_vector_1 = c_st_bit_vector_1 and
v_st_bit_vector_1 /= v_st_bit_vector_2 and
not (v_st_bit_vector_1 = v_st_bit_vector_2)
;
dyn_correct := dyn_correct and
v_st_string_1 = c_st_string_1 and
v_st_string_1 /= v_st_string_2 and
not (v_st_string_1 = v_st_string_2)
;
dyn_correct := dyn_correct and
v_t_rec1_1 = c_t_rec1_1 and
v_t_rec1_1 /= v_t_rec1_2 and
not (v_t_rec1_1 = v_t_rec1_2)
;
dyn_correct := dyn_correct and
v_st_rec1_1 = c_st_rec1_1 and
v_st_rec1_1 /= v_st_rec1_2 and
not (v_st_rec1_1 = v_st_rec1_2)
;
dyn_correct := dyn_correct and
v_t_rec2_1 = c_t_rec2_1 and
v_t_rec2_1 /= v_t_rec2_2 and
not (v_t_rec2_1 = v_t_rec2_2)
;
dyn_correct := dyn_correct and
v_st_rec2_1 = c_st_rec2_1 and
v_st_rec2_1 /= v_st_rec2_2 and
not (v_st_rec2_1 = v_st_rec2_2)
;
dyn_correct := dyn_correct and
v_t_rec3_1 = c_t_rec3_1 and
v_t_rec3_1 /= v_t_rec3_2 and
not (v_t_rec3_1 = v_t_rec3_2)
;
dyn_correct := dyn_correct and
v_st_rec3_1 = c_st_rec3_1 and
v_st_rec3_1 /= v_st_rec3_2 and
not (v_st_rec3_1 = v_st_rec3_2)
;
dyn_correct := dyn_correct and
v_st_arr1_1 = c_st_arr1_1 and
v_st_arr1_1 /= v_st_arr1_2 and
not (v_st_arr1_1 = v_st_arr1_2)
;
dyn_correct := dyn_correct and
v_st_arr2_1 = c_st_arr2_1 and
v_st_arr2_1 /= v_st_arr2_2 and
not (v_st_arr2_1 = v_st_arr2_2)
;
dyn_correct := dyn_correct and
v_st_arr3_1 = c_st_arr3_1 and
v_st_arr3_1 /= v_st_arr3_2 and
not (v_st_arr3_1 = v_st_arr3_2)
;
locally_static_correct <= cons_correct ;
globally_static_correct <= gen_correct ;
dynamic_correct <= dyn_correct ;
wait;
end process ;
end ARCH00310 ;
architecture ARCH00310_1 of GENERIC_STANDARD_TYPES is
begin
B : block
generic (
i_boolean_1 : boolean
:= c_boolean_1 ;
i_boolean_2 : boolean
:= c_boolean_2 ;
i_bit_1 : bit
:= c_bit_1 ;
i_bit_2 : bit
:= c_bit_2 ;
i_severity_level_1 : severity_level
:= c_severity_level_1 ;
i_severity_level_2 : severity_level
:= c_severity_level_2 ;
i_character_1 : character
:= c_character_1 ;
i_character_2 : character
:= c_character_2 ;
i_t_enum1_1 : t_enum1
:= c_t_enum1_1 ;
i_t_enum1_2 : t_enum1
:= c_t_enum1_2 ;
i_st_enum1_1 : st_enum1
:= c_st_enum1_1 ;
i_st_enum1_2 : st_enum1
:= c_st_enum1_2 ;
i_integer_1 : integer
:= c_integer_1 ;
i_integer_2 : integer
:= c_integer_2 ;
i_t_int1_1 : t_int1
:= c_t_int1_1 ;
i_t_int1_2 : t_int1
:= c_t_int1_2 ;
i_st_int1_1 : st_int1
:= c_st_int1_1 ;
i_st_int1_2 : st_int1
:= c_st_int1_2 ;
i_time_1 : time
:= c_time_1 ;
i_time_2 : time
:= c_time_2 ;
i_t_phys1_1 : t_phys1
:= c_t_phys1_1 ;
i_t_phys1_2 : t_phys1
:= c_t_phys1_2 ;
i_st_phys1_1 : st_phys1
:= c_st_phys1_1 ;
i_st_phys1_2 : st_phys1
:= c_st_phys1_2 ;
i_real_1 : real
:= c_real_1 ;
i_real_2 : real
:= c_real_2 ;
i_t_real1_1 : t_real1
:= c_t_real1_1 ;
i_t_real1_2 : t_real1
:= c_t_real1_2 ;
i_st_real1_1 : st_real1
:= c_st_real1_1 ;
i_st_real1_2 : st_real1
:= c_st_real1_2 ;
i_st_bit_vector_1 : st_bit_vector
:= c_st_bit_vector_1 ;
i_st_bit_vector_2 : st_bit_vector
:= c_st_bit_vector_2 ;
i_st_string_1 : st_string
:= c_st_string_1 ;
i_st_string_2 : st_string
:= c_st_string_2 ;
i_t_rec1_1 : t_rec1
:= c_t_rec1_1 ;
i_t_rec1_2 : t_rec1
:= c_t_rec1_2 ;
i_st_rec1_1 : st_rec1
:= c_st_rec1_1 ;
i_st_rec1_2 : st_rec1
:= c_st_rec1_2 ;
i_t_rec2_1 : t_rec2
:= c_t_rec2_1 ;
i_t_rec2_2 : t_rec2
:= c_t_rec2_2 ;
i_st_rec2_1 : st_rec2
:= c_st_rec2_1 ;
i_st_rec2_2 : st_rec2
:= c_st_rec2_2 ;
i_t_rec3_1 : t_rec3
:= c_t_rec3_1 ;
i_t_rec3_2 : t_rec3
:= c_t_rec3_2 ;
i_st_rec3_1 : st_rec3
:= c_st_rec3_1 ;
i_st_rec3_2 : st_rec3
:= c_st_rec3_2 ;
i_st_arr1_1 : st_arr1
:= c_st_arr1_1 ;
i_st_arr1_2 : st_arr1
:= c_st_arr1_2 ;
i_st_arr2_1 : st_arr2
:= c_st_arr2_1 ;
i_st_arr2_2 : st_arr2
:= c_st_arr2_2 ;
i_st_arr3_1 : st_arr3 := c_st_arr3_1 ;
i_st_arr3_2 : st_arr3 := c_st_arr3_2
) ;
begin
process
variable bool : boolean := true ;
variable gen_correct, dyn_correct : boolean := true ;
variable v_boolean_1 : boolean
:= c_boolean_1 ;
variable v_boolean_2 : boolean
:= c_boolean_2 ;
variable v_bit_1 : bit
:= c_bit_1 ;
variable v_bit_2 : bit
:= c_bit_2 ;
variable v_severity_level_1 : severity_level
:= c_severity_level_1 ;
variable v_severity_level_2 : severity_level
:= c_severity_level_2 ;
variable v_character_1 : character
:= c_character_1 ;
variable v_character_2 : character
:= c_character_2 ;
variable v_t_enum1_1 : t_enum1
:= c_t_enum1_1 ;
variable v_t_enum1_2 : t_enum1
:= c_t_enum1_2 ;
variable v_st_enum1_1 : st_enum1
:= c_st_enum1_1 ;
variable v_st_enum1_2 : st_enum1
:= c_st_enum1_2 ;
variable v_integer_1 : integer
:= c_integer_1 ;
variable v_integer_2 : integer
:= c_integer_2 ;
variable v_t_int1_1 : t_int1
:= c_t_int1_1 ;
variable v_t_int1_2 : t_int1
:= c_t_int1_2 ;
variable v_st_int1_1 : st_int1
:= c_st_int1_1 ;
variable v_st_int1_2 : st_int1
:= c_st_int1_2 ;
variable v_time_1 : time
:= c_time_1 ;
variable v_time_2 : time
:= c_time_2 ;
variable v_t_phys1_1 : t_phys1
:= c_t_phys1_1 ;
variable v_t_phys1_2 : t_phys1
:= c_t_phys1_2 ;
variable v_st_phys1_1 : st_phys1
:= c_st_phys1_1 ;
variable v_st_phys1_2 : st_phys1
:= c_st_phys1_2 ;
variable v_real_1 : real
:= c_real_1 ;
variable v_real_2 : real
:= c_real_2 ;
variable v_t_real1_1 : t_real1
:= c_t_real1_1 ;
variable v_t_real1_2 : t_real1
:= c_t_real1_2 ;
variable v_st_real1_1 : st_real1
:= c_st_real1_1 ;
variable v_st_real1_2 : st_real1
:= c_st_real1_2 ;
variable v_st_bit_vector_1 : st_bit_vector
:= c_st_bit_vector_1 ;
variable v_st_bit_vector_2 : st_bit_vector
:= c_st_bit_vector_2 ;
variable v_st_string_1 : st_string
:= c_st_string_1 ;
variable v_st_string_2 : st_string
:= c_st_string_2 ;
variable v_t_rec1_1 : t_rec1
:= c_t_rec1_1 ;
variable v_t_rec1_2 : t_rec1
:= c_t_rec1_2 ;
variable v_st_rec1_1 : st_rec1
:= c_st_rec1_1 ;
variable v_st_rec1_2 : st_rec1
:= c_st_rec1_2 ;
variable v_t_rec2_1 : t_rec2
:= c_t_rec2_1 ;
variable v_t_rec2_2 : t_rec2
:= c_t_rec2_2 ;
variable v_st_rec2_1 : st_rec2
:= c_st_rec2_1 ;
variable v_st_rec2_2 : st_rec2
:= c_st_rec2_2 ;
variable v_t_rec3_1 : t_rec3
:= c_t_rec3_1 ;
variable v_t_rec3_2 : t_rec3
:= c_t_rec3_2 ;
variable v_st_rec3_1 : st_rec3
:= c_st_rec3_1 ;
variable v_st_rec3_2 : st_rec3
:= c_st_rec3_2 ;
variable v_st_arr1_1 : st_arr1
:= c_st_arr1_1 ;
variable v_st_arr1_2 : st_arr1
:= c_st_arr1_2 ;
variable v_st_arr2_1 : st_arr2
:= c_st_arr2_1 ;
variable v_st_arr2_2 : st_arr2
:= c_st_arr2_2 ;
variable v_st_arr3_1 : st_arr3
:= c_st_arr3_1 ;
variable v_st_arr3_2 : st_arr3
:= c_st_arr3_2 ;
constant c2_boolean_1 : boolean :=
i_boolean_1 = c_boolean_1 and
i_boolean_1 /= i_boolean_2 and
not (i_boolean_1 = i_boolean_2)
;
constant c2_bit_1 : boolean :=
i_bit_1 = c_bit_1 and
i_bit_1 /= i_bit_2 and
not (i_bit_1 = i_bit_2)
;
constant c2_severity_level_1 : boolean :=
i_severity_level_1 = c_severity_level_1 and
i_severity_level_1 /= i_severity_level_2 and
not (i_severity_level_1 = i_severity_level_2)
;
constant c2_character_1 : boolean :=
i_character_1 = c_character_1 and
i_character_1 /= i_character_2 and
not (i_character_1 = i_character_2)
;
constant c2_t_enum1_1 : boolean :=
i_t_enum1_1 = c_t_enum1_1 and
i_t_enum1_1 /= i_t_enum1_2 and
not (i_t_enum1_1 = i_t_enum1_2)
;
constant c2_st_enum1_1 : boolean :=
i_st_enum1_1 = c_st_enum1_1 and
i_st_enum1_1 /= i_st_enum1_2 and
not (i_st_enum1_1 = i_st_enum1_2)
;
constant c2_integer_1 : boolean :=
i_integer_1 = c_integer_1 and
i_integer_1 /= i_integer_2 and
not (i_integer_1 = i_integer_2)
;
constant c2_t_int1_1 : boolean :=
i_t_int1_1 = c_t_int1_1 and
i_t_int1_1 /= i_t_int1_2 and
not (i_t_int1_1 = i_t_int1_2)
;
constant c2_st_int1_1 : boolean :=
i_st_int1_1 = c_st_int1_1 and
i_st_int1_1 /= i_st_int1_2 and
not (i_st_int1_1 = i_st_int1_2)
;
constant c2_time_1 : boolean :=
i_time_1 = c_time_1 and
i_time_1 /= i_time_2 and
not (i_time_1 = i_time_2)
;
constant c2_t_phys1_1 : boolean :=
i_t_phys1_1 = c_t_phys1_1 and
i_t_phys1_1 /= i_t_phys1_2 and
not (i_t_phys1_1 = i_t_phys1_2)
;
constant c2_st_phys1_1 : boolean :=
i_st_phys1_1 = c_st_phys1_1 and
i_st_phys1_1 /= i_st_phys1_2 and
not (i_st_phys1_1 = i_st_phys1_2)
;
constant c2_real_1 : boolean :=
i_real_1 = c_real_1 and
i_real_1 /= i_real_2 and
not (i_real_1 = i_real_2)
;
constant c2_t_real1_1 : boolean :=
i_t_real1_1 = c_t_real1_1 and
i_t_real1_1 /= i_t_real1_2 and
not (i_t_real1_1 = i_t_real1_2)
;
constant c2_st_real1_1 : boolean :=
i_st_real1_1 = c_st_real1_1 and
i_st_real1_1 /= i_st_real1_2 and
not (i_st_real1_1 = i_st_real1_2)
;
constant c2_st_bit_vector_1 : boolean :=
i_st_bit_vector_1 = c_st_bit_vector_1 and
i_st_bit_vector_1 /= i_st_bit_vector_2 and
not (i_st_bit_vector_1 = i_st_bit_vector_2)
;
constant c2_st_string_1 : boolean :=
i_st_string_1 = c_st_string_1 and
i_st_string_1 /= i_st_string_2 and
not (i_st_string_1 = i_st_string_2)
;
constant c2_t_rec1_1 : boolean :=
i_t_rec1_1 = c_t_rec1_1 and
i_t_rec1_1 /= i_t_rec1_2 and
not (i_t_rec1_1 = i_t_rec1_2)
;
constant c2_st_rec1_1 : boolean :=
i_st_rec1_1 = c_st_rec1_1 and
i_st_rec1_1 /= i_st_rec1_2 and
not (i_st_rec1_1 = i_st_rec1_2)
;
constant c2_t_rec2_1 : boolean :=
i_t_rec2_1 = c_t_rec2_1 and
i_t_rec2_1 /= i_t_rec2_2 and
not (i_t_rec2_1 = i_t_rec2_2)
;
constant c2_st_rec2_1 : boolean :=
i_st_rec2_1 = c_st_rec2_1 and
i_st_rec2_1 /= i_st_rec2_2 and
not (i_st_rec2_1 = i_st_rec2_2)
;
constant c2_t_rec3_1 : boolean :=
i_t_rec3_1 = c_t_rec3_1 and
i_t_rec3_1 /= i_t_rec3_2 and
not (i_t_rec3_1 = i_t_rec3_2)
;
constant c2_st_rec3_1 : boolean :=
i_st_rec3_1 = c_st_rec3_1 and
i_st_rec3_1 /= i_st_rec3_2 and
not (i_st_rec3_1 = i_st_rec3_2)
;
constant c2_st_arr1_1 : boolean :=
i_st_arr1_1 = c_st_arr1_1 and
i_st_arr1_1 /= i_st_arr1_2 and
not (i_st_arr1_1 = i_st_arr1_2)
;
constant c2_st_arr2_1 : boolean :=
i_st_arr2_1 = c_st_arr2_1 and
i_st_arr2_1 /= i_st_arr2_2 and
not (i_st_arr2_1 = i_st_arr2_2)
;
constant c2_st_arr3_1 : boolean :=
i_st_arr3_1 = c_st_arr3_1 and
i_st_arr3_1 /= i_st_arr3_2 and
not (i_st_arr3_1 = i_st_arr3_2)
;
begin
dyn_correct := dyn_correct and
v_boolean_1 = c_boolean_1 and
v_boolean_1 /= v_boolean_2 and
not (v_boolean_1 = v_boolean_2)
;
dyn_correct := dyn_correct and
v_bit_1 = c_bit_1 and
v_bit_1 /= v_bit_2 and
not (v_bit_1 = v_bit_2)
;
dyn_correct := dyn_correct and
v_severity_level_1 = c_severity_level_1 and
v_severity_level_1 /= v_severity_level_2 and
not (v_severity_level_1 = v_severity_level_2)
;
dyn_correct := dyn_correct and
v_character_1 = c_character_1 and
v_character_1 /= v_character_2 and
not (v_character_1 = v_character_2)
;
dyn_correct := dyn_correct and
v_t_enum1_1 = c_t_enum1_1 and
v_t_enum1_1 /= v_t_enum1_2 and
not (v_t_enum1_1 = v_t_enum1_2)
;
dyn_correct := dyn_correct and
v_st_enum1_1 = c_st_enum1_1 and
v_st_enum1_1 /= v_st_enum1_2 and
not (v_st_enum1_1 = v_st_enum1_2)
;
dyn_correct := dyn_correct and
v_integer_1 = c_integer_1 and
v_integer_1 /= v_integer_2 and
not (v_integer_1 = v_integer_2)
;
dyn_correct := dyn_correct and
v_t_int1_1 = c_t_int1_1 and
v_t_int1_1 /= v_t_int1_2 and
not (v_t_int1_1 = v_t_int1_2)
;
dyn_correct := dyn_correct and
v_st_int1_1 = c_st_int1_1 and
v_st_int1_1 /= v_st_int1_2 and
not (v_st_int1_1 = v_st_int1_2)
;
dyn_correct := dyn_correct and
v_time_1 = c_time_1 and
v_time_1 /= v_time_2 and
not (v_time_1 = v_time_2)
;
dyn_correct := dyn_correct and
v_t_phys1_1 = c_t_phys1_1 and
v_t_phys1_1 /= v_t_phys1_2 and
not (v_t_phys1_1 = v_t_phys1_2)
;
dyn_correct := dyn_correct and
v_st_phys1_1 = c_st_phys1_1 and
v_st_phys1_1 /= v_st_phys1_2 and
not (v_st_phys1_1 = v_st_phys1_2)
;
dyn_correct := dyn_correct and
v_real_1 = c_real_1 and
v_real_1 /= v_real_2 and
not (v_real_1 = v_real_2)
;
dyn_correct := dyn_correct and
v_t_real1_1 = c_t_real1_1 and
v_t_real1_1 /= v_t_real1_2 and
not (v_t_real1_1 = v_t_real1_2)
;
dyn_correct := dyn_correct and
v_st_real1_1 = c_st_real1_1 and
v_st_real1_1 /= v_st_real1_2 and
not (v_st_real1_1 = v_st_real1_2)
;
dyn_correct := dyn_correct and
v_st_bit_vector_1 = c_st_bit_vector_1 and
v_st_bit_vector_1 /= v_st_bit_vector_2 and
not (v_st_bit_vector_1 = v_st_bit_vector_2)
;
dyn_correct := dyn_correct and
v_st_string_1 = c_st_string_1 and
v_st_string_1 /= v_st_string_2 and
not (v_st_string_1 = v_st_string_2)
;
dyn_correct := dyn_correct and
v_t_rec1_1 = c_t_rec1_1 and
v_t_rec1_1 /= v_t_rec1_2 and
not (v_t_rec1_1 = v_t_rec1_2)
;
dyn_correct := dyn_correct and
v_st_rec1_1 = c_st_rec1_1 and
v_st_rec1_1 /= v_st_rec1_2 and
not (v_st_rec1_1 = v_st_rec1_2)
;
dyn_correct := dyn_correct and
v_t_rec2_1 = c_t_rec2_1 and
v_t_rec2_1 /= v_t_rec2_2 and
not (v_t_rec2_1 = v_t_rec2_2)
;
dyn_correct := dyn_correct and
v_st_rec2_1 = c_st_rec2_1 and
v_st_rec2_1 /= v_st_rec2_2 and
not (v_st_rec2_1 = v_st_rec2_2)
;
dyn_correct := dyn_correct and
v_t_rec3_1 = c_t_rec3_1 and
v_t_rec3_1 /= v_t_rec3_2 and
not (v_t_rec3_1 = v_t_rec3_2)
;
dyn_correct := dyn_correct and
v_st_rec3_1 = c_st_rec3_1 and
v_st_rec3_1 /= v_st_rec3_2 and
not (v_st_rec3_1 = v_st_rec3_2)
;
dyn_correct := dyn_correct and
v_st_arr1_1 = c_st_arr1_1 and
v_st_arr1_1 /= v_st_arr1_2 and
not (v_st_arr1_1 = v_st_arr1_2)
;
dyn_correct := dyn_correct and
v_st_arr2_1 = c_st_arr2_1 and
v_st_arr2_1 /= v_st_arr2_2 and
not (v_st_arr2_1 = v_st_arr2_2)
;
dyn_correct := dyn_correct and
v_st_arr3_1 = c_st_arr3_1 and
v_st_arr3_1 /= v_st_arr3_2 and
not (v_st_arr3_1 = v_st_arr3_2)
;
if gen_correct and dyn_correct then
work.standard_types.test_report ( "ARCH&(TEST_NUM)" ,
"Relational operators are correctly predefined"
& " for generically sized types" ,
true ) ;
else
work.standard_types.test_report ( "ARCH&(TEST_NUM)" ,
"Relational operators are correctly predefined"
& " for generically sized types" ,
false ) ;
end if ;
wait;
end process ;
end block ;
end ARCH00310_1 ;
use WORK.STANDARD_TYPES.all ;
entity ENT00310_Test_Bench is
end ENT00310_Test_Bench ;
architecture ARCH00310_Test_Bench of ENT00310_Test_Bench is
begin
L1:
block
signal locally_static_correct, globally_static_correct,
dynamic_correct : boolean := false ;
component UUT
end component ;
component UUT_1
port ( locally_static_correct, globally_static_correct,
dynamic_correct : out boolean ) ;
end component ;
for CIS2 : UUT_1 use entity WORK.ENT00310 ( ARCH00310 ) ;
for CIS1 : UUT use entity
WORK.GENERIC_STANDARD_TYPES ( ARCH00310_1 ) ;
begin
CIS2 : UUT_1
port map ( locally_static_correct,
globally_static_correct,
dynamic_correct ) ;
CIS1 : UUT ;
process ( locally_static_correct, globally_static_correct,
dynamic_correct )
begin
if locally_static_correct and globally_static_correct and
dynamic_correct then
test_report ( "ARCH&(TEST_NUM)" ,
"Relational operators are correctly predefined"
& " for types" ,
true ) ;
end if ;
end process ;
end block L1 ;
end ARCH00310_Test_Bench ;
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axis_accelerator_adapter:2.1
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axis_accelerator_adapter_v2_1_6;
USE axis_accelerator_adapter_v2_1_6.axis_accelerator_adapter;
ENTITY zc702_set_0_if_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
aclk : IN STD_LOGIC;
aresetn : OUT STD_LOGIC;
ap_start : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_continue : OUT STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_iscalar_0_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_1_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_2_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_0_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
interrupt : OUT STD_LOGIC
);
END zc702_set_0_if_0;
ARCHITECTURE zc702_set_0_if_0_arch OF zc702_set_0_if_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF zc702_set_0_if_0_arch: ARCHITECTURE IS "yes";
COMPONENT axis_accelerator_adapter IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_AP_ADAPTER_ID : INTEGER;
C_N_INPUT_ARGS : INTEGER;
C_N_OUTPUT_ARGS : INTEGER;
C_S_AXIS_TDATA_WIDTH : INTEGER;
C_S_AXIS_TUSER_WIDTH : INTEGER;
C_S_AXIS_TID_WIDTH : INTEGER;
C_S_AXIS_TDEST_WIDTH : INTEGER;
C_AP_IARG_TYPE : STD_LOGIC_VECTOR;
C_AP_IARG_MB_DEPTH : STD_LOGIC_VECTOR;
C_AP_IARG_WIDTH : STD_LOGIC_VECTOR;
C_AP_IARG_N_DIM : STD_LOGIC_VECTOR;
C_AP_IARG_DIM_1 : STD_LOGIC_VECTOR;
C_AP_IARG_DIM_2 : STD_LOGIC_VECTOR;
C_AP_IARG_FORMAT_TYPE : STD_LOGIC_VECTOR;
C_AP_IARG_FORMAT_FACTOR : STD_LOGIC_VECTOR;
C_AP_IARG_FORMAT_DIM : STD_LOGIC_VECTOR;
C_AP_IARG_0_DWIDTH : INTEGER;
C_AP_IARG_1_DWIDTH : INTEGER;
C_AP_IARG_2_DWIDTH : INTEGER;
C_AP_IARG_3_DWIDTH : INTEGER;
C_AP_IARG_4_DWIDTH : INTEGER;
C_AP_IARG_5_DWIDTH : INTEGER;
C_AP_IARG_6_DWIDTH : INTEGER;
C_AP_IARG_7_DWIDTH : INTEGER;
C_M_AXIS_TDATA_WIDTH : INTEGER;
C_M_AXIS_TUSER_WIDTH : INTEGER;
C_M_AXIS_TID_WIDTH : INTEGER;
C_M_AXIS_TDEST_WIDTH : INTEGER;
C_AP_OARG_TYPE : STD_LOGIC_VECTOR;
C_AP_OARG_MB_DEPTH : STD_LOGIC_VECTOR;
C_AP_OARG_WIDTH : STD_LOGIC_VECTOR;
C_AP_OARG_N_DIM : STD_LOGIC_VECTOR;
C_AP_OARG_DIM : STD_LOGIC_VECTOR;
C_AP_OARG_DIM_1 : STD_LOGIC_VECTOR;
C_AP_OARG_DIM_2 : STD_LOGIC_VECTOR;
C_AP_OARG_FORMAT_TYPE : STD_LOGIC_VECTOR;
C_AP_OARG_FORMAT_FACTOR : STD_LOGIC_VECTOR;
C_AP_OARG_FORMAT_DIM : STD_LOGIC_VECTOR;
C_AP_OARG_0_DWIDTH : INTEGER;
C_AP_OARG_1_DWIDTH : INTEGER;
C_AP_OARG_2_DWIDTH : INTEGER;
C_AP_OARG_3_DWIDTH : INTEGER;
C_AP_OARG_4_DWIDTH : INTEGER;
C_AP_OARG_5_DWIDTH : INTEGER;
C_AP_OARG_6_DWIDTH : INTEGER;
C_AP_OARG_7_DWIDTH : INTEGER;
C_N_INOUT_SCALARS : INTEGER;
C_N_INPUT_SCALARS : INTEGER;
C_INPUT_SCALAR_DWIDTH : STD_LOGIC_VECTOR;
C_INPUT_SCALAR_MODE : STD_LOGIC_VECTOR;
C_OUTPUT_SCALAR_MODE : STD_LOGIC_VECTOR;
C_AP_ISCALAR_DOUT_WIDTH : INTEGER;
C_AP_ISCALAR_IO_DOUT_WIDTH : INTEGER;
C_INPUT_SCALAR_0_WIDTH : INTEGER;
C_INPUT_SCALAR_1_WIDTH : INTEGER;
C_INPUT_SCALAR_2_WIDTH : INTEGER;
C_INPUT_SCALAR_3_WIDTH : INTEGER;
C_INPUT_SCALAR_4_WIDTH : INTEGER;
C_INPUT_SCALAR_5_WIDTH : INTEGER;
C_INPUT_SCALAR_6_WIDTH : INTEGER;
C_INPUT_SCALAR_7_WIDTH : INTEGER;
C_INPUT_SCALAR_8_WIDTH : INTEGER;
C_INPUT_SCALAR_9_WIDTH : INTEGER;
C_INPUT_SCALAR_10_WIDTH : INTEGER;
C_INPUT_SCALAR_11_WIDTH : INTEGER;
C_INPUT_SCALAR_12_WIDTH : INTEGER;
C_INPUT_SCALAR_13_WIDTH : INTEGER;
C_INPUT_SCALAR_14_WIDTH : INTEGER;
C_INPUT_SCALAR_15_WIDTH : INTEGER;
C_OUTPUT_SCALAR_0_WIDTH : INTEGER;
C_OUTPUT_SCALAR_1_WIDTH : INTEGER;
C_OUTPUT_SCALAR_2_WIDTH : INTEGER;
C_OUTPUT_SCALAR_3_WIDTH : INTEGER;
C_OUTPUT_SCALAR_4_WIDTH : INTEGER;
C_OUTPUT_SCALAR_5_WIDTH : INTEGER;
C_OUTPUT_SCALAR_6_WIDTH : INTEGER;
C_OUTPUT_SCALAR_7_WIDTH : INTEGER;
C_OUTPUT_SCALAR_8_WIDTH : INTEGER;
C_OUTPUT_SCALAR_9_WIDTH : INTEGER;
C_OUTPUT_SCALAR_10_WIDTH : INTEGER;
C_OUTPUT_SCALAR_11_WIDTH : INTEGER;
C_OUTPUT_SCALAR_12_WIDTH : INTEGER;
C_OUTPUT_SCALAR_13_WIDTH : INTEGER;
C_OUTPUT_SCALAR_14_WIDTH : INTEGER;
C_OUTPUT_SCALAR_15_WIDTH : INTEGER;
C_N_OUTPUT_SCALARS : INTEGER;
C_OUTPUT_SCALAR_DWIDTH : STD_LOGIC_VECTOR;
C_AP_OSCALAR_DIN_WIDTH : INTEGER;
C_AP_OSCALAR_IO_DIN_WIDTH : INTEGER;
C_ENABLE_STREAM_CLK : INTEGER;
C_PRMRY_IS_ACLK_ASYNC : INTEGER;
C_S_AXIS_HAS_TSTRB : INTEGER;
C_S_AXIS_HAS_TKEEP : INTEGER;
C_NONE : INTEGER
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axis_aclk : IN STD_LOGIC;
s_axis_aresetn : IN STD_LOGIC;
s_axis_0_aclk : IN STD_LOGIC;
s_axis_0_aresetn : IN STD_LOGIC;
s_axis_0_tvalid : IN STD_LOGIC;
s_axis_0_tready : OUT STD_LOGIC;
s_axis_0_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_0_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_0_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_0_tlast : IN STD_LOGIC;
s_axis_0_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_0_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_0_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_1_aclk : IN STD_LOGIC;
s_axis_1_aresetn : IN STD_LOGIC;
s_axis_1_tvalid : IN STD_LOGIC;
s_axis_1_tready : OUT STD_LOGIC;
s_axis_1_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_1_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_1_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_1_tlast : IN STD_LOGIC;
s_axis_1_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_1_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_1_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_2_aclk : IN STD_LOGIC;
s_axis_2_aresetn : IN STD_LOGIC;
s_axis_2_tvalid : IN STD_LOGIC;
s_axis_2_tready : OUT STD_LOGIC;
s_axis_2_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_2_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_2_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_2_tlast : IN STD_LOGIC;
s_axis_2_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_2_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_2_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_3_aclk : IN STD_LOGIC;
s_axis_3_aresetn : IN STD_LOGIC;
s_axis_3_tvalid : IN STD_LOGIC;
s_axis_3_tready : OUT STD_LOGIC;
s_axis_3_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_3_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_3_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_3_tlast : IN STD_LOGIC;
s_axis_3_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_3_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_3_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_4_aclk : IN STD_LOGIC;
s_axis_4_aresetn : IN STD_LOGIC;
s_axis_4_tvalid : IN STD_LOGIC;
s_axis_4_tready : OUT STD_LOGIC;
s_axis_4_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_4_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_4_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_4_tlast : IN STD_LOGIC;
s_axis_4_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_4_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_4_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_5_aclk : IN STD_LOGIC;
s_axis_5_aresetn : IN STD_LOGIC;
s_axis_5_tvalid : IN STD_LOGIC;
s_axis_5_tready : OUT STD_LOGIC;
s_axis_5_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_5_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_5_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_5_tlast : IN STD_LOGIC;
s_axis_5_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_5_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_5_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_6_aclk : IN STD_LOGIC;
s_axis_6_aresetn : IN STD_LOGIC;
s_axis_6_tvalid : IN STD_LOGIC;
s_axis_6_tready : OUT STD_LOGIC;
s_axis_6_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_6_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_6_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_6_tlast : IN STD_LOGIC;
s_axis_6_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_6_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_6_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_7_aclk : IN STD_LOGIC;
s_axis_7_aresetn : IN STD_LOGIC;
s_axis_7_tvalid : IN STD_LOGIC;
s_axis_7_tready : OUT STD_LOGIC;
s_axis_7_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_7_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_7_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_7_tlast : IN STD_LOGIC;
s_axis_7_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_7_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_7_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ap_iarg_0_clk : IN STD_LOGIC;
ap_iarg_0_rst : IN STD_LOGIC;
ap_iarg_0_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_0_ce : IN STD_LOGIC;
ap_iarg_0_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_0_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_0_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_1_clk : IN STD_LOGIC;
ap_iarg_1_rst : IN STD_LOGIC;
ap_iarg_1_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_1_ce : IN STD_LOGIC;
ap_iarg_1_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_1_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_1_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_2_clk : IN STD_LOGIC;
ap_iarg_2_rst : IN STD_LOGIC;
ap_iarg_2_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_2_ce : IN STD_LOGIC;
ap_iarg_2_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_2_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_2_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_3_clk : IN STD_LOGIC;
ap_iarg_3_rst : IN STD_LOGIC;
ap_iarg_3_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_3_ce : IN STD_LOGIC;
ap_iarg_3_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_3_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_3_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_4_clk : IN STD_LOGIC;
ap_iarg_4_rst : IN STD_LOGIC;
ap_iarg_4_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_4_ce : IN STD_LOGIC;
ap_iarg_4_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_4_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_4_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_5_clk : IN STD_LOGIC;
ap_iarg_5_rst : IN STD_LOGIC;
ap_iarg_5_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_5_ce : IN STD_LOGIC;
ap_iarg_5_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_5_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_5_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_6_clk : IN STD_LOGIC;
ap_iarg_6_rst : IN STD_LOGIC;
ap_iarg_6_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_6_ce : IN STD_LOGIC;
ap_iarg_6_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_6_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_6_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_7_clk : IN STD_LOGIC;
ap_iarg_7_rst : IN STD_LOGIC;
ap_iarg_7_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_7_ce : IN STD_LOGIC;
ap_iarg_7_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_7_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_7_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_0_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_0_read : IN STD_LOGIC;
ap_fifo_iarg_0_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_1_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_1_read : IN STD_LOGIC;
ap_fifo_iarg_1_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_2_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_2_read : IN STD_LOGIC;
ap_fifo_iarg_2_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_3_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_3_read : IN STD_LOGIC;
ap_fifo_iarg_3_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_4_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_4_read : IN STD_LOGIC;
ap_fifo_iarg_4_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_5_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_5_read : IN STD_LOGIC;
ap_fifo_iarg_5_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_6_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_6_read : IN STD_LOGIC;
ap_fifo_iarg_6_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_7_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_7_read : IN STD_LOGIC;
ap_fifo_iarg_7_empty_n : OUT STD_LOGIC;
m_axis_aclk : IN STD_LOGIC;
m_axis_aresetn : IN STD_LOGIC;
m_axis_0_aclk : IN STD_LOGIC;
m_axis_0_aresetn : IN STD_LOGIC;
m_axis_0_tvalid : OUT STD_LOGIC;
m_axis_0_tready : IN STD_LOGIC;
m_axis_0_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_0_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_0_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_0_tlast : OUT STD_LOGIC;
m_axis_0_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_0_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_0_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_1_aclk : IN STD_LOGIC;
m_axis_1_aresetn : IN STD_LOGIC;
m_axis_1_tvalid : OUT STD_LOGIC;
m_axis_1_tready : IN STD_LOGIC;
m_axis_1_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_1_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_1_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_1_tlast : OUT STD_LOGIC;
m_axis_1_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_1_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_1_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_2_aclk : IN STD_LOGIC;
m_axis_2_aresetn : IN STD_LOGIC;
m_axis_2_tvalid : OUT STD_LOGIC;
m_axis_2_tready : IN STD_LOGIC;
m_axis_2_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_2_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_2_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_2_tlast : OUT STD_LOGIC;
m_axis_2_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_2_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_2_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_3_aclk : IN STD_LOGIC;
m_axis_3_aresetn : IN STD_LOGIC;
m_axis_3_tvalid : OUT STD_LOGIC;
m_axis_3_tready : IN STD_LOGIC;
m_axis_3_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_3_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_3_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_3_tlast : OUT STD_LOGIC;
m_axis_3_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_3_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_3_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_4_aclk : IN STD_LOGIC;
m_axis_4_aresetn : IN STD_LOGIC;
m_axis_4_tvalid : OUT STD_LOGIC;
m_axis_4_tready : IN STD_LOGIC;
m_axis_4_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_4_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_4_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_4_tlast : OUT STD_LOGIC;
m_axis_4_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_4_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_4_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_5_aclk : IN STD_LOGIC;
m_axis_5_aresetn : IN STD_LOGIC;
m_axis_5_tvalid : OUT STD_LOGIC;
m_axis_5_tready : IN STD_LOGIC;
m_axis_5_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_5_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_5_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_5_tlast : OUT STD_LOGIC;
m_axis_5_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_5_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_5_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_6_aclk : IN STD_LOGIC;
m_axis_6_aresetn : IN STD_LOGIC;
m_axis_6_tvalid : OUT STD_LOGIC;
m_axis_6_tready : IN STD_LOGIC;
m_axis_6_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_6_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_6_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_6_tlast : OUT STD_LOGIC;
m_axis_6_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_6_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_6_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_7_aclk : IN STD_LOGIC;
m_axis_7_aresetn : IN STD_LOGIC;
m_axis_7_tvalid : OUT STD_LOGIC;
m_axis_7_tready : IN STD_LOGIC;
m_axis_7_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_7_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_7_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_7_tlast : OUT STD_LOGIC;
m_axis_7_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_7_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_7_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
ap_oarg_0_clk : IN STD_LOGIC;
ap_oarg_0_rst : IN STD_LOGIC;
ap_oarg_0_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_0_ce : IN STD_LOGIC;
ap_oarg_0_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_0_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_0_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_1_clk : IN STD_LOGIC;
ap_oarg_1_rst : IN STD_LOGIC;
ap_oarg_1_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_1_ce : IN STD_LOGIC;
ap_oarg_1_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_1_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_1_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_2_clk : IN STD_LOGIC;
ap_oarg_2_rst : IN STD_LOGIC;
ap_oarg_2_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_2_ce : IN STD_LOGIC;
ap_oarg_2_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_2_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_2_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_3_clk : IN STD_LOGIC;
ap_oarg_3_rst : IN STD_LOGIC;
ap_oarg_3_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_3_ce : IN STD_LOGIC;
ap_oarg_3_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_3_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_3_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_4_clk : IN STD_LOGIC;
ap_oarg_4_rst : IN STD_LOGIC;
ap_oarg_4_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_4_ce : IN STD_LOGIC;
ap_oarg_4_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_4_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_4_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_5_clk : IN STD_LOGIC;
ap_oarg_5_rst : IN STD_LOGIC;
ap_oarg_5_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_5_ce : IN STD_LOGIC;
ap_oarg_5_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_5_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_5_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_6_clk : IN STD_LOGIC;
ap_oarg_6_rst : IN STD_LOGIC;
ap_oarg_6_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_6_ce : IN STD_LOGIC;
ap_oarg_6_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_6_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_6_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_7_clk : IN STD_LOGIC;
ap_oarg_7_rst : IN STD_LOGIC;
ap_oarg_7_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_7_ce : IN STD_LOGIC;
ap_oarg_7_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_7_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_7_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_0_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_0_write : IN STD_LOGIC;
ap_fifo_oarg_0_full_n : OUT STD_LOGIC;
ap_fifo_oarg_1_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_1_write : IN STD_LOGIC;
ap_fifo_oarg_1_full_n : OUT STD_LOGIC;
ap_fifo_oarg_2_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_2_write : IN STD_LOGIC;
ap_fifo_oarg_2_full_n : OUT STD_LOGIC;
ap_fifo_oarg_3_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_3_write : IN STD_LOGIC;
ap_fifo_oarg_3_full_n : OUT STD_LOGIC;
ap_fifo_oarg_4_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_4_write : IN STD_LOGIC;
ap_fifo_oarg_4_full_n : OUT STD_LOGIC;
ap_fifo_oarg_5_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_5_write : IN STD_LOGIC;
ap_fifo_oarg_5_full_n : OUT STD_LOGIC;
ap_fifo_oarg_6_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_6_write : IN STD_LOGIC;
ap_fifo_oarg_6_full_n : OUT STD_LOGIC;
ap_fifo_oarg_7_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_7_write : IN STD_LOGIC;
ap_fifo_oarg_7_full_n : OUT STD_LOGIC;
aclk : IN STD_LOGIC;
aresetn : OUT STD_LOGIC;
ap_start : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_continue : OUT STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_iscalar_0_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_1_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_2_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_3_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_4_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_5_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_6_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_7_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_8_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_9_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_10_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_11_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_12_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_13_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_14_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_15_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_0_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_1_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_2_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_3_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_4_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_5_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_6_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_7_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_8_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_9_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_10_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_11_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_12_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_13_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_14_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_15_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_0_vld : IN STD_LOGIC;
ap_oscalar_1_vld : IN STD_LOGIC;
ap_oscalar_2_vld : IN STD_LOGIC;
ap_oscalar_3_vld : IN STD_LOGIC;
ap_oscalar_4_vld : IN STD_LOGIC;
ap_oscalar_5_vld : IN STD_LOGIC;
ap_oscalar_6_vld : IN STD_LOGIC;
ap_oscalar_7_vld : IN STD_LOGIC;
ap_oscalar_8_vld : IN STD_LOGIC;
ap_oscalar_9_vld : IN STD_LOGIC;
ap_oscalar_10_vld : IN STD_LOGIC;
ap_oscalar_11_vld : IN STD_LOGIC;
ap_oscalar_12_vld : IN STD_LOGIC;
ap_oscalar_13_vld : IN STD_LOGIC;
ap_oscalar_14_vld : IN STD_LOGIC;
ap_oscalar_15_vld : IN STD_LOGIC;
ap_oscalar_0_ack : OUT STD_LOGIC;
ap_oscalar_1_ack : OUT STD_LOGIC;
ap_oscalar_2_ack : OUT STD_LOGIC;
ap_oscalar_3_ack : OUT STD_LOGIC;
ap_oscalar_4_ack : OUT STD_LOGIC;
ap_oscalar_5_ack : OUT STD_LOGIC;
ap_oscalar_6_ack : OUT STD_LOGIC;
ap_oscalar_7_ack : OUT STD_LOGIC;
ap_oscalar_8_ack : OUT STD_LOGIC;
ap_oscalar_9_ack : OUT STD_LOGIC;
ap_oscalar_10_ack : OUT STD_LOGIC;
ap_oscalar_11_ack : OUT STD_LOGIC;
ap_oscalar_12_ack : OUT STD_LOGIC;
ap_oscalar_13_ack : OUT STD_LOGIC;
ap_oscalar_14_ack : OUT STD_LOGIC;
ap_oscalar_15_ack : OUT STD_LOGIC;
ap_iscalar_0_ack : IN STD_LOGIC;
ap_iscalar_1_ack : IN STD_LOGIC;
ap_iscalar_2_ack : IN STD_LOGIC;
ap_iscalar_3_ack : IN STD_LOGIC;
ap_iscalar_4_ack : IN STD_LOGIC;
ap_iscalar_5_ack : IN STD_LOGIC;
ap_iscalar_6_ack : IN STD_LOGIC;
ap_iscalar_7_ack : IN STD_LOGIC;
ap_iscalar_8_ack : IN STD_LOGIC;
ap_iscalar_9_ack : IN STD_LOGIC;
ap_iscalar_10_ack : IN STD_LOGIC;
ap_iscalar_11_ack : IN STD_LOGIC;
ap_iscalar_12_ack : IN STD_LOGIC;
ap_iscalar_13_ack : IN STD_LOGIC;
ap_iscalar_14_ack : IN STD_LOGIC;
ap_iscalar_15_ack : IN STD_LOGIC;
ap_iscalar_0_vld : OUT STD_LOGIC;
ap_iscalar_1_vld : OUT STD_LOGIC;
ap_iscalar_2_vld : OUT STD_LOGIC;
ap_iscalar_3_vld : OUT STD_LOGIC;
ap_iscalar_4_vld : OUT STD_LOGIC;
ap_iscalar_5_vld : OUT STD_LOGIC;
ap_iscalar_6_vld : OUT STD_LOGIC;
ap_iscalar_7_vld : OUT STD_LOGIC;
ap_iscalar_8_vld : OUT STD_LOGIC;
ap_iscalar_9_vld : OUT STD_LOGIC;
ap_iscalar_10_vld : OUT STD_LOGIC;
ap_iscalar_11_vld : OUT STD_LOGIC;
ap_iscalar_12_vld : OUT STD_LOGIC;
ap_iscalar_13_vld : OUT STD_LOGIC;
ap_iscalar_14_vld : OUT STD_LOGIC;
ap_iscalar_15_vld : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC
);
END COMPONENT axis_accelerator_adapter;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF zc702_set_0_if_0_arch: ARCHITECTURE IS "axis_accelerator_adapter,Vivado 2015.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF zc702_set_0_if_0_arch : ARCHITECTURE IS "zc702_set_0_if_0,axis_accelerator_adapter,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF zc702_set_0_if_0_arch: ARCHITECTURE IS "zc702_set_0_if_0,axis_accelerator_adapter,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axis_accelerator_adapter,x_ipVersion=2.1,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_S_AXI_ADDR_WIDTH=13,C_S_AXI_DATA_WIDTH=32,C_AP_ADAPTER_ID=1,C_N_INPUT_ARGS=0,C_N_OUTPUT_ARGS=0,C_S_AXIS_TDATA_WIDTH=64,C_S_AXIS_TUSER_WIDTH=8,C_S_AXIS_TID_WIDTH=4,C_S_AXIS_TDEST_WIDTH=4,C_AP_IARG_TYPE=0x0000000000000000000000000000000000000000000000000000000000000000,C_AP_IARG_MB_DEPTH=0x0000000400000004000000040000000400000004000000040000000400000004,C_AP_IARG_WIDTH=0x0000002000000020000000200000002000000020000000200000002000000020,C_AP_IARG_N_DIM=0x0000000100000001000000010000000100000001000000010000000100000001,C_AP_IARG_DIM_1=0x0000040000000400000004000000040000000400000004000000040000000400,C_AP_IARG_DIM_2=0x0000000100000001000000010000000100000001000000010000000100000001,C_AP_IARG_FORMAT_TYPE=0x0000000000000000000000000000000000000000000000000000000000000000,C_AP_IARG_FORMAT_FACTOR=0x0000000100000001000000010000000100000001000000010000000100000001,C_AP_IARG_FORMAT_DIM=0x0000000100000001000000010000000100000001000000010000000100000001,C_AP_IARG_0_DWIDTH=32,C_AP_IARG_1_DWIDTH=32,C_AP_IARG_2_DWIDTH=32,C_AP_IARG_3_DWIDTH=32,C_AP_IARG_4_DWIDTH=32,C_AP_IARG_5_DWIDTH=32,C_AP_IARG_6_DWIDTH=32,C_AP_IARG_7_DWIDTH=32,C_M_AXIS_TDATA_WIDTH=64,C_M_AXIS_TUSER_WIDTH=8,C_M_AXIS_TID_WIDTH=4,C_M_AXIS_TDEST_WIDTH=4,C_AP_OARG_TYPE=0x0000000000000000000000000000000000000000000000000000000000000000,C_AP_OARG_MB_DEPTH=0x0000000400000004000000040000000400000004000000040000000400000004,C_AP_OARG_WIDTH=0x0000002000000020000000200000002000000020000000200000002000000020,C_AP_OARG_N_DIM=0x0000000100000001000000010000000100000001000000010000000100000001,C_AP_OARG_DIM=0x0000000100000001000000010000040000000001000000010000000100000400000000010000000100000001000004000000000100000001000000010000040000000001000000010000000100000400000000010000000100000001000004000000000100000001000000010000080000000001000000010000000100000008,C_AP_OARG_DIM_1=0x0000040000000400000004000000040000000400000004000000040000000400,C_AP_OARG_DIM_2=0x0000000100000001000000010000000100000001000000010000000100000001,C_AP_OARG_FORMAT_TYPE=0x0000000000000000000000000000000000000000000000000000000000000000,C_AP_OARG_FORMAT_FACTOR=0x0000000100000001000000010000000100000001000000010000000100000001,C_AP_OARG_FORMAT_DIM=0x0000000100000001000000010000000100000001000000010000000100000001,C_AP_OARG_0_DWIDTH=32,C_AP_OARG_1_DWIDTH=32,C_AP_OARG_2_DWIDTH=32,C_AP_OARG_3_DWIDTH=32,C_AP_OARG_4_DWIDTH=32,C_AP_OARG_5_DWIDTH=32,C_AP_OARG_6_DWIDTH=32,C_AP_OARG_7_DWIDTH=32,C_N_INOUT_SCALARS=0,C_N_INPUT_SCALARS=3,C_INPUT_SCALAR_DWIDTH=0x00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020,C_INPUT_SCALAR_MODE=0x0000000000000000,C_OUTPUT_SCALAR_MODE=0x0000000000000000,C_AP_ISCALAR_DOUT_WIDTH=96,C_AP_ISCALAR_IO_DOUT_WIDTH=32,C_INPUT_SCALAR_0_WIDTH=32,C_INPUT_SCALAR_1_WIDTH=32,C_INPUT_SCALAR_2_WIDTH=32,C_INPUT_SCALAR_3_WIDTH=32,C_INPUT_SCALAR_4_WIDTH=32,C_INPUT_SCALAR_5_WIDTH=32,C_INPUT_SCALAR_6_WIDTH=32,C_INPUT_SCALAR_7_WIDTH=32,C_INPUT_SCALAR_8_WIDTH=32,C_INPUT_SCALAR_9_WIDTH=32,C_INPUT_SCALAR_10_WIDTH=32,C_INPUT_SCALAR_11_WIDTH=32,C_INPUT_SCALAR_12_WIDTH=32,C_INPUT_SCALAR_13_WIDTH=32,C_INPUT_SCALAR_14_WIDTH=32,C_INPUT_SCALAR_15_WIDTH=32,C_OUTPUT_SCALAR_0_WIDTH=32,C_OUTPUT_SCALAR_1_WIDTH=32,C_OUTPUT_SCALAR_2_WIDTH=32,C_OUTPUT_SCALAR_3_WIDTH=32,C_OUTPUT_SCALAR_4_WIDTH=32,C_OUTPUT_SCALAR_5_WIDTH=32,C_OUTPUT_SCALAR_6_WIDTH=32,C_OUTPUT_SCALAR_7_WIDTH=32,C_OUTPUT_SCALAR_8_WIDTH=32,C_OUTPUT_SCALAR_9_WIDTH=32,C_OUTPUT_SCALAR_10_WIDTH=32,C_OUTPUT_SCALAR_11_WIDTH=32,C_OUTPUT_SCALAR_12_WIDTH=32,C_OUTPUT_SCALAR_13_WIDTH=32,C_OUTPUT_SCALAR_14_WIDTH=32,C_OUTPUT_SCALAR_15_WIDTH=32,C_N_OUTPUT_SCALARS=1,C_OUTPUT_SCALAR_DWIDTH=0x00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020,C_AP_OSCALAR_DIN_WIDTH=32,C_AP_OSCALAR_IO_DIN_WIDTH=32,C_ENABLE_STREAM_CLK=0,C_PRMRY_IS_ACLK_ASYNC=0,C_S_AXIS_HAS_TSTRB=0,C_S_AXIS_HAS_TKEEP=0,C_NONE=2}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s_axi_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s_axi_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF ap_start: SIGNAL IS "xilinx.com:interface:acc_handshake:1.0 AP_CTRL start";
ATTRIBUTE X_INTERFACE_INFO OF ap_ready: SIGNAL IS "xilinx.com:interface:acc_handshake:1.0 AP_CTRL ready";
ATTRIBUTE X_INTERFACE_INFO OF ap_done: SIGNAL IS "xilinx.com:interface:acc_handshake:1.0 AP_CTRL done";
ATTRIBUTE X_INTERFACE_INFO OF ap_continue: SIGNAL IS "xilinx.com:interface:acc_handshake:1.0 AP_CTRL continue";
ATTRIBUTE X_INTERFACE_INFO OF ap_idle: SIGNAL IS "xilinx.com:interface:acc_handshake:1.0 AP_CTRL idle";
ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
BEGIN
U0 : axis_accelerator_adapter
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ADDR_WIDTH => 13,
C_S_AXI_DATA_WIDTH => 32,
C_AP_ADAPTER_ID => 1,
C_N_INPUT_ARGS => 0,
C_N_OUTPUT_ARGS => 0,
C_S_AXIS_TDATA_WIDTH => 64,
C_S_AXIS_TUSER_WIDTH => 8,
C_S_AXIS_TID_WIDTH => 4,
C_S_AXIS_TDEST_WIDTH => 4,
C_AP_IARG_TYPE => X"0000000000000000000000000000000000000000000000000000000000000000",
C_AP_IARG_MB_DEPTH => X"0000000400000004000000040000000400000004000000040000000400000004",
C_AP_IARG_WIDTH => X"0000002000000020000000200000002000000020000000200000002000000020",
C_AP_IARG_N_DIM => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_IARG_DIM_1 => X"0000040000000400000004000000040000000400000004000000040000000400",
C_AP_IARG_DIM_2 => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_IARG_FORMAT_TYPE => X"0000000000000000000000000000000000000000000000000000000000000000",
C_AP_IARG_FORMAT_FACTOR => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_IARG_FORMAT_DIM => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_IARG_0_DWIDTH => 32,
C_AP_IARG_1_DWIDTH => 32,
C_AP_IARG_2_DWIDTH => 32,
C_AP_IARG_3_DWIDTH => 32,
C_AP_IARG_4_DWIDTH => 32,
C_AP_IARG_5_DWIDTH => 32,
C_AP_IARG_6_DWIDTH => 32,
C_AP_IARG_7_DWIDTH => 32,
C_M_AXIS_TDATA_WIDTH => 64,
C_M_AXIS_TUSER_WIDTH => 8,
C_M_AXIS_TID_WIDTH => 4,
C_M_AXIS_TDEST_WIDTH => 4,
C_AP_OARG_TYPE => X"0000000000000000000000000000000000000000000000000000000000000000",
C_AP_OARG_MB_DEPTH => X"0000000400000004000000040000000400000004000000040000000400000004",
C_AP_OARG_WIDTH => X"0000002000000020000000200000002000000020000000200000002000000020",
C_AP_OARG_N_DIM => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_OARG_DIM => X"0000000100000001000000010000040000000001000000010000000100000400000000010000000100000001000004000000000100000001000000010000040000000001000000010000000100000400000000010000000100000001000004000000000100000001000000010000080000000001000000010000000100000008",
C_AP_OARG_DIM_1 => X"0000040000000400000004000000040000000400000004000000040000000400",
C_AP_OARG_DIM_2 => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_OARG_FORMAT_TYPE => X"0000000000000000000000000000000000000000000000000000000000000000",
C_AP_OARG_FORMAT_FACTOR => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_OARG_FORMAT_DIM => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_OARG_0_DWIDTH => 32,
C_AP_OARG_1_DWIDTH => 32,
C_AP_OARG_2_DWIDTH => 32,
C_AP_OARG_3_DWIDTH => 32,
C_AP_OARG_4_DWIDTH => 32,
C_AP_OARG_5_DWIDTH => 32,
C_AP_OARG_6_DWIDTH => 32,
C_AP_OARG_7_DWIDTH => 32,
C_N_INOUT_SCALARS => 0,
C_N_INPUT_SCALARS => 3,
C_INPUT_SCALAR_DWIDTH => X"00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020",
C_INPUT_SCALAR_MODE => X"0000000000000000",
C_OUTPUT_SCALAR_MODE => X"0000000000000000",
C_AP_ISCALAR_DOUT_WIDTH => 96,
C_AP_ISCALAR_IO_DOUT_WIDTH => 32,
C_INPUT_SCALAR_0_WIDTH => 32,
C_INPUT_SCALAR_1_WIDTH => 32,
C_INPUT_SCALAR_2_WIDTH => 32,
C_INPUT_SCALAR_3_WIDTH => 32,
C_INPUT_SCALAR_4_WIDTH => 32,
C_INPUT_SCALAR_5_WIDTH => 32,
C_INPUT_SCALAR_6_WIDTH => 32,
C_INPUT_SCALAR_7_WIDTH => 32,
C_INPUT_SCALAR_8_WIDTH => 32,
C_INPUT_SCALAR_9_WIDTH => 32,
C_INPUT_SCALAR_10_WIDTH => 32,
C_INPUT_SCALAR_11_WIDTH => 32,
C_INPUT_SCALAR_12_WIDTH => 32,
C_INPUT_SCALAR_13_WIDTH => 32,
C_INPUT_SCALAR_14_WIDTH => 32,
C_INPUT_SCALAR_15_WIDTH => 32,
C_OUTPUT_SCALAR_0_WIDTH => 32,
C_OUTPUT_SCALAR_1_WIDTH => 32,
C_OUTPUT_SCALAR_2_WIDTH => 32,
C_OUTPUT_SCALAR_3_WIDTH => 32,
C_OUTPUT_SCALAR_4_WIDTH => 32,
C_OUTPUT_SCALAR_5_WIDTH => 32,
C_OUTPUT_SCALAR_6_WIDTH => 32,
C_OUTPUT_SCALAR_7_WIDTH => 32,
C_OUTPUT_SCALAR_8_WIDTH => 32,
C_OUTPUT_SCALAR_9_WIDTH => 32,
C_OUTPUT_SCALAR_10_WIDTH => 32,
C_OUTPUT_SCALAR_11_WIDTH => 32,
C_OUTPUT_SCALAR_12_WIDTH => 32,
C_OUTPUT_SCALAR_13_WIDTH => 32,
C_OUTPUT_SCALAR_14_WIDTH => 32,
C_OUTPUT_SCALAR_15_WIDTH => 32,
C_N_OUTPUT_SCALARS => 1,
C_OUTPUT_SCALAR_DWIDTH => X"00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020",
C_AP_OSCALAR_DIN_WIDTH => 32,
C_AP_OSCALAR_IO_DIN_WIDTH => 32,
C_ENABLE_STREAM_CLK => 0,
C_PRMRY_IS_ACLK_ASYNC => 0,
C_S_AXIS_HAS_TSTRB => 0,
C_S_AXIS_HAS_TKEEP => 0,
C_NONE => 2
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
s_axis_aclk => '0',
s_axis_aresetn => '0',
s_axis_0_aclk => '0',
s_axis_0_aresetn => '0',
s_axis_0_tvalid => '0',
s_axis_0_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_0_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_0_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_0_tlast => '0',
s_axis_0_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_0_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_0_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_1_aclk => '0',
s_axis_1_aresetn => '0',
s_axis_1_tvalid => '0',
s_axis_1_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_1_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_1_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_1_tlast => '0',
s_axis_1_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_1_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_1_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_2_aclk => '0',
s_axis_2_aresetn => '0',
s_axis_2_tvalid => '0',
s_axis_2_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_2_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_2_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_2_tlast => '0',
s_axis_2_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_2_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_2_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_3_aclk => '0',
s_axis_3_aresetn => '0',
s_axis_3_tvalid => '0',
s_axis_3_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_3_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_3_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_3_tlast => '0',
s_axis_3_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_3_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_3_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_4_aclk => '0',
s_axis_4_aresetn => '0',
s_axis_4_tvalid => '0',
s_axis_4_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_4_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_4_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_4_tlast => '0',
s_axis_4_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_4_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_4_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_5_aclk => '0',
s_axis_5_aresetn => '0',
s_axis_5_tvalid => '0',
s_axis_5_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_5_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_5_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_5_tlast => '0',
s_axis_5_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_5_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_5_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_6_aclk => '0',
s_axis_6_aresetn => '0',
s_axis_6_tvalid => '0',
s_axis_6_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_6_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_6_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_6_tlast => '0',
s_axis_6_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_6_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_6_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_7_aclk => '0',
s_axis_7_aresetn => '0',
s_axis_7_tvalid => '0',
s_axis_7_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_7_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_7_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_7_tlast => '0',
s_axis_7_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_7_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_7_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
ap_iarg_0_clk => '0',
ap_iarg_0_rst => '0',
ap_iarg_0_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_0_ce => '0',
ap_iarg_0_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_0_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_1_clk => '0',
ap_iarg_1_rst => '0',
ap_iarg_1_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_1_ce => '0',
ap_iarg_1_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_1_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_2_clk => '0',
ap_iarg_2_rst => '0',
ap_iarg_2_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_2_ce => '0',
ap_iarg_2_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_2_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_3_clk => '0',
ap_iarg_3_rst => '0',
ap_iarg_3_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_3_ce => '0',
ap_iarg_3_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_3_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_4_clk => '0',
ap_iarg_4_rst => '0',
ap_iarg_4_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_4_ce => '0',
ap_iarg_4_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_4_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_5_clk => '0',
ap_iarg_5_rst => '0',
ap_iarg_5_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_5_ce => '0',
ap_iarg_5_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_5_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_6_clk => '0',
ap_iarg_6_rst => '0',
ap_iarg_6_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_6_ce => '0',
ap_iarg_6_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_6_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_7_clk => '0',
ap_iarg_7_rst => '0',
ap_iarg_7_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_7_ce => '0',
ap_iarg_7_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_7_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_iarg_0_read => '0',
ap_fifo_iarg_1_read => '0',
ap_fifo_iarg_2_read => '0',
ap_fifo_iarg_3_read => '0',
ap_fifo_iarg_4_read => '0',
ap_fifo_iarg_5_read => '0',
ap_fifo_iarg_6_read => '0',
ap_fifo_iarg_7_read => '0',
m_axis_aclk => '0',
m_axis_aresetn => '0',
m_axis_0_aclk => '0',
m_axis_0_aresetn => '0',
m_axis_0_tready => '0',
m_axis_1_aclk => '0',
m_axis_1_aresetn => '0',
m_axis_1_tready => '0',
m_axis_2_aclk => '0',
m_axis_2_aresetn => '0',
m_axis_2_tready => '0',
m_axis_3_aclk => '0',
m_axis_3_aresetn => '0',
m_axis_3_tready => '0',
m_axis_4_aclk => '0',
m_axis_4_aresetn => '0',
m_axis_4_tready => '0',
m_axis_5_aclk => '0',
m_axis_5_aresetn => '0',
m_axis_5_tready => '0',
m_axis_6_aclk => '0',
m_axis_6_aresetn => '0',
m_axis_6_tready => '0',
m_axis_7_aclk => '0',
m_axis_7_aresetn => '0',
m_axis_7_tready => '0',
ap_oarg_0_clk => '0',
ap_oarg_0_rst => '0',
ap_oarg_0_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_0_ce => '0',
ap_oarg_0_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_0_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_1_clk => '0',
ap_oarg_1_rst => '0',
ap_oarg_1_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_1_ce => '0',
ap_oarg_1_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_1_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_2_clk => '0',
ap_oarg_2_rst => '0',
ap_oarg_2_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_2_ce => '0',
ap_oarg_2_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_2_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_3_clk => '0',
ap_oarg_3_rst => '0',
ap_oarg_3_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_3_ce => '0',
ap_oarg_3_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_3_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_4_clk => '0',
ap_oarg_4_rst => '0',
ap_oarg_4_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_4_ce => '0',
ap_oarg_4_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_4_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_5_clk => '0',
ap_oarg_5_rst => '0',
ap_oarg_5_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_5_ce => '0',
ap_oarg_5_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_5_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_6_clk => '0',
ap_oarg_6_rst => '0',
ap_oarg_6_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_6_ce => '0',
ap_oarg_6_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_6_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_7_clk => '0',
ap_oarg_7_rst => '0',
ap_oarg_7_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_7_ce => '0',
ap_oarg_7_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_7_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_0_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_0_write => '0',
ap_fifo_oarg_1_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_1_write => '0',
ap_fifo_oarg_2_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_2_write => '0',
ap_fifo_oarg_3_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_3_write => '0',
ap_fifo_oarg_4_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_4_write => '0',
ap_fifo_oarg_5_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_5_write => '0',
ap_fifo_oarg_6_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_6_write => '0',
ap_fifo_oarg_7_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_7_write => '0',
aclk => aclk,
aresetn => aresetn,
ap_start => ap_start,
ap_ready => ap_ready,
ap_done => ap_done,
ap_continue => ap_continue,
ap_idle => ap_idle,
ap_iscalar_0_dout => ap_iscalar_0_dout,
ap_iscalar_1_dout => ap_iscalar_1_dout,
ap_iscalar_2_dout => ap_iscalar_2_dout,
ap_oscalar_0_din => ap_oscalar_0_din,
ap_oscalar_1_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_2_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_3_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_4_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_5_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_6_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_7_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_8_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_9_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_10_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_11_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_12_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_13_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_14_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_15_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_0_vld => '0',
ap_oscalar_1_vld => '0',
ap_oscalar_2_vld => '0',
ap_oscalar_3_vld => '0',
ap_oscalar_4_vld => '0',
ap_oscalar_5_vld => '0',
ap_oscalar_6_vld => '0',
ap_oscalar_7_vld => '0',
ap_oscalar_8_vld => '0',
ap_oscalar_9_vld => '0',
ap_oscalar_10_vld => '0',
ap_oscalar_11_vld => '0',
ap_oscalar_12_vld => '0',
ap_oscalar_13_vld => '0',
ap_oscalar_14_vld => '0',
ap_oscalar_15_vld => '0',
ap_iscalar_0_ack => '0',
ap_iscalar_1_ack => '0',
ap_iscalar_2_ack => '0',
ap_iscalar_3_ack => '0',
ap_iscalar_4_ack => '0',
ap_iscalar_5_ack => '0',
ap_iscalar_6_ack => '0',
ap_iscalar_7_ack => '0',
ap_iscalar_8_ack => '0',
ap_iscalar_9_ack => '0',
ap_iscalar_10_ack => '0',
ap_iscalar_11_ack => '0',
ap_iscalar_12_ack => '0',
ap_iscalar_13_ack => '0',
ap_iscalar_14_ack => '0',
ap_iscalar_15_ack => '0',
interrupt => interrupt
);
END zc702_set_0_if_0_arch;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library std;
entity gaussian_slave is
generic (
CLK_PROC_FREQ : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
---------------- dynamic parameters ports ---------------
status_reg_enable_bit : out std_logic;
widthimg_reg_width : out std_logic_vector(15 downto 0);
--======================= Slaves ========================
------------------------- bus_sl ------------------------
addr_rel_i : in std_logic_vector(3 downto 0);
wr_i : in std_logic;
rd_i : in std_logic;
datawr_i : in std_logic_vector(31 downto 0);
datard_o : out std_logic_vector(31 downto 0)
);
end gaussian_slave;
architecture rtl of gaussian_slave is
-- Registers address
constant STATUS_REG_REG_ADDR : natural := 0;
constant WIDTHIMG_REG_REG_ADDR : natural := 1;
-- Internal registers
signal status_reg_enable_bit_reg : std_logic;
signal widthimg_reg_width_reg : std_logic_vector (15 downto 0);
begin
write_reg : process (clk_proc, reset_n)
begin
if(reset_n='0') then
status_reg_enable_bit_reg <= '0';
widthimg_reg_width_reg <= "0000000000000000";
elsif(rising_edge(clk_proc)) then
if(wr_i='1') then
case addr_rel_i is
when std_logic_vector(to_unsigned(STATUS_REG_REG_ADDR, 4))=>
status_reg_enable_bit_reg <= datawr_i(0);
when std_logic_vector(to_unsigned(WIDTHIMG_REG_REG_ADDR, 4))=>
widthimg_reg_width_reg <= datawr_i(15) & datawr_i(14) & datawr_i(13) & datawr_i(12) & datawr_i(11) & datawr_i(10) & datawr_i(9) & datawr_i(8) & datawr_i(7) & datawr_i(6) & datawr_i(5) & datawr_i(4) & datawr_i(3) & datawr_i(2) & datawr_i(1) & datawr_i(0);
when others=>
end case;
end if;
end if;
end process;
read_reg : process (clk_proc, reset_n)
begin
if(reset_n='0') then
datard_o <= (others => '0');
elsif(rising_edge(clk_proc)) then
if(rd_i='1') then
case addr_rel_i is
when std_logic_vector(to_unsigned(STATUS_REG_REG_ADDR, 4))=>
datard_o <= "0000000000000000000000000000000" & status_reg_enable_bit_reg;
when std_logic_vector(to_unsigned(WIDTHIMG_REG_REG_ADDR, 4))=>
datard_o <= "0000000000000000" & widthimg_reg_width_reg(15) & widthimg_reg_width_reg(14) & widthimg_reg_width_reg(13) & widthimg_reg_width_reg(12) & widthimg_reg_width_reg(11) & widthimg_reg_width_reg(10) & widthimg_reg_width_reg(9) & widthimg_reg_width_reg(8) & widthimg_reg_width_reg(7) & widthimg_reg_width_reg(6) & widthimg_reg_width_reg(5) & widthimg_reg_width_reg(4) & widthimg_reg_width_reg(3) & widthimg_reg_width_reg(2) & widthimg_reg_width_reg(1) & widthimg_reg_width_reg(0);
when others=>
datard_o <= (others => '0');
end case;
end if;
end if;
end process;
status_reg_enable_bit <= status_reg_enable_bit_reg;
widthimg_reg_width <= widthimg_reg_width_reg;
end rtl;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity add_483 is
port (
result : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end add_483;
architecture augh of add_483 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '1' + CI
-- If CI is not present, the operation is '1' + '0'
carry_inA <= '0' & in_a & '1';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB));
-- Set the outputs
result <= carry_res(32 downto 1);
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity add_483 is
port (
result : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end add_483;
architecture augh of add_483 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '1' + CI
-- If CI is not present, the operation is '1' + '0'
carry_inA <= '0' & in_a & '1';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB));
-- Set the outputs
result <= carry_res(32 downto 1);
end architecture;
|
-- -----------------------------------------------------------------------
--
-- Company: INVEA-TECH a.s.
--
-- Project: IPFIX design
--
-- -----------------------------------------------------------------------
--
-- (c) Copyright 2011 INVEA-TECH a.s.
-- All rights reserved.
--
-- Please review the terms of the license agreement before using this
-- file. If you are not an authorized user, please destroy this
-- source code file and notify INVEA-TECH a.s. immediately that you
-- inadvertently received an unauthorized copy.
--
-- -----------------------------------------------------------------------
--
-- pseudorand_length_gen.vhd : LFSR based pseudorandom generator module
-- Copyright (C) 2009 CESNET
-- Author(s): Pavol Korcek <[email protected]>
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
-- 3. Neither the name of the Company nor the names of its contributors
-- may be used to endorse or promote products derived from this
-- software without specific prior written permission.
--
-- This software is provided ``as is'', and any express or implied
-- warranties, including, but not limited to, the implied warranties of
-- merchantability and fitness for a particular purpose are disclaimed.
-- In no event shall the company or contributors be liable for any
-- direct, indirect, incidental, special, exemplary, or consequential
-- damages (including, but not limited to, procurement of substitute
-- goods or services; loss of use, data, or profits; or business
-- interruption) however caused and on any theory of liability, whether
-- in contract, strict liability, or tort (including negligence or
-- otherwise) arising in any way out of the use of this software, even
-- if advised of the possibility of such damage.
--
-- $Id: pseudorand_length_gen.vhd 12090 2009-11-24 13:57:11Z korcek $
--
library ieee;
use ieee.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use work.lfsr_pkg.all;
-- ----------------------------------------------------------------------------
-- Entity declaration
-- ----------------------------------------------------------------------------
entity pseudorand_length_gen is
generic (
TAPS_1024 : LFSR_TAPS :=(10, 7);
TAPS_256 : LFSR_TAPS :=(8,6,5,4);
TAPS_128 : LFSR_TAPS :=(7,6);
TAPS_64 : LFSR_TAPS :=(6,5)
);
port (
RESET : in std_logic; -- reset
CLK : in std_logic; -- clock signal
S_EN : in std_logic; -- shift enable
F_EN : in std_logic; -- fill enable
DIN : in std_logic_vector(10 downto 0); -- seed
DOUT : out std_logic_vector(10 downto 0) -- data out
);
end entity pseudorand_length_gen;
-- ----------------------------------------------------------------------------
-- Architecture declaration
-- ----------------------------------------------------------------------------
architecture beh of pseudorand_length_gen is
-- pseudorandom output registers
signal reg_1024 : std_logic_vector(9 downto 0); -- 0 - 1023
signal reg_256 : std_logic_vector(7 downto 0); -- 0 - 255
signal reg_1024_and_256 : std_logic_vector(10 downto 0); -- 0 - 1278
signal reg_128 : std_logic_vector(6 downto 0); -- 0 - 127
signal reg_64 : std_logic_vector(5 downto 0); -- 0 - 63
signal reg_128_and_64 : std_logic_vector(7 downto 0); -- 0 - 190
signal reg_last : std_logic_vector(10 downto 0); -- 0 - 1468
signal reg_add : std_logic_vector(10 downto 0); -- 64 - 1532
-- init vectors
signal init_1024 : std_logic_vector(9 downto 0);
signal init_256 : std_logic_vector(7 downto 0);
signal init_128 : std_logic_vector(6 downto 0);
signal init_64 : std_logic_vector(5 downto 0);
begin
-- -------------------------------------------------------------------------
inst1024_u: entity work.lfsr_parallel
generic map(
LFSR_LENGTH => 10,
TAPS => TAPS_1024
)
port map(
CLK => CLK,
S_EN => S_EN,
F_EN => F_EN,
DIN => init_1024,
DOUT => reg_1024
);
init_1024 <= DIN(0) & DIN(2) & DIN(9) & DIN(8) & DIN(0) & DIN(1) & DIN(7) & DIN(5) & DIN(3) & DIN(3);
-- -------------------------------------------------------------------------
inst256_u: entity work.lfsr_parallel
generic map(
LFSR_LENGTH => 8,
TAPS => TAPS_256
)
port map(
CLK => CLK,
S_EN => S_EN,
F_EN => F_EN,
DIN => init_256,
DOUT => reg_256
);
init_256 <= DIN(7) & DIN(7) & DIN(6) & DIN(5) & DIN(1) & DIN(2) & DIN(2) & DIN(4);
-- -------------------------------------------------------------------------
inst128_u: entity work.lfsr_parallel
generic map(
LFSR_LENGTH => 7,
TAPS => TAPS_128
)
port map(
CLK => CLK,
S_EN => S_EN,
F_EN => F_EN,
DIN => init_128,
DOUT => reg_128
);
init_128 <= DIN(6) & DIN(2) & DIN(3) & DIN(3) & DIN(3) & DIN(5) & DIN(9);
-- -------------------------------------------------------------------------
inst64_u: entity work.lfsr_parallel
generic map(
LFSR_LENGTH => 6,
TAPS => TAPS_64
)
port map(
CLK => CLK,
S_EN => S_EN,
F_EN => F_EN,
DIN => init_64,
DOUT => reg_64
);
init_64 <= DIN(7) & DIN(8) & DIN(9) & DIN(9) & DIN(0) & DIN(4);
-- register ------------------------------------------------------
reg_1024_and_256p: process(RESET, CLK)
begin
if(CLK'event and CLK = '1') then
if (RESET = '1') then
reg_1024_and_256 <= (others => '1');
elsif (S_EN = '1' OR F_EN = '1') then
reg_1024_and_256 <= ( '0' & reg_1024) + ( "000" & reg_256);
end if;
end if;
end process;
-- register ------------------------------------------------------
reg_128_and_64p: process(RESET, CLK)
begin
if(CLK'event and CLK = '1') then
if (RESET = '1') then
reg_128_and_64 <= (others => '1');
elsif (S_EN = '1' OR F_EN = '1') then
reg_128_and_64 <= ( '0' & reg_128) + ( "00" & reg_64);
end if;
end if;
end process;
-- register ------------------------------------------------------
reg_lastp: process(RESET, CLK)
begin
if(CLK'event and CLK = '1') then
if (RESET = '1') then
reg_last <= (others => '0');
elsif (S_EN = '1' OR F_EN = '1') then
reg_last <= (reg_1024_and_256) + ( "000" & reg_128_and_64);
end if;
end if;
end process;
-- register ------------------------------------------------------
reg_add_64p: process(RESET, CLK)
begin
if(CLK'event and CLK = '1') then
if (RESET = '1') then
reg_add <= (others => '0');
elsif (S_EN = '1' OR F_EN = '1') then
reg_add <= reg_last + conv_std_logic_vector(56, reg_last'length);
end if;
end if;
end process;
DOUT <= reg_add;
end architecture beh;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity mul_int1 is
port (in1: in std_logic_vector(23 downto 0);
in2: in std_logic_vector(23 downto 0);
clk,rst: in std_logic;
done:out std_logic;
res: out std_logic_vector(47 downto 0):=(others=>'0')
);
end mul_int1;
architecture arch_mul_int_1 of mul_int1 is
component shifter
port (in1: in std_logic_vector(23 downto 0);
in2: in unsigned(4 downto 0);
clk,rst: in std_logic;
res: out std_logic_vector (47 downto 0)
);
end component;
type RAM is array (23 downto 0) of std_logic_vector(47 downto 0);
signal out_shifters: RAM;
signal out_mux: RAM;
signal r:std_logic_vector(47 downto 0 ):=(others=>'0');
signal r1:unsigned(47 downto 0 ):=(others=>'0');
signal r2:unsigned(47 downto 0 ):=(others=>'0');
signal r3:unsigned(47 downto 0 ):=(others=>'0');
signal r4:unsigned(47 downto 0 ):=(others=>'0');
signal r5:unsigned(47 downto 0 ):=(others=>'0');
signal r6:unsigned(47 downto 0 ):=(others=>'0');
signal r7:unsigned(47 downto 0 ):=(others=>'0');
signal r8:unsigned(47 downto 0 ):=(others=>'0');
signal done1:std_logic:='0';
begin
res<=r;
r1<=unsigned(out_mux(0))+unsigned(out_mux(1))+unsigned(out_mux(2))+unsigned(out_mux(3));
r2<=unsigned(out_mux(4))+unsigned(out_mux(5))+unsigned(out_mux(6))+unsigned(out_mux(7));
r3<=unsigned(out_mux(8))+unsigned(out_mux(9))+unsigned(out_mux(10))+unsigned(out_mux(11));
r4<=unsigned(out_mux(12))+unsigned(out_mux(13))+unsigned(out_mux(14))+unsigned(out_mux(15));
r5<=unsigned(out_mux(16))+unsigned(out_mux(17))+unsigned(out_mux(18))+unsigned(out_mux(19));
r6<=unsigned(out_mux(20))+unsigned(out_mux(21))+unsigned(out_mux(22))+unsigned(out_mux(23));
r7<=r1+r2+r3;
r8<=r4+r5+r6;
SH1 : for N in 23 downto 0 generate
shifter_array : shifter
port map (in1=>in2,in2=>to_unsigned(N,5),clk=>clk,rst=>rst,res=>out_shifters(N));
end generate SH1;
MS : for M in 23 downto 0 generate
with in1(M) select
out_mux(M)<=out_shifters(M) when '1',
(others=>'0') when others;
end generate MS;
syncrho:process (clk, rst)
begin
if rst='0' then
r <= (others => '0');
done1<='0';
done<='0';
else
if (rising_edge(clk)) then
done1<='1';
done<=done1;
if (in1="100000000000000000000000" or in2="100000000000000000000000")then
r<=(others => '0');
else
r<=std_logic_vector(r7+r8);
end if;
end if;
end if;
end process;
end arch_mul_int_1;
|
-- Copyright 2017 Google Inc.
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- This implements a fast serial port with help from an AVR. It also includes
-- some code from spi_sd_card.vhd to support MMFS (bit-banged SD card interface)
-- and UPURS (bit-banged serial)
entity serial_sd_adapter is
Port (
-- Pins that connect to the Electron bus
elk_D : inout std_logic_vector(7 downto 0);
elk_nINFC : in std_logic;
elk_A7 : in std_logic;
elk_A6 : in std_logic;
elk_A5 : in std_logic;
elk_A4 : in std_logic;
elk_A2 : in std_logic;
elk_A1 : in std_logic;
elk_A0 : in std_logic;
elk_nRST : in std_logic;
elk_RnW : in std_logic;
elk_PHI0 : in std_logic;
-- Pins that would normally connect to the Raspberry Pi,
-- but are repurposed here for SPI to the AVR, SPI to the
-- SD card, and serial for UPURS.
-- AVR interface: MISO, MOSI, SCK, /SS, INT.
-- The first four are a standard SPI port, with the AVR as
-- controller and CPLD as peripheral. INT is an output from the CPLD
-- that goes high when we have a byte to send to the AVR.
--
-- Future plans:
--
-- * Add a clock line so the AVR can provide a 2 or 4MHz clock
-- to the CPLD. 4M/35 = 114.285kHz, which is probably within
-- UPURS's acceptable range. See below.
-- SD interface: MISO, MOSI, SCK, /SS
-- UPURS interface: RXD, TXD
-- This mostly works for the UPURS suite of tools when attached
-- to an AVR running upurs_usb_port.ino (in this repo), but
-- is unreliable against HostFS:UPURS. An interesting experiment
-- might be to handle the serial port in the CPLD (with the AVR
-- providing a 2MHz or 4MHz clock for timing).
-- We could use the AVR's 64MHz PLL clock divided by 139, which
-- would give us 115108 Hz * 4, i.e. just about perfect to drive
-- the UART. OC4A and /OC4A are PC6 (D5) and PC7 (D13). See
-- comments in serial_sd_mcu.ino for more detail.
-- (Alternatively we can provide the entire serial port, ignore
-- the rate entirely, and just clock a shift register when we get
-- a read or write, but that wouldn't work with unmodified UPURS.)
-- Pins without comments below are unused.
tube_A0 : out std_logic; -- avr MISO
tube_A1 : out std_logic; -- serial TXD
tube_A2 : in std_logic; -- serial RXD
tube_D : inout std_logic_vector(7 downto 0);
-- D0 = sd MISO
-- D1 = avr /SD_SS
-- D2 = avr /SS = /SD_SEL
-- D3
-- D4 = avr SCK
-- D5 = sd /SS
-- D6 = sd MOSI
-- D7 = sd SCK
tube_nRST : out std_logic; -- serial RTS
tube_nTUBE : out std_logic; -- avr INT (1 when we want attention from the AVR)
tube_RnW : in std_logic; -- avr MOSI
tube_PHI0 : in std_logic
);
end serial_sd_adapter;
architecture Behavioural of serial_sd_adapter is
---- Globals ----
signal A_lower : std_logic_vector(7 downto 0);
---- Fast SPI port (peripheral, for AVR) ----
signal avr_MOSI : std_logic; -- input from AVR
signal avr_MISO : std_logic; -- output to AVR
signal avr_SCK : std_logic; -- input from AVR
signal avr_nSS : std_logic; -- input from AVR
signal avr_INT : std_logic; -- output to AVR
signal avr_nSD_SEL : std_logic; -- input from AVR
signal nAVR_SPI : std_logic; -- '0' when A = &FCA0;
signal nAVR_SPI_STATUS : std_logic; -- '0' when A = &FCA1;
-- we use a toggle synchronizer to know if the buffer is full or empty.
-- RECEPTION FROM AVR TO CPLD+ELK:
-- on the avr side, it's safe to receive a byte if avr_RXD_state = elk_RXD_state_sync
-- on the elk side, it's safe to read a byte if elk_RXD_state != avr_RXD_state_sync
-- we just use a single flip flop to synchronize in each case, because there's always
-- a longish settling time.
-- TRANSMISSION FROM ELK+CPLD TO AVR:
-- it's safe to accept a byte from the elk for transmission if elk_TXD_state == avr_TXD_state_sync
-- it's safe to transmit a byte to the avr if avr_TXD_state != elk_TXD_state_sync
signal avr_RXD_state : std_logic := '0'; -- toggles whenever the CPLD receives a byte from the AVR
signal avr_RXD_state_sync : std_logic := '0'; -- avr_RXD_state synchronized to elk_PHI0
signal elk_RXD_state : std_logic := '0'; -- toggles when the elk reads a byte
signal elk_RXD_state_sync : std_logic := '0'; -- elk_RXD_state synchronized to avr_SCK
signal avr_TXD_state : std_logic := '0'; -- toggles whenever the CPLD sends a byte to the AVR
signal avr_TXD_state_sync : std_logic := '0'; -- avr_TXD_state synchronized to elk_PHI0
signal elk_TXD_state : std_logic := '0'; -- toggles when the elk writes a byte
signal elk_TXD_state_sync : std_logic := '0'; -- elk_TXD_state synchronized to avr_SCK
signal avr_RXD : std_logic_vector(7 downto 0); -- byte received from AVR
signal avr_TXD : std_logic_vector(7 downto 0); -- next byte to transmit / being transmitted to AVR
-- signals used during an SPI transaction
signal avr_spi_SHIFT : std_logic_vector(7 downto 0); -- SPI shift register
signal avr_spi_bit_count : std_logic_vector(3 downto 0); -- SPI bit counter for transfers
signal avr_spi_receiving : std_logic := '0'; -- copy bits into avr_RXD and toggle avr_RXD_state when done
signal avr_spi_transmitting : std_logic := '0'; -- toggle avr_TXD_state when done
---- Serial port ----
signal TXD : std_logic := '1'; -- output from CPLD/Electron
signal RXD : std_logic; -- input to CPLD/Electron
signal RTS : std_logic := '1'; -- request for data from PC
signal CTS : std_logic; -- PC is allowing us to send
signal invert_serial : std_logic := '0'; -- invert serial port for UPURS
-- chip selects
signal nSERIAL_IO : std_logic; -- '0' when A = &FCB1
---- SPI (controller, for SD card) ---
signal MOSI : std_logic := '1';
signal MISO : std_logic;
signal SCK : std_logic := '1';
signal nSS : std_logic := '0';
---- Plus 1 workalike registers ----
-- chip selects
signal nDATA : std_logic; -- '0' when A = &FC71
signal nSTATUS : std_logic; -- '0' when A = &FC72
begin
-- mappings to actual pins
avr_MOSI <= tube_RnW;
tube_A0 <= 'Z' when avr_nSS = '1' else
MISO when avr_nSD_SEL = '0' else
avr_MISO;
avr_SCK <= tube_D(4);
avr_nSS <= tube_D(2);
avr_nSD_SEL <= tube_D(2);
tube_nTUBE <= avr_INT;
tube_D(5) <= avr_nSS when avr_nSD_SEL = '0' else nSS;
tube_D(6) <= avr_MOSI when avr_nSD_SEL = '0' else MOSI;
tube_D(7) <= avr_SCK when avr_nSD_SEL = '0' else SCK;
MISO <= tube_D(0);
tube_A1 <= TXD; -- tx output
RXD <= tube_A2; -- rx input
tube_nRST <= RTS; -- permit remote station to send when RTS=1
CTS <= '1'; -- assume we can always send to the remote station
-- address comparison convenience (note missing A3 in elk_pi_tube_direct r1)
A_lower <= elk_A7 & elk_A6 & elk_A5 & elk_A4 & '0' & elk_A2 & elk_A1 & elk_A0;
---- Fast SPI peripheral for AVR ---
nAVR_SPI <= '0' when (elk_nINFC = '0' and A_lower = x"A0") else '1';
nAVR_SPI_STATUS <= '0' when (elk_nINFC = '0' and A_lower = x"A1") else '1';
avr_INT <= '1' when (elk_TXD_state /= avr_TXD_state_sync) else '0';
---- Bit-banged serial port for UPURS ---
nSERIAL_IO <= '0' when (elk_nINFC = '0' and A_lower = x"B1") else '1';
---- Plus 1 parallel port emulation ----
nDATA <= '0' when (elk_nINFC = '0' and A_lower = x"71") else '1';
nSTATUS <= '0' when (elk_nINFC = '0' and A_lower = x"72") else '1';
---- Data bus ----
elk_D <=
-- AVR SPI data
avr_RXD when (nAVR_SPI = '0' and elk_RnW = '1') else
-- AVR SPI status
"000000" & (elk_TXD_state xnor avr_TXD_state_sync) & (elk_RXD_state xor avr_RXD_state_sync)
when (nAVR_SPI_STATUS = '0' and elk_RnW = '1') else
-- Serial port
(RXD xor invert_serial) & "11111" & CTS & "1" when (nSERIAL_IO = '0' and elk_RnW = '1') else
-- Plus 1 parallel port
MISO & "0000000" when (nSTATUS = '0' and elk_RnW = '1') else
-- default
"ZZZZZZZZ";
-- AVR SPI clock domain
process (avr_nSS, avr_SCK)
begin
-- RISING EDGE of avr_SCK: read avr_MOSI
if avr_nSS = '1' then
-- asynchronous reset (must not happen on an avr_SCK edge)
avr_spi_bit_count <= x"0";
elsif rising_edge(avr_SCK) then
-- increment the count each time
avr_spi_bit_count <= std_logic_vector(unsigned(avr_spi_bit_count) + 1);
-- clock in a bit, depending on avr_spi_bit_count
if avr_spi_bit_count = x"0" then
-- synchronize elk_RXD_state and elk_TXD_state
elk_RXD_state_sync <= elk_RXD_state;
elk_TXD_state_sync <= elk_TXD_state;
elsif avr_spi_bit_count = x"6" then
-- SPI is big-endian, so we want to ignore incoming bits 0-5.
-- bit 6 (1) tells us if the remote wants to send a byte
avr_spi_receiving <= (
avr_MOSI -- '1' if the remote has a byte for us
and (avr_RXD_state xnor elk_RXD_state_sync) -- '1' if we have room in our buffer
);
elsif avr_spi_bit_count = x"7" then
-- bit 7 (0) tells us if the remote is capable of receiving a byte
avr_spi_transmitting <= (
avr_MOSI -- '1' if the remote has buffer space
and (avr_TXD_state xor elk_TXD_state_sync) -- '1' if we have a byte to transmit
);
-- copy avr_TXD into the shift register if it's safe
if avr_TXD_state /= elk_TXD_state_sync then
avr_spi_SHIFT <= avr_TXD;
end if;
elsif avr_spi_bit_count(3) = '1' then
-- clock in a bit if we have buffer space
avr_spi_SHIFT <= avr_spi_SHIFT(6 downto 0) & avr_MOSI;
if avr_spi_bit_count = x"F" then
if avr_spi_receiving = '1' then
avr_RXD_state <= not avr_RXD_state;
avr_RXD <= avr_spi_SHIFT(6 downto 0) & avr_MOSI;
end if;
if avr_spi_transmitting = '1' then
avr_TXD_state <= not avr_TXD_state;
end if;
end if;
end if;
end if;
-- FALLING EDGE of avr_SCK: write avr_MISO
if avr_nSS = '1' then
elsif falling_edge(avr_SCK) then
-- We always update MISO on an avr_SCK falling edge.
if avr_spi_bit_count = x"6" then
-- '1' if we have a byte to send to the AVR
avr_MISO <= avr_TXD_state xor elk_TXD_state_sync;
elsif avr_spi_bit_count = x"7" then
-- '1' if we can accept a byte from the AVR
avr_MISO <= avr_RXD_state xnor elk_RXD_state_sync;
elsif avr_spi_bit_count(3) = '1' then
avr_MISO <= avr_spi_SHIFT(7);
end if;
end if;
end process;
-- Electron clock domain
process (elk_PHI0)
begin
if falling_edge(elk_PHI0) then
-- AVR SPI registers
avr_RXD_state_sync <= avr_RXD_state;
avr_TXD_state_sync <= avr_TXD_state;
if nAVR_SPI = '0' and elk_RnW = '0' and elk_TXD_state = avr_TXD_state_sync then
-- we're writing to the TXD register
avr_TXD <= elk_D;
elk_TXD_state <= not elk_TXD_state;
end if;
if nAVR_SPI = '0' and elk_RnW = '1' and elk_RXD_state /= avr_RXD_state_sync then
-- the electron just read avr_RXD
elk_RXD_state <= not elk_RXD_state;
end if;
if nAVR_SPI_STATUS = '0' and elk_RnW = '0' then
-- we never write to the status register
end if;
-- Serial port: Electron is writing RTS and TXD bits
if nSERIAL_IO = '0' and elk_RnW = '0' then
RTS <= elk_D(6);
TXD <= elk_D(0) xor invert_serial;
end if;
-- Bit-banged SPI
if nDATA = '0' and elk_RnW = '0' then
-- handle write to &FC71
MOSI <= elk_D(0);
SCK <= elk_D(1);
end if;
end if;
end process;
end Behavioural;
|
-- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2014 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.pp_types.all;
use work.pp_utilities.all;
--! @brief 32-bit RISC-V register file.
entity pp_register_file is
port(
clk : in std_logic;
-- Read port 1:
rs1_addr : in register_address;
rs1_data : out std_logic_vector(31 downto 0);
-- Read port 2:
rs2_addr : in register_address;
rs2_data : out std_logic_vector(31 downto 0);
-- Write port:
rd_addr : in register_address;
rd_data : in std_logic_vector(31 downto 0);
rd_write : in std_logic
);
end entity pp_register_file;
architecture behaviour of pp_register_file is
--! Register array type.
type regfile_array is array(0 to 31) of std_logic_vector(31 downto 0);
begin
regfile: process(clk)
variable registers : regfile_array := (others => (others => '0'));
begin
if rising_edge(clk) then
if rd_write = '1' and rd_addr /= b"00000" then
registers(to_integer(unsigned(rd_addr))) := rd_data;
end if;
rs1_data <= registers(to_integer(unsigned(rs1_addr)));
rs2_data <= registers(to_integer(unsigned(rs2_addr)));
end if;
end process regfile;
end architecture behaviour;
|
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library hwti_common_v1_00_a;
use hwti_common_v1_00_a.common.all;
entity command is
generic
(
MTX_BITS : natural := 6;
TID_BITS : natural := 8;
CMD_BITS : natural := 3;
MTX_BASE : std_logic_vector := x"75000000";
CDV_BASE : std_logic_vector := x"74000000";
SCH_BASE : std_logic_vector := x"61000000";
MNG_BASE : std_logic_vector := x"60000000";
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 64
);
port
(
clk : in std_logic;
rst : in std_logic;
tid : in std_logic_vector(0 to TID_BITS-1);
arg : in std_logic_vector(0 to 31);
opcode_read : out std_logic;
opcode_data : in std_logic_vector(0 to 63);
opcode_control : in std_logic;
opcode_exists : in std_logic;
result_write : out std_logic;
result_data : out std_logic_vector(0 to 63);
result_control : out std_logic;
result_full : in std_logic;
memrd : in std_logic;
memwr : in std_logic;
memrdack : out std_logic;
memwrack : out std_logic;
command : in std_logic_vector(0 to 3);
status : in std_logic_vector(0 to 7);
setsta : out std_logic;
outsta : out std_logic_vector(0 to 31);
setres : out std_logic;
outres : out std_logic_vector(0 to 31);
rd : out std_logic;
wr : out std_logic;
addr : out std_logic_vector(0 to C_AWIDTH-1);
data : out std_logic_vector(0 to C_DWIDTH-1);
bytes : out std_logic_vector(0 to 23);
ack : in std_logic;
last : in std_logic;
err : in std_logic;
results : in std_logic_vector(0 to C_DWIDTH-1)
);
end entity;
architecture behavioral of command is
type command_state is
(
START,
WAKEUP,
IDLE,
READ,
SEND,
WRITE,
RECV,
HOLD,
BLOCKED
);
alias opdev : std_logic_vector(0 to 3) is opcode_data(0 to 3);
alias opcmd : std_logic_vector(0 to 3) is opcode_data(4 to 7);
alias oparg : std_logic_vector(0 to 23) is opcode_data(8 to 31);
alias operr : std_logic is result_control;
alias opres : std_logic_vector(0 to 31) is result_data(32 to 63);
alias memsize : std_logic_vector(0 to 23) is opcode_data(8 to 31);
alias memaddr : std_logic_vector(0 to 31) is opcode_data(32 to 63);
alias osta : std_logic_vector(0 to 7) is outsta(24 to 31);
alias ores : std_logic_vector(0 to 31) is outres(0 to 31);
alias waketid : std_logic_vector(0 to 7) is result_data(24 to 31);
alias wakearg : std_logic_vector(0 to 31) is result_data(32 to 63);
signal cmd_cs : command_state;
signal cmd_ns : command_state;
--signal addr_cv : std_logic_vector(0 to C_AWIDTH-1);
--signal addr_nv : std_logic_vector(0 to C_AWIDTH-1);
--signal data_cv : std_logic_vector(0 to C_DWIDTH-1);
--signal data_nv : std_logic_vector(0 to C_DWIDTH-1);
--signal send_cv : std_logic_vector(0 to C_DWIDTH-1);
--signal send_nv : std_logic_vector(0 to C_DWIDTH-1);
--signal recv_cv : std_logic_vector(0 to C_DWIDTH-1);
--signal recv_nv : std_logic_vector(0 to C_DWIDTH-1);
--signal byte_cv : std_logic_vector(0 to 23);
--signal byte_nv : std_logic_vector(0 to 23);
--signal rd_cv : std_logic;
--signal rd_nv : std_logic;
--signal wr_cv : std_logic;
--signal wr_nv : std_logic;
signal last_nv : std_logic;
signal last_cv : std_logic;
--alias send_cv : std_logic_vector(0 to 63) is data_cv;
--alias send_nv : std_logic_vector(0 to 63) is data_nv;
--alias recv_cv : std_logic_vector(0 to 63) is data_cv;
--alias recv_nv : std_logic_vector(0 to 63) is data_nv;
alias opknd : std_logic_vector(0 to 1) is opcode_data(32-MTX_BITS-2 to 32-MTX_BITS-1);
--alias data_knd : std_logic_vector(0 to 1) is data_nv(C_DWIDTH-2 to C_DWIDTH-1);
begin
--rd <= rd_cv;
--wr <= wr_cv;
--addr <= addr_cv;
--data <= data_cv;
--bytes <= byte_cv;
--outsta(0 to 55) <= (others => '0');
--outres(0 to 31) <= (others => '0');
outsta(0 to 23) <= (others => '0');
update : process(clk,rst,cmd_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
cmd_cs <= START;
--addr_cv <= (others => '0');
--data_cv <= (others => '0');
--byte_cv <= (others => '0');
last_cv <= '0';
--send_cv <= (others => '0');
--recv_cv <= (others => '0');
--rd_cv <= '0';
--wr_cv <= '0';
else
cmd_cs <= cmd_ns;
--addr_cv <= addr_nv;
--data_cv <= data_nv;
--byte_cv <= byte_nv;
last_cv <= last_nv;
--send_cv <= send_nv;
--recv_cv <= recv_nv;
--rd_cv <= rd_nv;
--wr_cv <= wr_nv;
end if;
end if;
end process;
controller : process(results,cmd_cs,opdev,opcmd,
ack,command,opcode_exists, opcode_data, tid,
oparg, result_full, arg,
last,memwr,last_cv,memrd) is
function mutex_cmd( tid : in std_logic_vector;
arg : in std_logic_vector;
cmd : in std_logic_vector )
return std_logic_vector is
constant AW : integer := C_AWIDTH;
constant MB : integer := MTX_BITS;
constant TB : integer := TID_BITS;
constant CB : integer := CMD_BITS;
variable addr : std_logic_vector(0 to AW-1);
begin
addr := MTX_BASE;
addr(AW-MB-2 to AW-3) := arg(24-MB to 23);
addr(AW-MB-TB-2 to AW-MB-3) := tid;
addr(AW-MB-TB-CB-3 to AW-MB-TB-3) := cmd;
return addr;
end function;
function condv_cmd( tid : in std_logic_vector;
arg : in std_logic_vector;
cmd : in std_logic_vector )
return std_logic_vector is
constant AW : integer := C_AWIDTH;
constant MB : integer := MTX_BITS;
constant TB : integer := TID_BITS;
constant CB : integer := CMD_BITS;
variable addr : std_logic_vector(0 to AW-1);
begin
addr := CDV_BASE;
addr(AW-MB-2 to AW-3) := arg(24-MB to 23);
addr(AW-MB-TB-2 to AW-MB-3) := tid;
addr(AW-MB-TB-CB-4 to AW-MB-TB-4) := cmd;
return addr;
end function;
function manag_cmd( tid : in std_logic_vector;
arg : in std_logic_vector;
cmd : in std_logic_vector )
return std_logic_vector is
constant AW : integer := C_AWIDTH;
constant MB : integer := MTX_BITS;
constant TB : integer := TID_BITS;
constant CB : integer := CMD_BITS;
variable addr : std_logic_vector(0 to AW-1);
begin
addr := MNG_BASE;
addr(AW-TB-2 to AW-3) := tid;
addr(AW-TB-CB-3 to AW-TB-3) := cmd;
return addr;
end function;
begin
result_data <= (others => '0');
result_control <= '0';
result_write <= '0';
opcode_read <= '0';
data <= (others => '0');
last_nv <= '0';
--addr_nv <= (others => '0');
--data_nv <= (others => '0');
--send_nv <= (others => '0');
--recv_nv <= (others => '0');
--byte_nv <= (others => '0');
cmd_ns <= cmd_cs;
memrdack <= '0';
memwrack <= '0';
--rd_nv <= '0';
--wr_nv <= '0';
setsta <= '0';
setres <= '0';
osta <= (others => '0');
ores <= (others => '0');
rd <= '0';
wr <= '0';
addr <= (others => '0');
bytes <= (others => '0');
case cmd_cs is
when START =>
if( command = COMMAND_RUN ) then
setsta <= '1';
osta <= STATUS_RUNNING;
cmd_ns <= WAKEUP;
end if;
when WAKEUP =>
if( result_full = '0' ) then
result_write <= '1';
result_control <= '1';
cmd_ns <= IDLE;
waketid <= tid;
wakearg <= arg;
end if;
when IDLE =>
if( opcode_exists = '1' and result_full = '0' ) then
case opdev is
when x"0" =>
if( opcmd = x"6" ) then
wr <= '1';
bytes <= x"000004";
addr <= mutex_cmd(tid,oparg,x"4");
data <= x"0000000" & "00" & opknd;
cmd_ns <= HOLD;
else
rd <= '1';
bytes <= x"000004";
addr <= mutex_cmd(tid,oparg,opcmd);
cmd_ns <= HOLD;
end if;
when x"1" =>
rd <= '1';
bytes <= x"000004";
addr <= condv_cmd(tid,oparg,opcmd);
cmd_ns <= HOLD;
when x"2" =>
rd <= '1';
bytes <= x"000004";
cmd_ns <= HOLD;
if( opcmd = x"7" or opcmd = x"2") then
addr <= manag_cmd(tid,oparg,opcmd);
else
addr <= manag_cmd(oparg(16 to 23),oparg,opcmd);
end if;
when x"3" =>
addr <= memaddr;
bytes <= memsize;
cmd_ns <= HOLD;
if( opcmd = x"0" ) then
rd <= '1';
cmd_ns <= READ;
else
wr <= '1';
cmd_ns <= RECV;
end if;
when others =>
result_control <= '1';
result_write <= '1';
end case;
end if;
when READ =>
result_data <= results;
if( last = '1' ) then
opcode_read <= '1';
cmd_ns <= IDLE;
elsif( memwr = '1' ) then
if( result_full = '0' ) then
memwrack <= '1';
result_write <= '1';
else
cmd_ns <= SEND;
end if;
end if;
when SEND =>
last_nv <= last_cv;
if( result_full = '0' ) then
memwrack <= '1';
result_write <= '1';
result_data <= results;
if( last_cv = '1' ) then
cmd_ns <= IDLE;
else
cmd_ns <= READ;
end if;
end if;
when WRITE =>
if( last = '1' ) then
cmd_ns <= IDLE;
elsif( memrd = '1' ) then
if( opcode_exists = '1' ) then
opcode_read <= '1';
memrdack <= '1';
else
cmd_ns <= RECV;
end if;
end if;
when RECV =>
if( opcode_exists = '1' ) then
opcode_read <= '1';
memrdack <= '1';
cmd_ns <= WRITE;
end if;
when HOLD =>
memwrack <= memrd;
memrdack <= memwr;
data <= x"000000000000000" & "00" & opknd;
if( ack = '1' ) then
opcode_read <= '1';
case opdev is
when x"0" =>
if( results(1) = '1' and opcmd /= x"2" ) then
setsta <= '1';
osta <= STATUS_BLOCKED;
cmd_ns <= BLOCKED;
else
result_write <= '1';
cmd_ns <= IDLE;
end if;
when x"1" =>
if( results(C_DWIDTH-4 to C_DWIDTH-1) /= x"E" ) then
if( opcmd = x"2" ) then
setsta <= '1';
osta <= STATUS_BLOCKED;
cmd_ns <= BLOCKED;
else
result_write <= '1';
cmd_ns <= IDLE;
end if;
end if;
when x"2" =>
if( results(C_DWIDTH-4 to C_DWIDTH-1) = "0011" ) then
setsta <= '1';
osta <= STATUS_BLOCKED;
cmd_ns <= BLOCKED;
elsif( opcmd = x"7" ) then
setsta <= '1';
osta <= STATUS_EXITED;
setres <= '1';
ores <= opcode_data(32 to 63);
cmd_ns <= START;
else
result_write <= '1';
cmd_ns <= IDLE;
end if;
when others =>
result_write <= '1';
cmd_ns <= IDLE;
end case;
end if;
when BLOCKED =>
if( command = COMMAND_RUN ) then
result_write <= '1';
setsta <= '1';
osta <= STATUS_RUNNING;
cmd_ns <= IDLE;
end if;
end case;
end process controller;
end architecture;
|
entity test is
generic (
a, b : integer);
begin
assert a = b report "a /= b" severity failure;
end entity;
architecture a of test is
begin
end architecture;
|
entity test is
generic (
a, b : integer);
begin
assert a = b report "a /= b" severity failure;
end entity;
architecture a of test is
begin
end architecture;
|
entity test is
generic (
a, b : integer);
begin
assert a = b report "a /= b" severity failure;
end entity;
architecture a of test is
begin
end architecture;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc/fft_16_bit/RADIX22FFT_SDNF1_3_block1.vhd
-- Created: 2017-03-27 23:13:58
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF1_3_block1
-- Source Path: fft_16_bit/FFT HDL Optimized/RADIX22FFT_SDNF1_3
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY RADIX22FFT_SDNF1_3_block1 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
twdlXdin_5_re : IN std_logic_vector(19 DOWNTO 0); -- sfix20
twdlXdin_5_im : IN std_logic_vector(19 DOWNTO 0); -- sfix20
twdlXdin_7_re : IN std_logic_vector(19 DOWNTO 0); -- sfix20
twdlXdin_7_im : IN std_logic_vector(19 DOWNTO 0); -- sfix20
twdlXdin_1_vld : IN std_logic;
softReset : IN std_logic;
dout_5_re : OUT std_logic_vector(19 DOWNTO 0); -- sfix20
dout_5_im : OUT std_logic_vector(19 DOWNTO 0); -- sfix20
dout_6_re : OUT std_logic_vector(19 DOWNTO 0); -- sfix20
dout_6_im : OUT std_logic_vector(19 DOWNTO 0); -- sfix20
dout_5_vld : OUT std_logic
);
END RADIX22FFT_SDNF1_3_block1;
ARCHITECTURE rtl OF RADIX22FFT_SDNF1_3_block1 IS
-- Signals
SIGNAL twdlXdin_5_re_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL twdlXdin_5_im_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL twdlXdin_7_re_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL twdlXdin_7_im_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic;
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic;
SIGNAL dout_5_re_tmp : signed(19 DOWNTO 0); -- sfix20
SIGNAL dout_5_im_tmp : signed(19 DOWNTO 0); -- sfix20
SIGNAL dout_6_re_tmp : signed(19 DOWNTO 0); -- sfix20
SIGNAL dout_6_im_tmp : signed(19 DOWNTO 0); -- sfix20
BEGIN
twdlXdin_5_re_signed <= signed(twdlXdin_5_re);
twdlXdin_5_im_signed <= signed(twdlXdin_5_im);
twdlXdin_7_re_signed <= signed(twdlXdin_7_re);
twdlXdin_7_im_signed <= signed(twdlXdin_7_im);
-- Radix22ButterflyG1_NF
Radix22ButterflyG1_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#000000#, 21);
Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#000000#, 21);
Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#000000#, 21);
Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#000000#, 21);
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next;
Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next;
Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next;
Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG1_NF_process;
Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg,
Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg,
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_5_re_signed,
twdlXdin_5_im_signed, twdlXdin_7_re_signed, twdlXdin_7_im_signed,
twdlXdin_1_vld)
BEGIN
Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg;
Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg;
Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg;
Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld;
IF twdlXdin_1_vld = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg_next <= resize(twdlXdin_5_re_signed, 21) + resize(twdlXdin_7_re_signed, 21);
Radix22ButterflyG1_NF_btf2_re_reg_next <= resize(twdlXdin_5_re_signed, 21) - resize(twdlXdin_7_re_signed, 21);
Radix22ButterflyG1_NF_btf1_im_reg_next <= resize(twdlXdin_5_im_signed, 21) + resize(twdlXdin_7_im_signed, 21);
Radix22ButterflyG1_NF_btf2_im_reg_next <= resize(twdlXdin_5_im_signed, 21) - resize(twdlXdin_7_im_signed, 21);
END IF;
dout_5_re_tmp <= Radix22ButterflyG1_NF_btf1_re_reg(19 DOWNTO 0);
dout_5_im_tmp <= Radix22ButterflyG1_NF_btf1_im_reg(19 DOWNTO 0);
dout_6_re_tmp <= Radix22ButterflyG1_NF_btf2_re_reg(19 DOWNTO 0);
dout_6_im_tmp <= Radix22ButterflyG1_NF_btf2_im_reg(19 DOWNTO 0);
dout_5_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1;
END PROCESS Radix22ButterflyG1_NF_output;
dout_5_re <= std_logic_vector(dout_5_re_tmp);
dout_5_im <= std_logic_vector(dout_5_im_tmp);
dout_6_re <= std_logic_vector(dout_6_re_tmp);
dout_6_im <= std_logic_vector(dout_6_im_tmp);
END rtl;
|
-- ========== Copyright Header Begin =============================================
-- AmgPacman File: cont50.vhd
-- Copyright (c) 2015 Alberto Miedes Garcés
-- DO NOT ALTER OR REMOVE COPYRIGHT NOTICES.
--
-- The above named program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- The above named program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with Foobar. If not, see <http://www.gnu.org/licenses/>.
-- ========== Copyright Header End ===============================================
----------------------------------------------------------------------------------
-- Engineer: Alberto Miedes Garcés
-- Correo: [email protected]
-- Create Date: January 2015
-- Target Devices: Spartan3E - XC3S500E - Nexys 2 (Digilent)
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- =================================================================================
-- ENTITY
-- =================================================================================
entity cont50 is
Port ( clk : in STD_LOGIC;
ena : in STD_LOGIC;
rst: in std_logic;
fin : out STD_LOGIC
);
end cont50;
-- =================================================================================
-- ARCHITECTURE
-- =================================================================================
architecture rtl of cont50 is
-----------------------------------------------------------------------------
-- Declaracion de senales
-----------------------------------------------------------------------------
signal reg_cuenta: std_logic_vector(7 downto 0);
signal reg_cuenta_in: std_logic_vector(7 downto 0);
signal fin_aux: std_logic;
-----------------------------------------------------------------------------
-- Componentes
-----------------------------------------------------------------------------
COMPONENT incrementadorCuenta8bits
PORT(
num_in : IN std_logic_vector(7 downto 0);
num_out : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
begin
-----------------------------------------------------------------------------
-- Conexion de senales
-----------------------------------------------------------------------------
fin <= fin_aux;
-----------------------------------------------------------------------------
-- Conexion de componentes
-----------------------------------------------------------------------------
incr_0: incrementadorCuenta8bits PORT MAP(
num_in => reg_cuenta,
num_out => reg_cuenta_in
);
-----------------------------------------------------------------------------
-- Procesos
-----------------------------------------------------------------------------
p_cuenta: process(clk, ena, rst)
begin
if rst = '1' then
reg_cuenta <= (others => '0');
fin_aux <= '0';
elsif rising_edge(clk) then
if fin_aux = '1' then
fin_aux <= '0';
reg_cuenta <= (others => '0');
elsif reg_cuenta = "00110010" then
fin_aux <= '1';
reg_cuenta <= (others => '0');
elsif ena = '1' then
reg_cuenta <= reg_cuenta_in;
fin_aux <= '0';
end if;
end if;
end process p_cuenta;
end rtl;
|
library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity deadtime_gen_tb is
generic
(
TUNING_WORD_N : positive := 22
);
end entity;
architecture rtl of deadtime_gen_tb is
constant CLK_PERIOD : time := 1 sec / 20e7;
signal clk : std_logic := '0';
signal reset : std_logic;
signal sig : std_logic;
signal tuning_word : unsigned(TUNING_WORD_N - 1 downto 0);
begin
DUT_inst: entity work.deadtime_gen(rtl)
generic map
(
DT_N => 16,
DT_VAL => 100
)
port map
(
clk => clk,
reset => reset,
sig_in => sig
);
sig_gen_p: entity work.phase_accumulator(rtl)
generic map
(
ACCUM_BITS_N => 32,
TUNING_WORD_N => TUNING_WORD_N
)
port map
(
clk => clk,
reset => reset,
tuning_word_in => tuning_word,
sig_out => sig
);
reset <= '1', '0' after 500 ns;
clk_gen: process(clk)
begin
clk <= not clk after CLK_PERIOD / 2;
end process;
tuning_word_gen: process(clk)
begin
if reset = '1' then
tuning_word <= to_unsigned(2**TUNING_WORD_N / 2 - 1, TUNING_WORD_N);
elsif rising_edge(clk) then
tuning_word <= tuning_word - 1;
end if;
end process;
end;
|
-- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library util;
use util.logic_pkg.all;
library tech;
use work.cpu_bpb_bimod_pkg.all;
use work.cpu_bpb_bimod_config_pkg.all;
architecture rtl of cpu_bpb_bimod is
-- weakly not taken. 0xxx is not taken, 1xxx is taken
constant wnt : cpu_bpb_bimod_state_type := (cpu_bpb_bimod_state_bits-1 => '0', others => '1');
type comb_type is record
syncram_we : std_ulogic;
syncram_waddr : std_ulogic_vector(cpu_bpb_bimod_index_bits-1 downto 0);
syncram_wdata : cpu_bpb_bimod_state_type;
syncram_re : std_ulogic;
syncram_raddr : std_ulogic_vector(cpu_bpb_bimod_index_bits-1 downto 0);
syncram_rdata : cpu_bpb_bimod_state_type;
wstate_sat0 : std_ulogic;
wstate_sat1 : std_ulogic;
wstate_sel : std_ulogic_vector(2 downto 0);
wstate : cpu_bpb_bimod_state_type;
end record;
signal c : comb_type;
begin
-- saturating counter increment
c.wstate_sat0 <= all_zeros(cpu_bpb_bimod_dp_in.wstate);
c.wstate_sat1 <= all_ones(cpu_bpb_bimod_dp_in.wstate);
c.wstate_sel <= (
2 => cpu_bpb_bimod_ctrl_in.wtaken,
1 => c.wstate_sat1,
0 => c.wstate_sat0
);
with c.wstate_sel select
c.wstate <= std_ulogic_vector(unsigned(cpu_bpb_bimod_dp_in.wstate) + to_unsigned(1, cpu_bpb_bimod_state_bits)) when "100" | "101", -- taken, not saturated
(others => '1') when "110", -- taken, saturated
std_ulogic_vector(unsigned(cpu_bpb_bimod_dp_in.wstate) - to_unsigned(1, cpu_bpb_bimod_state_bits)) when "000" | "010", -- not taken, not saturated
(others => '0') when "001", -- not taken, saturated
(others => 'X') when others;
c.syncram_we <= cpu_bpb_bimod_ctrl_in.wen;
c.syncram_waddr <= cpu_bpb_bimod_dp_in.waddr(cpu_bpb_bimod_index_bits-1 downto 0);
c.syncram_wdata <= c.wstate;
c.syncram_re <= cpu_bpb_bimod_ctrl_in.ren;
c.syncram_raddr <= cpu_bpb_bimod_dp_in.raddr(cpu_bpb_bimod_index_bits-1 downto 0);
-- bpb outputs
cpu_bpb_bimod_ctrl_out <= (
rtaken => c.syncram_rdata(cpu_bpb_bimod_state_bits-1)
);
cpu_bpb_bimod_dp_out <= (
rstate => c.syncram_rdata
);
sram : entity tech.syncram_1r1w(rtl)
generic map (
addr_bits => cpu_bpb_bimod_index_bits,
data_bits => cpu_bpb_bimod_state_bits,
write_first => true
)
port map (
clk => clk,
we => c.syncram_we,
waddr => c.syncram_waddr,
wdata => c.syncram_wdata,
re => c.syncram_re,
raddr => c.syncram_raddr,
rdata => c.syncram_rdata
);
end;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator v8.4 Core - core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fifo_138x16_shift_top.vhd
--
-- Description:
-- This is the FIFO core wrapper with BUFG instances for clock connections.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
entity fifo_138x16_shift_top is
PORT (
CLK : IN std_logic;
SRST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(138-1 DOWNTO 0);
DOUT : OUT std_logic_vector(138-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end fifo_138x16_shift_top;
architecture xilinx of fifo_138x16_shift_top is
SIGNAL clk_i : std_logic;
component fifo_138x16_shift is
PORT (
CLK : IN std_logic;
SRST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(138-1 DOWNTO 0);
DOUT : OUT std_logic_vector(138-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end component;
begin
clk_buf: bufg
PORT map(
i => CLK,
o => clk_i
);
fg0 : fifo_138x16_shift PORT MAP (
CLK => clk_i,
SRST => srst,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
end xilinx;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lXGju3Qcb9WL/AeQ8Sm4nEZ/UYS7MSpREoE36jaE2xO7PIlVfVUOjO506GAMhok4T33TL665+cST
0ZHrTETs7w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Pxu78YXEh5ZT418tpY2vqOW5RHj0e3Wz4/sKvijVCSCV5C2b/sF8U5ff6Mhv7Hqjg6xwpSs8sk1N
GlRkemtuwZ1GiDJIk18Nb/zSPdB5WFyhdcJ/8zkzkcjUaiZBqYMd507UFHWZB7j8YJu4UMXmhNH4
GLAGxvGGUMolv6xG/qQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ljxSYY7vRbj090exqvddeN+RyC1xXv07D31HuGczmgr+bALPlcOglJ8jRKpXXI1URQs7HcT7NEg8
upUPZN1dNDA9CPFiMjm/zqMAuGsegUHwLC/BCvrFJQDnbJkNpGKQt1fshLRFLEpKUQl7rIVksALJ
6h44woZPNpq9JZCRv+Pth+xhsdwbLxdiyGsURJwnZuNZ5nIwcFZ6oBykqPt6JzFIfWjNLUzHxxFI
Qf18tZuV1wDxbc0ypEIo2yc2KvhJW5DZJ5miIKfTe1kQv731D9O2wPMfmI2qy58Wpfu8SxnnxxAl
uJfRowluES1W11XQtOcmsNzcpBZu3BPMy/pZ3Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x6jHQghzuDZIK68RFfDL+vjX6kXxVOJy6Q7IswMX/j++8bPEaDEDc8hNAd/pEaqUekDvthKbv7yy
GhJboHllEcvCJ/Od2ZUGRA97HL/F7oieECp2/d4woMBTT61fEulcVDjTn7+CTCS9qZAw7eaIwjOO
63p4WQit+bUPhQdLog0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DuOcJPbed7YiY+CB175hPD3feUxlmGvfjrAu9LklXTpbK5XbBTkT9u0Tn3T3InFq4tV3a5uZDjmP
Ql0b/HO9yYh/p+j/4AxUANCNSbT55ZPtLewvpwmjJbnoSqEC3AzPBrgRK9zqbmS9PckbaBPaI/Ec
Q0V51iW4eiSyZXoFvnUSq8UXQe64I89KQJR82TMqFmHOt5OvRjoZuyA5bU62TAaMJdL+m6/KcwIS
1rPmSgF2uV0E4EasBwGguUc6lSrzkeAxnIQaygnZZxWGcfMOxSJAAtdlmz7j8dv3YhqGh67HjQzg
XNHb0hnSihe6v/EWOF7rqJObzvQSRiOMNPVjfQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 252192)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lXGju3Qcb9WL/AeQ8Sm4nEZ/UYS7MSpREoE36jaE2xO7PIlVfVUOjO506GAMhok4T33TL665+cST
0ZHrTETs7w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Pxu78YXEh5ZT418tpY2vqOW5RHj0e3Wz4/sKvijVCSCV5C2b/sF8U5ff6Mhv7Hqjg6xwpSs8sk1N
GlRkemtuwZ1GiDJIk18Nb/zSPdB5WFyhdcJ/8zkzkcjUaiZBqYMd507UFHWZB7j8YJu4UMXmhNH4
GLAGxvGGUMolv6xG/qQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ljxSYY7vRbj090exqvddeN+RyC1xXv07D31HuGczmgr+bALPlcOglJ8jRKpXXI1URQs7HcT7NEg8
upUPZN1dNDA9CPFiMjm/zqMAuGsegUHwLC/BCvrFJQDnbJkNpGKQt1fshLRFLEpKUQl7rIVksALJ
6h44woZPNpq9JZCRv+Pth+xhsdwbLxdiyGsURJwnZuNZ5nIwcFZ6oBykqPt6JzFIfWjNLUzHxxFI
Qf18tZuV1wDxbc0ypEIo2yc2KvhJW5DZJ5miIKfTe1kQv731D9O2wPMfmI2qy58Wpfu8SxnnxxAl
uJfRowluES1W11XQtOcmsNzcpBZu3BPMy/pZ3Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x6jHQghzuDZIK68RFfDL+vjX6kXxVOJy6Q7IswMX/j++8bPEaDEDc8hNAd/pEaqUekDvthKbv7yy
GhJboHllEcvCJ/Od2ZUGRA97HL/F7oieECp2/d4woMBTT61fEulcVDjTn7+CTCS9qZAw7eaIwjOO
63p4WQit+bUPhQdLog0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DuOcJPbed7YiY+CB175hPD3feUxlmGvfjrAu9LklXTpbK5XbBTkT9u0Tn3T3InFq4tV3a5uZDjmP
Ql0b/HO9yYh/p+j/4AxUANCNSbT55ZPtLewvpwmjJbnoSqEC3AzPBrgRK9zqbmS9PckbaBPaI/Ec
Q0V51iW4eiSyZXoFvnUSq8UXQe64I89KQJR82TMqFmHOt5OvRjoZuyA5bU62TAaMJdL+m6/KcwIS
1rPmSgF2uV0E4EasBwGguUc6lSrzkeAxnIQaygnZZxWGcfMOxSJAAtdlmz7j8dv3YhqGh67HjQzg
XNHb0hnSihe6v/EWOF7rqJObzvQSRiOMNPVjfQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 252192)
`protect data_block
Jj98bgSIecFD1OWoHk7TdLj57W2pEzEdJDyQutJhbPn+YMCs/FWY86fNlAWzXQG85G/rAImoJs7F
BVr+P2QZYSqENW0y1/pgQnWAwbUSbHZd609tYj3/vCecB2v77KMUQRgexnczTkEArSF2C0/b2AOm
axyMIDQzmfJWY6Lq01ejvmx8Qy32TxH55u770ZHoOfRhTkOSJQ/VPskXvQc95qXZfP/uTvy3qmSJ
lXwITskRjoc20SvGndsJlXnCzwlKzuPLpGPOgxghYQ5UACX0YvkxNyaBkdzJWy2B9feTDr1A303X
De8tNj0TBuoPqOIlC+g3aeq08fyulOX8SI2usLYz+QX1po4LqBqA2GGcMbiTnhtWZ90px9V74lxz
I8T+Y+aWnkZZHqcVgv1euOmaKvAVTm+vDnWoLjsA7xoaKAemGg4Sc6q/fmwpHJD3++bjTO0Vpr0G
8DWsFD4eZ7OtFvNRNvQP1AFfzHgUU7+pDw9Qs7G1Ar3GRPySB7CgjLEc8P9UqaxKiAqZUYLzcFx6
OF1qSVMIoNI3/ThFl6zhyUMIHM3E3DnzlHBLQrC4bGER9AhhqHcpmpTFaeeAODHFp/adgIbmP3EG
qQe0GrOTo4lbQ42H6qQ63He0UYE+T7tzb/mqecL6CinvIUvEkUA/vgrBSItKCvqQIP8G28sIyX9g
2vjLYs+5z7jeznCY2w6TV0avXpRi73yMLUZ5JX4RcHFAjx5YlilwsG1rNLui/i3V1oQ31UJavgqM
ButFHdk58KfeK6Kdmm8lJ6NvWjqDS8u94M45EzEG7QxJbgeS5ida9SJRL9se105XR6Gy1959YjO5
3sUFHt0H1M0Agn4xD4pg3Cr3e0fgkA2sIeM8zoF8rrMDoWVr9LI8K8y/2NJp8aUtOaMjTWVCtqPD
6OkBIJ34vFRQYHObLZZj9MsDHbQHPh3nAsXKdXXXloWtWJA4jMTqrSq8MAs0FYBjpfNUSaEbcYVJ
CcVcAQBT3vKAbSnjjt2gwaAWUlcOEPORDVWQ9SlGxvvVvTr2fg+0Yu2n2WBGNQ3q7No5swPCFr3e
2eBhGJmYOPc0XO/AcQqNy9XdqxgRUSGEY4RpuoBHpmnhifPe0lYPLhydnXQBfUVYrVMl7yaOgi+c
YvN53BbEvJJ2RkqsbFsrtje/nQ8DdXhuWbgl+qLMNlZEg/JheN4MjjLHNO61jkajLz/BY36DZlV3
wZ6G9vNTZXt7Pxt9G0zHrg5KZ2t3lExwkniyp5xwNxFPD+E9SDxMWCK8KnfGLuNGqPe7emMVUtUq
cZw33QIvrvblRpL3yyIJm5yFJZEXh0loJ7Vfm2OIBKtGx22PZKUzBo2zRE+pR9ADGu0984EZIaO/
eM8/01VaEMER9cVYGuE/mpEaC/g/ED45NM3WdbtXz45Tw5PNYPNGSJ+qA4oGrTN5FHDkN7+TIUWh
q/wtWs05esgf+TP8v/Ug6jdCE+zgLtHdaezkYr7WaReXqdVyaHl9jpDzhEF3+B0x59P/4Hm6bRxB
TH5w4qgzwTxBqd3wfIxEB/Dy2FfDibInAy8kFOvNf3cloyjOZCqv6n8p34zOHdKTYxuC1KWpCu1c
etcRhRP5TDFQSN6MNGyEt2LBOn1q9AkOis771yA9763cON3Hwz9yLR+YyhsgdAhDpND1ylYBRuzD
yxfIw5Gum0ldCvn8l2g8lrEJhDgk7I1cIAbwNzZTuat4vrFG9BfKZtxL3Embi5KiedTnLWcGaT1b
v3TzxWaU7BIKNIH7nwDdYuehj0h9Vo03CYPwQKsoJg8U9hGPJ9YBIxSO04gJU2ZVTqJOuZ433A4K
Tg36NVFaXGife/1+oD06VAAnN4PJcY7cD3tWAxFvM62boUfBLl1UxiZ7IlPqhQG2krXE6CiT7WFt
3Zl/k7zQU3DoCE+NXyGSCf8lCm6pVKI7VH4lpyynZOhkput0fXQMLj8a81AlZ4TmiOwk6RQjmWNu
Nm2FsLa+1lQtDG2q+SFcdJxoMXEF5tQz5Z++/ugOL1pl3VI/M+bFqKwR+hn35yKp+uHQuN+sqOw6
DCDCSfvQBTMVKMydvjHWPr/L90+BtR7QPoRAgm3kb9d4PKOaOfhkqBnD+kjOsNT04HoZpzPjvv0Y
AcFVjC1D9gKC7Xf+tJzb6TDkUwM2P0xyfsxg90/d4iq5u73ZaJBiuifyEeAQPz8IQZyiXZacLUq5
alYyyh4c+Iqu7adknTLxBnPj4TC+YyNIcAf45vA3V2fXDRMGOoU8k03V3rVAdYSL3qnZ7Q6qTs7O
Zl+LpmwEux/Wq1UX9WMI7JSzD2Pb4BZNUaLUWvi+cOeUHcll2ZUw3JvE6Qwuj/t0olKFXJGoYOCc
eTgwoJHAVAYv7XAbK2TEF6rh6S2QxdCqIuuGZLaXO8KLZiuLLIxiV1IqxI3j/rChQtY1KcNYgppd
52XydKU1vY5+SrjTsuy9vur68ccAPFBtV/Aj81QlHugLsKyfPqT/ruwgT1uRMS37t8rKYNWIvt/Y
4wWCh/iKRAgAykLBwJiTbKZCW063wt7yOha1ejKNkyXZoEhD34qBpGWCVqG8omyekU9OoL3aDqWw
bIihc/iuUEiAz9Q4bYtc12vtF2C7a1AgY2mVg8jpHEhnXxloQ5mussTery/kFo2SXv8s9jPW/f11
BMuyeJTYqz8h7gT65Nwet7GUN5yvUyoCTVdav4l0kIebgWh/IdTqHf+LpKxjW6xHRkb5OnHFJbY7
l2wemyJpLoqLpPnzT7Fl2wDUu8DoOZZOCd7an0oDh8vYXH5sJIDF+TGlWmGDXGRy25q7AwF7qjGJ
Vl1Tp/p6r8YfNyIoaWiWm6E8zfEkhL0c7HHHC8UVVlcl3t8/RiCA/SubZ0WBiE/oUKkhCkrHp0qg
ln9mcfqhLClMf4jSnSP41VV9I+cCQ4/tHvL9XXXUztb9H4XubEMlYidzZlJIps9aorYHZSaRqK5K
7ZESJtJv2CxVD3IhpoyeNXQcLJafg4l3/u5F7v1TW31VshtqAwc3PTXVCjGu1C/nEZv1GxKBL64f
5gIgSqDZGCyH3tbC09R8YkgclEDanR3zdlh1kwNFR1RWIPc5AAPsaaQiDKpxWLQuy56EAfht9Ma1
JjjatJaqs10bN67o6w7b4FhNDGe8ArBn3T0eXdle1jbXL1ak4fQ36UzwTjP+Gp5FQM6bdW2jP1Oe
DE8g4KQac7HEcnm6jIcHlez3sYbFPlBi351aQxvGzYC3/TsVsdUG5kiJ7SQtzH8ohX5XP+xfNyap
P+FSiAV3vtcpBpCuy/NpCkdTDKSDmvbNAV5op4qyMLtyr3N7eCnvb2zJpe7n6cIjOlDXne3A5a0I
IDfZ+YaQXXz1gDG3xd/Yi3gee7+2n8zoVnvyV0LVZ8tFl9w6eqz/ujusVQ8WgdtBw+jPnk802TjT
ugiH/vs6LU+t/ovn7IR3Jw4MzE8KKXKMHQBbSAPIES4Xjssn8RbKRDKkHnxu8DOrZcMaCUZn97is
gY1EQ94TK6hp/uCOmJvmXx0Aken7ymDzdiksBK/10fS5hifd26MxVkt5ypFG9TMGeihflP4j5GBQ
IkSTlBcRriBIQcCLtBsRIjkxbPQr5bmbfZKA5D1LKeU/ZiqKXCfJPX0zVLvAvsSr9AY/DmeHGxF4
ZiBzqyu0mpfXTzTMLUZI7yeAcG2uIdIrg1uLArt6Qn2at4tdrWaPmhdgbIx8A55863YuRj1NamZ2
LCd62r7AmYHoUMyjMKrgSa04Y+L4eibioWDxKKTE4oKY4btvh1fLNCzU6mUvs4Hi0UirnZ8D1cCU
SXFdTUhFO6ClnW9H566Y2Fgf795T3OPfz1Dyo34BzYlMAOpfC/CgUsWjN8iQANTRkkaTQjfy7S97
Ldv2K1q+gI+4oCi8OsIOPmSLJQdaVd/S6PtXqzWs27+K76PiVFYpzoz6vCmZmQNj5C3dtAFiR3ar
JzHYErW2YdScz87Vh5t/dw3Lp7GdiuEGY56QX7v+kgR97tg53yAyhgAG2in+Yl2YwuMahE80C5mL
1bHvwfS1DV1QhliXisJsWKW0zVLwT8ZqORcZ+9IXv5BCLQv3nugJKAQxIaYyPoTyCsSh0N2/oIcL
4HfKFa5nyjisM1mAk4Ck2fmrcZV+Elje5eHUNCF2eqYNe2sb2+UvMvK4L23Vez1UokycIiU2gc1c
6F+uLSMSphZi8JU369T6UQT2ZRhHqDYEAoaMMYSkX3wDgHc+sWsBr8X2+bfPYxM92aaV5LJypn+Z
AMKYrclcY1unwwaqai/CNBfuhlEsJFV40xwhk2yqBXq/7Tw8wh9ku4YhTnLp4SyUyigsOQNGvvNR
2o50J1ABok0DqfuUYuaDd5XlXmdoyFL2B2Evq8nHkHUYkdfj+3Wq37RlCAjfF6YdRfXUMvmtoQei
QyhuBNYlb2U8mqUgrGBo4Q3wrK98LW4eKTr6p610rmhcV6CBpHcxJdbryL3nD/ivsB6a/0lTORK4
CbyjNWke9I7AaZVsRqC0lJhqV2V7sWIPDhgWAo5ai6+78CCnLV0o2b15fSMSR3mw5i/e6CXKDJ0g
FTOU192tcuKSzH4gz0NP1FWWEsB2r3vpCe4Pgr1263mZk3M8aaY3nTJ/WZ6+MxvLiokP6P6/oeX2
OxcJqGRwOrj1GLj55AzbMbUxTu/n0uWI9aENtCsPZdt3fzi48dChGe3b3G9Ab9hFcOzoSgXQwUc2
kh+xZOwYYjzT3oh7MTu150hP4QaH3xyvyZDRa16UgqRQmdCfm0AmfSEto/AZT772BtELzCEmmYbe
acZnpQREBmJI6j6FCWHeH2muhy5JGrB7SWHjole5wwyVQ5UTgb3mLqgnvEkqA/+I4sMvqmKf2RUq
eeVL0IIGWFbMq7eG4zHU3boixvIsz4CAgDyOpHpnCqqgLdRHMHVHeG5JrfKksRF4LGWg4dG3aWQV
nma17gw+GyE/pQK1sLyaPOtZIieTDEdVA8tpmyZL6vLGCQkPZhokK7J+qweWFZPkOt1DkbnWD/py
EOTfJ3H6VlPuVzHmIBr6KfpOhvbWZMRuWuEHAUGBbBjlRVR9BoYqEFZrqp/b7Ua/yQM1ARNVaoXd
/ONRaN6JOXwiXZXVdZromMQdFkRrHlQFSi9Y42tx5WTExrYIJiNj/NSAp6Hz/gYiQlwHLof9L7us
5vveLO35GqdZCueUZXitqyGl+kXDGrhyd4wNeRkykYCc3oFDv4/9236ivnrfwxusYeP3JdP1XEqm
Q1hk+i79aAADrEWTxJ3kG38Pkt4z4zjpSn9HHwLSmTOodXCN0jumU9CPaQWJJTkekj2X22OW97Pp
PbDAVtrvMaJFgHUFj3rlFqsM+Z6GLw/C3u+tmQ4rXo8O8SbZ2Gf7/1yDFzk0zzNJnWTLbwYrXt4z
AEfm0hinTjJg/HYoYWObXEXgbB8j4g+H2nYXyvwq8MCaywDsybQv2pqAhncz7d94IjEMiTEHPSVv
vPzi1jYHxCCwsrs2rf1UA/hzIEvSzELQ0OmbQNBUHyIg1afhhE703E5fki2BfFcTcC7JVCE2n7m9
B7RGeTAoNBoyoYCDHNsWNrN9ypOXRlUZ4xpLmKymuFsiG7jrbgvVC2V4Tz4kg12iDHUlm0jG6Iwj
Rlia6vHY6y2uxMETPL6WZAaeW7XpJR+T0HvAl+p5xcaSVDJpeAt4OWDDtfeI4w62Tz15mL21Y68+
d6OjsPn0wwjuGv59bEKkr/wvWUPAWQYWJpBXkpk4d5zeJLwzd1PXmgDO8TTuP/Zkso6UbzchJ+VZ
YYR7Dco3PYFI4WEXqqHgh1EP5PceCnAALSRvQF/a/DdTaBkBFSpIkKUNUz6gsNuY5h+bVaSYvuby
ZNG+NDh4v+MNipjkMoDLRbVwzCZaMJDnuDWugtQD+gVXWg1VxBtT/FPxFm+XnJ+nA/PiTagfAjxD
Pau2+f1QAiAgjyfSL8PXtOyl7NgLgKNyQjhDSgE2CJbjuEo31yLDOf4IOmqUVnR2ICaQRES/p0dM
RQUpXHmT4sUPzEuT1ZcWNWq5+cMzosBhpu6L5KuapJgN6HIUdik4UWg5Y7jUaZiXgdam5WyXC+S7
T/6PoqI91zyinCbIisu0Yz2y+ZuF3QvzsjzOnRHrsSWUJ3JgmHG0VsN7R0qjlOZkhKloqbBgBpVr
fs8nwi8nhWoUTEqNSTMRuK1o2L+h45fhc28mchvU2u2YsVbKSEx7qBdtVkVI5Q4JSRcXPWWJ8eKH
MB/xTf/QMQ5PihF8hT5xTt1O5d/iuTLPj+HMy0j1fFQ6Q1OwXpidHW6hUpPfpImSqyPS62frTjYK
2GHKDAZvqfQuJNwD7yzm0G7+GblImiDSBOzIj/qwLZwChHbzPQg4JqTah71DgneF4KZKVISpWb+l
A3BgBfpVNwQdFTME8XMfgkR8xsUkObA2oeyFfR/gnv95+urPdnwdX4R93aVsyh8F9mcKwyjV/k2l
ytKB80MpyjrfE3XVgjGrSzkA3Q8PUEsfpKNV1fgpeZu0S9F5Pg3nruJddINWcJ7VjF86OjPkZASH
GfavPUMwlvEU5/MePHoN10k1aTca7AZpZT0zaBxX+JSOgYLTjQDw7mLyZaBOkHc/ndidVXQguLEe
3THgXUlj4yWFw527REUP/Q6MGEftObbCeM8SxpamWYmZS1nyTkC0z24UV+PoM/eHv5/9XAJiiL32
k/Ku3nNqhiO9XQz88ChecIrnw9EBucFiNsML6NmzZEfL6+5z5lTRY/Oc7RxrUhvji44R8/OxYz00
mp5T7MQ8JJDXjtZbwkg8HE09VvrURG65UMlqHeJFTwGQVwVnB3D6uPD48xKigK+3y/gsakf9onQQ
7XNI4togTw8nteUiodAD+kBBm6JBh2BHAGq8b2YVKxGJeavVrNC/96wo78AQ1fq0IivPkDtyHTRW
GUeJ5SCEG7HNjWeXkDtkYSmAMznKoNuHNRMUfhimjg6yki+ixrgJCdEwp8eK47Akf0+feJFOjVPN
DNAPW27TCOllvBRIHilr5fCwoLmPmfqqPsnxoOtLeMOBTf7T3UwGkqFp+y9mPc3bGZW+7MMqInvk
mQBz7FOJXE2gvyJAPZ5C+m09QcTyqst+qL1buL2wQVGhciLvkSIFxu2NRNhliOV0RER/1tdHgYlf
pZ95xSWagV4SP71b/puTI0iJdzKew2q44+skRdsn9YQPn7HumGDnV1Xwnt7HlWwqp1E1Zv95rif4
w5dbK3xFsyAYQCz+JDTYoPXoJ1nY4YD13jm666O/gzCPYXv1tYjeijL8gOco5puJYIRtw64vNhqK
485FTQoVYvo9lTHrAEdfsJqHDhaMcjAxwj7wM9a5Wb48n1CSTmcR852g080q5dCj1wJnsZ569zSF
OG4oGMN5x4R8t64YyX41cj2ERfD7369MezTZqneEfxQme9dIbQvSPYSR8QnC/yQjCesFM0cchN4V
GROGg34oxaz9DCPL33qoWIlRC0TLdazA6NPy/+5S7mpKgh7Mp5xkLI8rW55GdiT63smTAMiOEhhw
u/tw1W+lMp1IUi1gm42WofVZ+wKj4flSQQofZhT6GQS2O9eA2h6+zzGWJzrGkc0nme3i3BJeMRiv
ZdAY96J+k3h00SatYogR87i8FM1A2EZURoy8iUYz8g7Ud6ax8LKk9mvWOCEumrJH/WUb82mxYuGj
QsUp2nLK8vtpJIcGL3BduhCHeY9W8BGzLXvOrRxbUX0hFrQc6L6Oc96VAt+tLr3LhymjK5OFIbuz
WZfvKuiRrwmyrsChXNFJl8zaYy4F6h66uEG7fpeVU6iPJ6WQAMfy541ddZYhw+KfWCoH8aoPhHUL
9wmvaiW6XCEAL3qrUE9+Vp1BvGPi3AshJXroQYlE309CMo+HIE8vsAbgtKnp9H+qAqExC4yMI1QR
K8IHC/Dew/HNokwnNwTca43BgJJhbkIw63GtiT94dLuCVEbHZBpG9eZDDqRAEdEdliiiBeGvgOLn
SapQ4H42gXRxyXAYTx0Be+E7UGIgpRFDSWrrJi+og0yyMDCETtimCmvbzq5Yu7pr7Fy8H3jdJqtX
0FtT1/FRRZgCfySdgvSUBqoRcNBEnOwCQXFS1I5BPY3fbhkkCh8ARmxy0CYd5AxNKcIdcCCGEPYt
vkbLDrGiO3xT01Ql4AyJW3m6eXc9GhzS4o2aIw4EHP2NZQ1hhLJEPNa9QaqARmlGCvm3+Cx/nTTQ
rdAUJeFG6vy8IVPPlBRrInWdh2ov0wlt4XgKjOaE+0++TbOCo6JDfsyvHCPaWMKE2oIsRzVkSDGJ
93HHXMApU+YL7+k5JGUn84EY20mQ6NY5PLaP1RiUvJP+AjD6B5UY4nUiExKD7azmjaSc+sqkK3Dm
CFb1bAZq3pgkhwfGaOUIJ/BpPm2fxE/H10wYfD5+KIzQ6DHAUOua2Dla547YPyHUAJJ4SX1sLTIC
2HfrK7PVF7trwq0oqcafuroXaPBpuzQiHDamvE1MYs+l8s1RuXaah3kzE9NbIrTEZgo83aNnEuAN
kjmYnX8689/7hwd1PbzQr1mdv4SyYsxqC5IhWZkwHifviP1lk0zv36r96KJQxAWVHd3YJZl+Dakb
uyi4/ARyisSBA2JlamL32/sOgR2JnYYQqnH8VNkJKqXVeRP7sMkTOkDRhzqI7FBSRipyGELy0SQa
bycVE0A5zWMroluOV180MPcA6EZ7/2R7IdIBuYo0lqH0OmQTIV2LqE96UMKEF/aB8PdgcGw43NjG
BqDU55Vqw1f2zPMbhcGZu51ry4cz+DiJzKT324uvqVbpncQDGsA6nFL99i/9/wVJDooZMyy7YN05
CsmzbinPs7/rKA9G8uETsDg5954a4YAg9muv8Q45JN2Y+hwxn8sAhz8Dquu512r1WaTcFGostnMd
jfLM0yVk+2IOCJiPsX6ORQzTVfQCRuzdnqp1FmZ0Uqz8EuIu32sJK+je2LnIjiYA3Z6A3r2hLI9Z
FDb7iwTe6cZOeadAOTBiQt+KJ8qbOfCo8yH3xFWnp+/qQJBpvBS9f/5XlNCcvlmZJlkwhOyhnjIz
15of2TjCOnM5X0tJ/uKD57AH0rY2Wuagv+cPoILU7/A/Fi6deyLHa2Fdqsk8bRpFh7TBKEYn6Rq4
IbWcvH2X+RnY4w6qVLu46dBmZnwt3yO/C40qm7xqbsKKj0Nug8ygU0VFcUsj7oJg46nKdHgPXvy1
T51vmQzlTXjr1tEtLDVEc0oVRjz2PRx3/JZjzrJyk6d9bVGDntQDuGyHMk/PMv2bwTzvDLdajOdc
QHOEyrcUKM2htv5gxGNG1Vw6uuImI1ITuChVQIFb7XKNqcIPezpuH1m/4ZIy/B7r8qaK6n6OpkrW
+WnUKKYkOdulHskhRMdmPjBz6KCa8f1/1ArrC19fpTJgoIMLE6pYhqZDTv4a6Y7WdXqG8yFbsmzt
n0HsISKrGqvyrCEJDl6Mfdojubg9hudMECOBxYipRBY1DjgL7StYRkCdBd36+8Vlr2Q227f9oJQJ
tIjisvamsrUYVrOMentp3SZbPEEzh1slL0gF6K8Uy3cWg338YXbiScT7Buy/RLasR60VutVCm+ik
vkmVN620Kh/4QBM1klW2lOEAyL6/nlWVuMibHwDdC9TcOwF681zy82LltXDsanikC3/oNE/KvsoK
OUkNJrqU9XL2WInMOoZmZ9kAzv1TXXknYYE+oavuuabZ6S7S9TviDC8ioJWTLnJh8wbrrRzYR24b
pegWEOUokQRVeF4tvAOFaa9W70eWZUJQCSDXxKkZsigAf8yFt/mPUB1+Suw/IKdfxt5HMankH60X
1HIdrIIba+sj4k8eWnvuNDdQM2DzM6i6hnjNEW4q3yn2RgpI9RlmqFVUEeNVUMH46YiJKrSgh1PA
89BNinIn8oBoHiOpBqaxyr5M8qK+dlXn5ZnXtFIZRu9QAO+gJhmkunj90acC4Wm7EjroKmkr78b3
ekS34wD1a1TSazdpKO7s6hXBxvj29vPSbAgEhelIP1GhUa9GWotzpkh6eFY5vA7kbdFtqQv/lmy+
g5iJGwmupzyqy/9fd3IbkrVj2I9UcHgzgZAieGteU/furzY3Wo4IYeUUaZA/ULnb9V1hts2SIvcX
IgblAbm8RGOu/WB7nj7y6q0tJnZVaY3PDzu+jprb9poDW1thsFmMVafB9pmZzHh8ioN5OubE6Ps7
fwuq7CSi/MWHlJIu/BejRKubyC/dYfoIRjvTIkgjajT6T8/MP/c1bLDtqo5p/xo797g3r8rK0ntT
3qlEF0X05ph2kNd2wlNTMyH3ri0srbU7nIjN6Uev29RUR9GZlVyp22Chr9uYgMWre74jPprDMYJy
txktM9wjQ0147KCouNA0j2iePCdI/1I4Ym1PP0Ngcv6jvuHwt56DPvPd5E6hkc6xVl/Q5Z1fbRxa
SceLZMWW4rQdfAOsuAgzk1DoZ6DsmXT0pCysVVc38qsCNY6QUwencXglB9EFCXLKVz4f3JlJi+cC
ZImQPMrv67VerzjKDYUzy+wmU8bsbUNGK7bhquvp/aaZbSa4t3z3rL1A3zxClztHtnEnZxBAEinc
CtYDYHi7LHhlhhE3D+LNqzGx4PC0VlWAV0WljJ6qx/E36+TvnBSYVsvjZ/+QpGB9QJZEp4H7+VMQ
6s0wkPgRe011M1pFV8EQ4TjMKqUClCgCggevM+Mv3TEbaGyPzp6D7y+Llh+LLlpURq8eqG7Y+PtW
uips/eXULs+NiYSdW+dnQ8zK//9VNKjMx6sdZSetUiHVu61R8m52W/RRfW3rpcOu56bEY+UXpIhB
3xkACdePfF0cWmwWXOroTXQ+vh/DhtNGNUwuu8fmENMBDqGtA1omKQU79X6sTk9BpVBi0jhkTKYk
VK9Ge1lwGkBAOSwf30MJUPsSjfOTK6+KCz5Z7cruUCgzTu2knS9r1/rWwU6NKCwFfQkwdPQPWqRr
TujDEeVH03a/egURHGIsQFRZFSwft/eaqz02t8EjWE+OPjj8duc/VlLFxF7nfsR0I7nh0J8vJq5B
TBgZhL9vo9/T964oF59inZhz2NN5sx7i5yVecRWSgqtTrcvdGAKz35RnZT7+M+6h2IBQ+IKn7gs5
t1E0E9ndgCU9WolFQAEHYXJgjKKV6GeCHo8GLEwgcLR3Ihh1IcbFvF2I7tVoOy0HOKcm+LkUUAir
R0po1yIQ+uZHXftdGngLhyhH8s5mutxn9JxY1VMjDnO29IgPx62y/dzrZ6sA1VIwX5KZ6GVogDF5
SMZMpfeMlcv8qw/t/zVB6oU4mIaTCv+UhLw6OQBCjAEmt7PjWnFu/kzzumrGYXf7dQ/CvFL9d23f
Qjbf6TKEbFDvXrn0g+pwferlZ/V4tIcXUJyNhFs3w2PDuDPgzyJbDDVg1Yi9enaFYXMelJxQ03lw
K6VrRO5gP+3loP7yD7Dzj6mxMlwj1Z6ysGfrHKnEbiA9gbalZ0Ipl2rdEpSdXc4njMixkWyNsHi8
leBT6g+8wqJggMs2WCLYNk/mayThnNqcg323eH1Eb91bcqVL9scVUwiOXIp2DaunYVQBOihyioAN
liNs7M40GmZKhtRmQSdlg64iN0eoL4/o/AEtz3riW/WS8X7+sqVo0pXcQF/vpNAp8dif5eSUJk92
rWrGE4SYHf35oK4e/rtoT/ak46DqfCGNUdce1y3U+7JHHUJqOPhl9z8K4N/+hCSns87UC5kMiaeT
VdjhVbW2Mgy+ZnG3/bWJYnGiU/4ghYPyqExNNFgISLw1zFgHs8BDd34wFOP/NgGArfEJYjW5c2FN
uNZeCPIB9pTDfgohU8aHeHewDDKQO+0cCs4BIYAXEwj2XxGAx8z1cwp0qCFaXTHOxMSIwSGeSS1N
IOLat8AGqA/gn6an/CtmG848/nUHA6NGHxK7yexYljV9Eq6TQhqZ9dKcEWt8AP01BgzXcji41QRC
HpdW4B3Z3/Kmzi5nlcENQBddQjFjkG6G0cbh6NMKpbtsmA72VeFJexI2S5yQp2JxA9lKLc9wAVR4
nENUevuysgRZMjMCgAAxCFNzGJ7s0lf+aVMp+7+BuXVQJF9o0uEDQFjXR6nsgONb3iSOY5JL+7Hn
nIdQjFRP/ETzDOaX2koAZ7KFArWWQlA4g0K58k8T3y+8nq4BSYAdbQqeTnyWiA5WkYgmmCY7B1Di
UMYIDI5bMqZYFxcIPpNpURIqUyHWtZNoPy7n3Py+jE8bOeNasmeQ3Ag3iii1UiAlM1Xzx8P+HUqj
sUnI146dc+zZR2MjNoaoGYmLH9g4xUoLpC1Bjhuo54GiPx9FXERc3W1kQTffHsKVVbpSsdh28u3l
aJjDhm6QlQjhopthXyI4WpHQYhDmWWyXt1iioNOH2wpdL431XFRjSkOwo1Si86uLkJHWL4BjSOmg
YKt0EZdEPM3137U5Bz1OmVuwpdVwfRhcQbVRMac1N/aeGfwvhVBwNpu48ED5okzUTWjLYFEex6kM
gUuJx/vx0Zjog3RKvUqZZDezlaxmDWu8yF5g5rWZpPNcrrU/4jSBhAZgiVLZgg+GIC9sM8UETqCP
AZNBPQr9Ix4CH60KXmQjvLe664IbtKkqXaI93Eyh7/7gavgLg8SmsaBhPkDM7aRFj35TDPaDtPc8
pOzvnShDuDMfaYBPHn3CZM2mDHKFz+PfvAF8tAAG0cO2EvSq8xjawhvHChXs92/EB965i7oVamtM
qIQmt/Nw92Abub8fOmNq2slVW0+QCxCcwZ0dGjZQGELfAiLquI1fvMbRd4BfLrxoXNNUm0+BNgQG
zGWv84tAiVPbwkjgsbR3hA3AOztPmeq6aO6ov01svT+3k0zcvHBUIGIiYfPfgOnCh4COeZ2JgUpZ
WtZhvhcEiDP2Za9Gi9uZQOORsF5YVr7SoUWdEEAwD55U7yxxqg98N57xAsNP3DaoD1R5Itt12UYV
p/51h7aosjeMe7oOu5XGdX8eMMiPVKuAQE3LwqPajoRREnFMryN0HZ+gGadqxZCT8tyjih9B+KVc
XyCz9/vVoDdCuqmxOufhQb3k+bH1k89/c3zeflXMQHFaNIRbcQx7ekdYjFwacTJ+iCVeb3ftXtH0
WqEh5iecoL9RNZZWLWUnLyCjaAusLWV+M3guwH5P+mhvvW/BH9Qqn5RlAO+TKIGYGN149a+TROkq
OAphMtwxhFmkFMZydy9R59NxnygrDpUpDG+BG99hhf0CPxj2qhfa/UVvs2JsCSsjskdBvOvHL9Pl
KhaAdq0HFwiG+PXe3D3IwCSswO7e5ofOGTj5Yqsc8zIdcwv+g0H838Fz1FIwWKDc5IB9S7GRPmb+
yPOi0fJLYEZYUm2gDepzyCzFN+S0bBg821GkORR3FPPYyqV2Q2UDsb3BTaC451OtvWaL8aEf3lEz
hW1MFtuj/sSWszzOSuYSV0zbVec9Q04kLkfLaUkJqxnmB9BB0TF5FkA01IVnbE9u786NbRLR518h
bPMYbu2pFi91dmStDrWEoigbuQySSHA75jlO37wm4bHCDSIDGDVfuLwuKNE5Ga9oQEeWNM7fLd7Y
OykQIu2LRXwkJOk1LqJzjcemlsled1Q7lTcKX3UNEqydPEupeDTdVguY3ltEWqVFK+E006nNkHca
QDAo+v/AYADkj2Eg09/B20WvsPwQn493xHB1QuTFMIfZPPJQcNkdJKgdJNTvgTsJppKEjnOZwqTV
dmSOefqNVhBnMqcB6swS1cVH6l4EmFTRDv6aEZoHKCu4k6ZBzBFRLeHg/TjeXMvCJG7JXWOWo2pw
hh/iMe+aEC5NsCbQquwbQgZoiFtTyWM8qthG5Uj+00PS6owY4fedhS32WSnyPoKI2seC8P12xq8p
dEkz0slPSfv7Z+Bh+VPfQ6gCZEREVkajr72wIiwISybwktuZ7jgORjJP+MmGF1JAY1N4Jsr9AhSW
RCwgUSnNS42Rrr7SNtcBktY6hdF/Ausrk2Yx5qs6XDD2ow923qYabRpcB5aVW9WtCuMt2+r79dto
nbNu2c9eskR5TKZp8+dLno0BwCf90KfWAXL4Nh/1Q16Uk1Kqgmx0VH3WwzvDwq/sVGK00XEIX2qM
T7MVz09vQyGciSd0PWrBK1F35W3nviOzKghH8ujAKw64Vm87DzGA/c8A5SWXFMKyB676CB1RigbZ
zlk2iyp4IvOIvdwHs3ANd1NB66XjHN2hnywrngwCm74keFE+/66l/OpbvFpSBV2JgdVr8z00lTSj
e6CXBrXzGdqTwj7hsn9zHtLIT6tdF2/YC4yVy6z/SWHvZ0FmU09rYBBb/a7xD6k4RSjnMR3XjKA1
D4b1G/7UiWJndqT35sRBYSRbY+/N8nkBcqIOTZfEyalYN5g6FBbuTZ0rodaLBYD2hUGQ80xwRT63
a+G8xX51STMEeMeI5lgzHXqaOwXLkcxvLmZIFyA2BrdwLzMfmxI4+tS15VQu4Rz90H53F7qHjEdM
ACfZBFXf1VyuLWAp7cJp60GQ7TJLI4jOb0BVHGVDQtan8SQObwRCHd9MnS+pas8h5SWVQ6yfgvqc
FI6+KpZ7ZHJgsbXcH8bxxR/mDShzHbpI86TQyhFquCNB5b3QzDf3aYE6X1Y2Qmr2xm5y/iqJ0imJ
mWkgMFKswlrpqjKeYG5l8XixoF7FCEQ+R5EKbQkvZTsTwcbA95tp567fV1nhrv4ezc+el9QQPe5b
zbu1e0qE2tSL5G8bvYyy0Pb8ScyhDf1TpAnmoSKwToHAjnkPTq3egJcoAAZs7slv/bvn54UvHBlV
N3l4fwC9sqvqz26Pz8Ksk8lbY6Xc+EtllT1VgCDFMCLIihJifMeWT4hM5nzv33dg6e2b12D1eS0J
nPHBcEn5+LNNFF2QXdPyjKsEkRsOS7E9bVYRDB7z3dsjd59L7hYnda3XR63GyxOU9ggqfYJAH0xS
IRVXwB1Ak+Q6JQXFK36lN5k02owqpR3aFqN2raYFdQ9PDwN5FPkFncUhPIVK+H4x/FzLQ0cNSVaf
QKNARmUTsEgggmv7n/9tVWoC2olhFvEQCF4Vqf25qKwj221US8Lg9ISzwf/YrJe/m2N3nB/spOax
Keur/90GA9IMnEUladoFWJO7Sm1lVsAQalr25kxEcdOs/1foZtmBdZUecbnkMeCvq6H/Ksx8A8il
VnkJkTszLUSfWLJC1iv9RuNM9U8Elpdg3eXJti8/xtHh4jkqpNmLl/iMhAVzOe4/5BwquKX2jE1i
bnkkSz/p2J/D8eFk6+l9HBwY8BqJtP3QK/qiFJ8u4+iD6GhoHJFFETKpIE/Tdgodu5hxyLGJAwfV
eEdaSDPeiSISTH5NKJupN+oR9I8X2MOvppH91+cT3UzhSuGRR+bS61oca0mFV3Nj5vAwFQnwLLQ/
snXhYKI3T4BGjts5d7UdndXI8oGAAGgPyxbQ0IigjptM8IUWNw1aOCZ8rd6Od7m4WTVi8j6kQGER
ccUmEyJQfXVdMT2owPSGP4N9+WZkCL35Zq1R+45lAspYLfR0ME2pS56F+xt7nkFwlFzekvfsgITD
vGHXfnUQ+ncrI/85m5jwLVnA84dW4o7kcgTuvgUsBEKEcnioknMnk46bNulxZWnqADZnkTy8gspe
wngW0qJzC44tBFGfYnMzzLqHJRd5oCaJRxqbExXi+9mG0amrbGzlCGXH7z2Cfj9s06nMA4Aw18ni
vUZUxIGpBZGWz6UM8XFwOsuYRNTsorepcGGttGuAchoLqiEewgRjdFO7Esx0qHZL/B6GqnU73N00
P5IJFIyP/Bbepd3ucAy4y8aOv6gWg5GUvjwH73S+2qTFYxO/YR1ZJb7dpGoFBYCJuW39G93jGZOw
bmYKmlMnv6YPOhuaJsGtt8uQ6AlOm0N/yy/FXqu16xXR1T6s3t0max4RgAYqlBymDwssxYjrDhIN
C6WbNtNRBFTYqbBvJogGg9cAStNaW3QbpfNCkgJcWxJTD6p3dqQxS1JZlY2Slo0bzvJOrAKtASg5
95WQHYfTOK3upttlG//GNBT6OnvVOrSA2wIqMmSsmcLWEkpeuX8Xq4tfNGiSQLfY5JV1sRD0TSC9
1QhFAJiIkyzRSBtXqExkEEoXamFn1Ee/XugeA2J4QVuMluC/kzJk5EDi4XHXUgo0cyD8P8vSVmH7
DD8raFvCO1HWnnQ2w4MnNj6KaOzcHKSb9fNt29cuLhH4ukwhLJ8RBckv6HmIZLnDptVA62sa0X8r
God+gcmrLuJxpSM/2wNAm1lTENpS5SzJusz7cJcwHugh8yAKYzHJOgLODw4end/5Ed6rG2sGyPcT
iQlOF5yCS1esEuuEIt8Nc6c7zAfypqfgmOpezdePaZzPjd+zS2wS8W+oJLrxMpEpANfFCw/JQvde
pXVyiwf6wn0dY8BJiIrAAJhlojnTKQM4EoofABhSPC7h8npUSb7u21moxk1DPtuUEf7SdFjVWUro
wAgQnqoQlLEd7bYgT+DUx+3DgywfoddluwKAKQXoN0QzEaxNWKQBbmuFm1vX7t/XULkpB7ikCB+L
hy7+YAlHXT57zlhDtAw01jiiVc/GPZH+vsxFqLAYQQOmqAs93eoTJoWm05hgiGxlKFgLPyIXs2hG
C6u4IMX8no9u8FtCu0/mqTibkgg+7N7rtx+qLjEoLmafUEVYyx7vRbsYlixj9wYhOfD9C8W4+A/2
4SJVI34Mz+FuS7rASMgAPiRGlJAHa5cHNELixgiX6ZUazWPksIC8iGsB5aFa3tKWroY2NyYBZ5zm
JQ67F5hB1mCZqX2ycuRHEWiAjLwsHzktVTdlETaV1LaEdU3pRMTGz9ZdFyLRnE9C1lxr93VbSgDt
odZn+GzSF7SwDRw3GAAKsoFOrFBNte121/VTYd1Quvz+SBDQfPYP1bQrLgtEZJ+4pzo/Cpk8ic04
yT4+s38bmvLw2bNV/CDFg5txh21la/8PrGIfjxJGjCjRP9xzkJhPeolOk73XuUHvWZmD9FQ0opES
sDPEjMk+7AzDVhp0C7ujnHOTSzJ1RdheoHN0EgjFA/e7gX3Gz9FdP0YcOkWTVt3lOhCKL2/mqGZs
7gLWzn+7ljdlit/laC0gUd8j6+X59f5nedQNhBGmFM4tpFwCt60Dh5B4fead2HNVCS2ZgXNMOtAf
+T8iUZVXX61c9Gah86dtHM4I9kgmrAM0MWmUgGWLjbwotim962ohOXsVoYswO1B+Kq2hMJG/6g4E
OL3GM+CTsE2nOMh1NIW6N6KJOg7vDtBcwKZsuha5hz+LbdSa2lTxlOfvvv3RzqIqZUcJhPrTEwbv
7ioNLaRl41FxveCZ6N0D3FYOvojtwT5KEkmAhr1QWd2xctTvq5m0JbLAsRivJG5ubOS3sjxoqrT4
meL1WBiFAHeE8npkafkpk7cMoGuHHvpOlYTFNt9Z0HmVOfXie3nMintA29jd5jz0rMaMZ/psukUY
mjHjc9e1H7OXVv4PSRn20GSFiQ4sTotjssk9lOZ1jxmnQ/Q48aAx4nD9+Baw0QxA7eVEbFvAWZSs
zlcMp68lwFTKIfC+LgKubF0J8hJS4BCwA8f+scylWcS8K3JxA34XIs6rnUI3i1HEb7XELWIsDAPN
0gnENXeM1sqpChoS456k0mh2budk1xoNItaOyKvDE4zgfMQdsaTgol7/hn8755PURop6jcru9lFx
W9cX0zXrZCMNduW9HgFFttxJ38ytCcj6crp449M0cCeyD4S749Q0usxFzCUm697/BEr04TQIAuCX
2NC0ytE1e9d9QKVs2o06hJI9hkqT1J9b21ZKqYJVMtxAMkYy7dPgMMpudGHRaKoXzq4N5TLJujTs
tuSO9+3RSMJsH1WsxrnRPGdSn7tC2SW71tIflPJViN+9FS0uIf1UZ/LNLgxRcaePdfPadsWD1AAC
kZYpTb4WTPFxe3U2CTgQKOZhy0EzqqrL3ZhZUR8XUEM5Hnsv0j+U3RNvDHRlyX9fJfAfx+/UbnIJ
VTs1r3ZenN+YYEH4DyrWYVH9a76CCVm9TzeRdq4c74wNQEItqLs8AMN85gAZlo6vJmYxhrGayw8d
VNmn28UYzyXVga4WyWSOKG7tLy2r6HuABxr+1C62t/YDbqwrOJC8Lka+J/X1mD2epSbMffNYI9Ew
IReBuXavJMiF8Tfd+NR+Ypn8XyMlWbbGOJbaefFsw+2wmN6srzq+s8b3aw59A3LVatPepjcEwJQW
rA47T4Ol2cgSyNo1/e+z8Vyj8ENhHn29b4F8lxFEhf/qojv3kIQN4lxm9b5icktO6f9diuvAg4Pa
7/4rYjoUZAmQpwhCDWBXBWC2D995Xc/X+O4HOiQb7zSzPq2PQySrhgNb7svE19sbOcwO4cUMZPJY
L6lBy+YQhAKxk1Vd8nM2KiYqLSaaJJ1OK2YQrsEKzCpzJOl98AgLBK7+q3WsHp0lJf/+WWXaeme0
xM97wGqy8+5gFIg0VMUfLmFYGFwB3mPkEOZxXFp3L6CNNykQF+nug1uqLCWVDi8QCNavY5MqVNM/
vDUIX8UnyFLL2ZF+EqNZDwi6UMwBRvtLjt9nJieF+EGe88wTmmhY0vEUrRblUjI8IhsNxHiSEi2c
HNAX28c/SBh3EKnR1Zc1stbRAPdzJeNHXH4ZCUGYMjAetV3mbAUqh6MChMehBlgTLG5rSsKuqlfX
GCaADmsu4J6t9Z+g62eylZmRwaBIN/dk3eV34zOLQW/XFWcaqR5b+qQpkjNo4wkxv9D/fD9iuqfE
Mr4MBVAe+ityccBHbM0/10UADTjl2NZr3dkgKEO4e+dzRfmTPahjFgcORbOlGX1mbiWEEb5LAL+M
8EUa2yaGw4vEEcHvt/q1paESHdINNjnMziVsAhOJgklLTrhE7w1QcID/qcTCd9pPTu0z8z0w308a
ooJ38qW/ARUWxtYSJyijUHfRdARqlNr7qokHFSbd31AwfM+fuzVjhsHLQkBmZLm1oGqdW5BHuQ7X
jhNsDbddVnkFypLFS6ZkeiycQWPqcuMhzFAg9PHEo0yVMH7YxC/FOy5YXrAP0k0B47iKMHsFczCs
Rfl8Q8otMTJo+szGzeifEfyvVfoDwRkBLG5Niewa7P0hiWpi6pdQlS8VPZqQBsBVkn8W30VappnB
Rll0ytrpf/Y5AWvMrBeG3u2sgO/EMspbk7pInqoTjuie1AEY0Mk7rqKYSDZ+7lRtf5gWguPF7KqM
4mqYThnOEGZOGrTbWD8T2Q/gqd2WWaX1QKAFxGJajEkUo2teh/TqhE5jsK7f/+RwCzE6QoOe6xsP
P8RcM65DQLszGzKVTWQgHnPpKDO7XmP4EKu3kI2RCzUY5/5j+x3oPNEMaPjOXL5rpnYvamTTNvIM
7LWxc1yHof0lHiYC0jrxgsG51Dufa1Ph9idV48t9inQyfC6FAN8LDElF0xlc2eCY9MeYWtAvfBII
SSR4aLCDiMgHAYyluRnKEiBQznN3yV+19D/0XtiqFIQEdXmXq2Lu6E2sYhwJG6LTBq+cmzudz9h4
DtGk5h5nyKXonAd4SfQQPWzMo344oRCqYsdySrQrHbPx0MN5kqR33A0QTP459Htgr3G+eP0MWl0G
gUZDg2N6CMfPc1qsgX0e1jAd0vwBZiFNlq1aMcZ3/RpTjIxeoIkCQHELznUw34U2wlgjhwmTd4fc
v7dlxi+yomXHAE9VUmx8/0MWIednOXMsyZRWlstD5PzdSVgJi0Z4WS0m3v+UyH2LvWQ2LjylrOYq
wQPWOfk+E2GdaHmfoeJBDqi+nyemoK1u1cEs4MAzx6fjcdkOfYLHwDrT63HgpE49kuWvGMy15gSP
Zz7ZFDbrlXZ8LNTA727nD+U0c0Y0GkcS6xq4kQ9UPhxFkqNLjRf1oYqgf3OL/2TW3LA7NoWL3q+Y
40c6R9oCNe9o0NLIx0V7WTy/npEmzFUitNE+40VUGOtZ8ggEDfehlCPPpwC/ndUXXRdTQGpQO4NX
FB3enbhjKQ2e8vv+qp2NVAICDu0Fl0A2MwgZf9DA2sqcDGuKSbNx86dMtWJGs7dtOjIVtivnuthn
un23F3l3lUYp4QOBegm/CYN3zYf0lsb+fPyCfkEhq9QLwOW0hvf8CvEVlR4mIr3SbYHn/q7a8sMm
V128aPPriKnANK1kPM/jrNqrAySibxE1e9HS4z+Pqz08FKnFYQs0U3zf+PjKk7ioos4rMNrkHWuB
qjKn/iiflgCjbsnSAs1mVnBsmd3eyFDntnfvmvtpIttI69Vigutx2sd5xPAr16+ho/UZnueg6j0C
e0RIcXusNljd20vqhrlEgBAm+OuXf6uqkz1Y0/GJKDdl32Bq8DDPGwWQR3EnXxzZT6/tlRbfY8Ik
0R1n/PSMfMGzrpx+emJ8H60j+Jq75u8ebAOU47Ga8N46Jj2megSkuIbn6WvmtxfZbGxaHi90iSPL
rs3HpE8hAP2kHHZcCEmsNDY/kAdTOLQekcXdiv0hn1/e5ngOjVRECqHxO6pnORweZvPEziK/l+PM
s1ZGULW7J1CYQhxU2Zv4SjLtQkrVia4UX37OlQnopiQCaw+B2d3zejlaysPYlqcAaCq3HT6lOFnc
3KKk2efnzEMExdW0sEIuUnU0pYm+P8rdaYl/DpJoTY0L1+UzhqxkFx21xEwASQ/ZdGcINffyZNZI
X6Drf7nd7533uIbUJUN2etYo6MBwjXemOZxfTeYoAEKmN3XrqZoslRRuNECFC2ywnOCGxo9fzQkC
QwnOdy5XhAifKCnYMl2ks7zMjQwnieB8aPQYmw4ssfU4kJpqBYyJ/CHRU4HhMJsnNsArz1ML1zB7
IpzKGA47tbgWHUt0kqBtty/d/OwFdewV3eFkAiLMKOZXyYLDgfSfPq4CGrqL6O0o+HmL9Ur444/s
0X2UlxgVKJTuJ5/hC8zEOGXWdJ7efNE2NGs8oBNoxZzLlCKGHOU+QsU8iLOW2MZ5hbxgDRRZ98aF
Ne6xT8heaWDaSU7d3PHA9uJaFg8Mlnb0x+x08qbUU8mMvuembbyZyurRn4UlrfYGsEzZypuzkjFo
sEuox8HrHxZfLENFxX8S0j/mtMvoJaySv3Btv6gU+pd7i/m8f44QS8d1Z3JwCyoDc3LFxrveNAOd
nnomXwm3hQsIg7dajfTR4LqC5+v7CGtVSS964HU9z7+KLrCPEaX1C+Xp9DhgAzUiIocK8h9X6k+I
y0XEUmcftDIz8Rz3dwfNzHO5S8i2qqhkSMbhenbuHjClf4ySBDSuIRwuUDAvKMCTsKV7tSEQyYv0
Ul5jZmeDnOinRiVo71vVjgzPzmhrZ7P8amUnOfFoIO0dKo13i98P7sAKd8Rm07ZwVatG2iTaLEfF
xY4vtoKHzVYC36nuV+u0WWOIQLV+2GC6AY8vfaRTht+YCPE8AvGA9kpEBwgWlGrY+o6D/YEK3O4i
HyixD8Ozizekn3k+hMiMt15oOhzMxZG8MiilCfIghWYNd7wQ+yki8DtTkFzIA8pOMoHQB3cnwYYa
V2wUUf8OPW9kPFRPkKtbViGJoKF6LVZZd0y0auXRi+TBtIubv7zSXTGefzsbu8MrgHLkaKKxeZaA
VWuIeFyaxbLWauq5MzwToFtXm4QG9nXOYVQFGXrBkbxu2u5zZKcaQYWCfdpeUyEFklZvnBKcXhAO
/YLWTKsqmzSBRt45r7l5sE7NY2p6HBT3N5onBRHvirq/4OllHbRB6BUiUyKaB1Ag+8bDhBZPID5q
aRa4UKJu8pFkxROxjb21IHKRR6VplYINQLbn58D/Rkmx9avI3sP5cjDTCGnrryv0Jgx2UqlC/Bu/
cGGEfJyNPBHlf98kqMh/B5lKkwpNiMa7UyWhR4XQFDk6Y1eWfNiVw7v8iKoZG+CzM4GzShZiaLbN
SwzO9KKI8Q8Wjwzqlr+9DJJwnNBpQmTHz/Xv+Zsj6ZigcJNonvI1sTTZVqu1Hc9CmnQIn32N290p
JaaM3LXcx/aMnloOfzdscpxKyH5hhd7zHmHP5DlGnTFT0yBOGuVfxUQG5Sm2XX+BsXCv7VfVYF/1
vOucY/WWjruxXRvdfGAMlV25f12RcGqPha+Pn0sbC66gSUOZAJ0cbYeRakdF7ZbrvWE5M6Fir4qA
L1x2kjSBpTNQNomzzcWxy7lfB9k8oxOO09nhkJ53NsvgbBkfXWbwhcZeG1JtTw7nQW6E0a95N2Lv
XwuwxjJzqXa/0wQMWl5sepugrIcIOxea6mX08ujZR6BNSZa9UqeGMKZpbSuMXWDVLJuAv5xXOdxj
7iM+4ahEnB/jBOAaqnYS+cLVXEiPzWZhr/7eNaE9V0901zVN70yrYnh6R47efhH3uMrEQzTrcT1j
tvGj73EJVi8Rau5Jr1MOQUbrep8OeVzAg42f3NjbdNZEpIxZ/YWfRLwaqfKlbhY4wgZk+UWXAK7o
UPjhwlxh+de6uQPfsuzEG+24FebDA+ixpk8c/c3DVbkdP5B4hMpJrre4VsoMfEireQ0R844EpjgA
NG1enUlstQOJ910ZwvLAxwbajoEiGqS9HpEQXykXxVRQWLuykifzFlry0RdMAalmcHfLeeajGpSB
cILLb2DMETGE1Pw7ZIdMz9xl9pyJ1zvsZ0WNycikPQLcHpV512KEdSproq/EFQOJXV8+mffDPhIV
ptWetvWbHvY44Sa5sRuAXG50aJXAX/dMNQn3NBgVp5H+DgsfX4RnSXF0Wzl3CBw8jKmw2CGl7cvg
uIHJ/fYP8VmNQxpi9Xbzj0JPLHXbY4oM2x89Q34I19EZ+kinAItSwE/ZJ2SlQTyrfmqL3ESNw4xG
YcjsAKzWIYOVNrCL2kbudS3uPb8/I1kQxMbD6wGktm/Aa4g799ZaQ5ox+s8ys+2XkZC5eKqw4kdE
XZ3iPccjCuupnRIG30pk7p+dIxtWBA+aqHRAehZkfc2gCocfooYDq2Tb56gqx/cFbP2/rWiIVkBM
oySBlLFAUgWHHoXXjsHin//8DT8R0Kc1Hazy8g+rM/MtAA5yf2M1I/1Wvj4TGzEyBVbnqOYBOXgL
vEqGQEZmqYl7SH3Er6oibiesGATBnexIDp86OvpI7wZLA5LxKb30ZjoF7CASYdVVUVK8uZa116NH
nYS/LZ30z4RowoUF5WvCeK7vmH1tx1Z5LwFOURYLoYuGveOwPNGqeLrsgAcry+y7qIM2b5od2GDw
Nt+J5uCb46nCjhgFzXOJ5kjK9BspbqTgESIH7AA52YA/HvXXZvZ15dJ4CD9sxJh/MHPLn1F8gJgm
+1xGXCu0CRniqhr8jHWM14z4dL+HSfcqw3Yrjaaz1Tbq2KwnfrnuOYHw4H8E3Dvt60MzonuN5odW
8fIyxU0IbbOuntTJ/isEQ+0BCjvWiyfp8yuCwO+Dkn1UrtWgtgFOZQtRt7lQKgsEQlMgog3dZFRJ
5+Q0914Vegwgttbo7vwFYTtIIVUxUkqr7qFeS77kHE4QlMe2Ms1nUYNQV6ec540OD8fs/DvxKLbK
/LJBJ9T0pLVJKnE2lOWgskx/qP913cv0QgWk/k4pl2yi2y3QmIAzrzon8fMqQtUHiNoHcfK5SFxK
fZ10FE2cpMl1uqDVJ8pVnIEmPv6qN78F/pnmV/zoxmCALMj7GuPSquNFWq40BGSWCjy/cBrbg39M
mGIE7Gz2YX5ovf/wgzZEEFrio1BhStrvBh4NAun4FHAMMTG3es35pcAF2QJ/s0TJVqbQBmG9LcKt
MBdb3H3/8olyEPnplaFOB15WB5cnuby46mKmPeAwoYKNmWuH+Ah99gFCYmjKwMf9gD/Kl7ndR8zs
6MTj2Em77xBInvDpGME09ElYsi9yZTgMe2YnrvfZPdJnomSo0wPtmFocHCmExTgDEhaQ+x/6dNjE
LWZ0NWm7bDdDtvF05PAxPaIUvqJiM+6mf+dxHNJBOskiOQzZQ8lCWb2stcn+tHq4wMrJ8phVUsIo
/TOvOPrj6HJJO4Ozfup41iy+hEo8b8qnw1znN6hZ/JPsCD8pPrVDrWEBj1Qskjk0/23JrXESgxqF
9OM14DqJfN/LC7QzHfjLbaZeidZiP+Kk7mkt1AC4fntLNdhu/J0NyBAnoi8511QXNDghnVl9jWCd
KWA9ZCE9/QqKKrcB0RaASq2/uG1M4rxY4oqKdHmmVXGztDhznyWL2aRdknZeFpYEmZXu5tGbNvJz
/PZkYIIIWNmamEwDuwKHY+BNkwrNCVK1jb95Q0NwFZv8Jq+JW4S1NoxIlgR/W8WGrNReRcks45gC
LUF2IouJ27BEKy58h0WN3JtZiWp38ny66tdSBivMHdBpzTuK0WkFDLbcvQu/7s37hZp4XZFiBapm
Ya6xg7XZqO4roJpxtp/x3CYV4wlmJf6FCq/fqPrN96nXRVZk02irbPv5M8gpN+5dE5UNncDB25Qr
4vE1FJw/G1X4y9PchgTh4oGC1sCqCrG6/9WCAFaP+Ng1vOHbowDFlIQ79q+ykfhMaN0xEWf+3T+3
LG0Si6RhpJVJCly3690AGYPLusQackGOH29w5ry097rYXOWKr8vVp5njVokI2eWK31BRMQfJbkBo
rhJZ9cJa0PxbqKv19oygGzTfVz7AsbvXqz/qcUpV02t4/i2Br0Det1X4QB/Y2PORkXCw4FDedE6a
/19ycFkiFE9VixsgNlJEvmX2kMHAaZmDeF/vKnDAN5XU2YsCPYL96psUi5NUf4CyC4WozoEMJNME
Jil/tS8cwc4UfhmLhh5E01gDcy0vTce4NTXuFlIhrJAYEV/Mhzrl4llWfqx7qF88MtsQ9PrbXU51
IdHaQ/ct+lH4Jeu5JflW85VGpMVkMAPd3F3tOdsYcYyNnKT0rDRggTx5TWy3h+eRAMbr0fWkx6xS
S3v6vFvLHDLjJvpQ//tZJKoORccgcQq0mGkXF6Qcd+q8M/zKSttzL1uzSPuwF2buLzsez5dR3jMe
2/7g4zAw0S0TYCmdBLIyoJVEgdRTIR0ivfnRGE2NTKQvwLX+xUBSA0cM6AIptOrH+F5i/DRc1woe
KZ1EPdQDZvu7k13gEUl7x7CKBW07W1wiwqly7Ulxru15EVphgg5UkdB9spRhBJ2hhLgGXB3P1j/B
qV7194wxCCqOVJNRSagJ6+UfMe3CDCvYbU0LpXv4betCuDTMU3HKcBLZo522q9uesHsKLw6J3Kkz
igPTu+K5C/fmPw20CFyPFUCm1KRgAkd61y74T1UnSGRFQTt+TAouNQyvdYUB6Al5LP6NW0S0IuVO
1Ad1QlvDiY4TXXFT52navzAEZsCY+9KijJmqcokvDJN6XurYAS7ZfF8AHMNzJMIwQ8QOOmSgkVac
C2GvCcy3yUF6/UviELpr2hxueHZaHPNxKgam7F9Enq+Hb3g9J9y6TVIn0AXCOGj0V3vWGxguW4w1
EcvzYfjF+QxPkkUySOIwJkzqiuSwTdM0lVs7cJk2fTzkHn73Z5V4ahyVl7pmVECO47xNJgpEal/V
of65eL45bgN5oW60Iq96pOzswSdQyLy4wrWK0qH5YZIu2vjCmS+6jdPB2qbQYY/rt0S6f1OKfpeN
+MBgMFUR+uiWT7VCnGkSkaaLoI+CSpDBP9yBeIZMlAkDFL/V/cT1V2IPl8OfdXobccYhfJs6DrDQ
mRVFbW+bfF9RNmOpqeqPsVVswEwduREqL0bicVMKwRDCavqbcYl2Bb/4csqeaVwpTadHM1tflYSf
Cxe+9+I5zGD0w3FlFT9auEqHLSC81Ez23+bbdDYje8f+54q9DfjU3/qo7JZPNCKNwAAMzR42Davl
D38Bjv43umz6Y0kSDxu2WESLxf1XXHnL/574eSEKylV98Fbp8Q7iHcVFx6ppzSQWKNzP+w9fCDbe
FtrgMrWi791NBaDGydBjpigITBjCqacaelojwm03kWYWQhW6QplpPO5MUI7QyYDh3TBJWAPR7bT2
JJulWWFIklF08dwbQpGNIZtUbVMRxjR+pda81MYI+8gVjzxK2HiC+tDRflamK5dn7JSrtECsUw0h
QIYFr2c4D5GTHFFSUmwgxy1fK3kPlpLNoNxgX8eX/EoyjoIB6MhxJdNLRidmQxco6vq2x01FoXAc
m4v5Hqhjd3wKejfQUpVoPyRouJ8jXzPtqUzn5J1CjLJ075X3JCULX0cinUYQ4Hq+lJnqmUDpNqMa
xV6N4ychCeXl3NSwbTAyLMpMyDfyKtyZ00urwiQjGz6BRlgKYk2uDxiB92t+cBuz8sFGMQH2x6Lv
mP06fWNgn2fkRltqRiX4nPzKE4SgT9VqqjJy+oez8ZMjSL3I1olT5xslf6QpkcgLyIXtwgAyMBeV
oMXzFUzHFCXEkTGn9cwiVbSWD+Cw+e96tuQNbL9dfZpcZ9c66jxftXl8i392DJsrqq4d+VvJrdIA
YdSTUQMZSnUJN+fbUjOtR0aJF6zDy5W7qsIv8Xqja/IsE8TDKEhXcP65VmVEHZj5YC1geKK/Ha7G
SV8bheViryYW0qX0Ku8eejaQC69AfKpgfriqWUhVKDbJC80da9ry0Mv79LKY/DXMxQFjAMfQmY0n
JOOFE5eFTKUPEZVASapoA71Yc2zs4s0iVI5hLRwB9f45s7GcYTGGQ/q6EUVlZZi/3wzlzU4OIxot
lWVIqDdyCt2EaT+VehdC8nJbVBWxCfjdnMdb5d2EtukEBdee4ZSwtyxcQqscj8NaTDxwQRWQfkm7
KdGbDsgNaCWgi7hb1rmwNrhFPNn6FZSGR74ktQR+LrSZQ5aAmra8dBTHU2MddM5iRag+tvNCR9+o
5a5DkY4ilgLUOl4Ig34G/WTHTdNdCAYlk5+kL6qsPdn00NJcH09ajzMYlMwblJqjaTBgiGBZr9aC
yRSda7w7NT/Mb1CdSvWm1x7Vlag8SNex0xfQbF1HWWRBFVjn5yJLfIE/PZiCslFCFggESafYBuJC
rsIWfYGEEZJfMCl9bkN+UyPPdW5skHtqHvvf7mm6OVrb+U2G14uhoAG0YfWTm9lmGkQ0ZEda1q2h
KbosB4KzQWmufxCvzJOvJro5awt4WGSMR/OyKeKcVTkUYKnyk1S/t2s1mssb4Xdq+ybfEieB/RXC
1SpyAGpG+637KL1uAzsZAOOafNo9R1H50vBwXSlb2Pmf202ekL8tGH5Abdv/s/hghQp8rkipfieF
t/MFNlAfuFbStzDYd7fahSLF3aSJJUWLQ3PAvsEKWcZyEzGrT7C3TvCB5D4Tr5s4ssMiNs+1SYaj
O+QbpRxMLn5xt0nTnQgwIWAwDirdqqX8BRd+0+FSNqsx1JS4XOtM5xSPbFR8jJpL9gB1X1Ux5cb6
VIrrxXULcsMtiK0TZi7xWhaiubXahZoyYxR05CdvAREYpLQ7tXEBOQTqReIp49M/FpNTkBu66MNs
Xtc25pEBW8XuEaLKX5Gq79VMDw30eu6nV5thmddSAsdadrrflkCUnCKyReJ02o1KC+jB5D7RJ08x
KU3wwtZgfoG54iblCf7v46kZ5HufNiM1moa1XZfR7UfISBoLL0O56i/h8MOcYXoAxvZW1ObaT9K+
Q1nckw2o5KDcZiOmYDC7kgMOukuo/0XV4FhTV4wTl+1WWdRNlyJvu9HgKolEeesj1GtZfeTCa395
PVrZj0lDGIzzyQs8Ot/8L4pjpjRPksVtTzV/C8NGLZc+tw5LQ6XrlLSHzrN3RrWWB0zmTzZbDu7I
LV0noy6NvRYP6u7EgKAAooJz3DZHd422tQo+nQPFrbuMBge4a0AViae65covLS73c4rkXN0k0vwU
G71+lEkVQUPB27Li4Va5t2O17I+rK20pm5qe44/Xw9iK+QuU0GThN12aR8SUQCbAzTlTJH4BF+wK
hteGKGQB7hRb0dQ9TYPxWEcYbOD5CIUhv/i+oMf3FrlscQBQIHntf+hfz/BQXSX78qmKibsV+Heb
M1L4XIUAGZCTo7gbxza/Z7P6TWbeDCFl3kvE1A76GCpGLzozY+Qs0Yj9S1zUawv5ULcva2bjRG3V
EBlVu0c7uetuOR8gwX8jtlS3gk3QGU+QRe8V4BHC9yKWGkLLaxdkaqRKX207wpSfhjLkbq0Cs1B0
Oi5HekClNq7TeJarc83nlIjmPdDrO8/gEZa6YPAn5nbSom6RJ4OqWwZVo0NzzAo+RQ3kV0kYg+12
S7I2u+p5tAV3FQT7SLD9oR2nUjUd0dpI8kGvgFbfimw1dmWiN+7E1EuGi2OOx8xAes4YpolM7YDG
YcdwhukkD9h4wEFmUN90W4wtFmtusg6b6Q5rTdgdZWkIDlnoTm3whithRFKXb3o9zWiF7OWnnI16
Nnk0xIwewGwf2q6o46MR+VUFo3lvoYJKGpQzkH9ibPsZsQPi5MChaYxelwtS9FPZd3t4iynH8zxC
hAo8JHIuRks/TQEJAFXO5kh+Xh6fXvYhSl2GivGrRZiwf4T2fMWThCGpBlfpQDZ5fS4DOw0kqEhD
Y49YW3FWTaSiF9lGmHpy4gKy4NgqX7QnG4BfkjKnrYq1Fz9AlzoMG4IX0r23+OReWJWlNRZwYBE8
I1P38RsXyIF3UzztdHE/ldFM90NXTzdJlaijq7XsgqWy5BlXENM0EI06DgKXqVpctbv7kPioggBZ
0vRtA5CISU6IXB9BSLl1eHqd/xtjy6WSswlRqZxXpG/+KiI6PyAHUnVoqRT42pX6Z/P9yhED5ZIG
1Lp5PPFBF3N7jWGwGNpaSPkCe9g4wo72ZlrEI8DfFP3tetztqw378B/xzlV9GC4FxZEZcuBx6yWk
EUhAy9+vtKXJwRmNI0aYequkIWEaTPsnS4q0ApE3znqbVSrV0lDUpScU70R9rZyXaTNGYI8YVXYC
+Hh/8DplPK3q1csMwQ2jBna52RLVU1I9yKLZuCMD17bx51zeL1JtUxLdTM/NSnoLk6yto1itqMix
w7lVrQGauIaf1CUPwRkVlmQO9kRfgmlTx2iI/oRfxAo7GEkYFzeTCrCn9V27LiQdt8cKxC5/ycdi
3O2/Iqgp7scGhLINDStfTg/LJZIyNyECipV6/6RHT34D3mTWLXFdUxxrjF6//c0PnO2S93Q8pb3N
PNzJ9NXfV4fd0uJBtAMxvp3YRTDy7xSAClcTJu1J0wS/5E4fs8WA3NXpUYfydpjFoFJDrhxo7lW6
gvHYZ5vcd4bkz5QhQcPYpphn8g8VeHXdafblpeiQ4mqdm7oCmPOgS9DpSOpAFGQN/rWM5IBgRsGn
PsUSG5qPR7IBNebsCN2NAwfwJlzuso2nJBA5EIeycIFi788hUOryAKUJqHNVrdaYSGyyBjRHA6fr
H3LMYCRAyZb9jxK9D22BvUiTqVWtYoblyB1ik88D/87VE7FDCc1sIpJB4DrsTIKAOnlaj/xoyxSP
7EgubxQOWicqPEsvdXZt2+m/dMd4p0sZ8daB6AV98arotgIOUaxUMmKHXbZShfEKd7HgrdNvYWXp
I0JvbhG9nVTSVJC87/A50PHcbYZWTR/pRYRz//UFV3dnW5dlesHIC6+1iP8F9KNW7rf4DZcJIEqh
puxVoMJacyMaDH2dFPbVzbB6/Sa/5OHuGqFh5v4F6lQt8L5wORRRRePB4J/QMlbgxYlPSpKC2VFf
wNAaaOfpVL2BJhloGht/AE0dO/oLG2uz19sVu6W6T9CRnZsn6IYEwRxLxZ9Q1ff5hzK8V8mhts4x
Rh1rx0GlB7iDiXWHnQLwpEibLiZQdci96qbxmEFZVhO0wCciOVeAKrzYfzV75K2iaz/ZvmGGOFGV
9pIHWNjLZB+FaH9MZ3gkg9LzW093ymuPxOsniacXgymwxFPDZzxmpW7IP5DoRQNY1b8iNa5KC7R8
HYHBb8Qo0L8AdgSdVOZAybgqecXpSzZrEkQoDuVVQcDfxPqaUmU1O274/bwC8vQgzM55fyMeOZ4U
soSBtsMJYSH5GBNgnJtorEklExOC4g3FSIvLq9XFVzqobFeQSyNkWejJ5kF9SgnBPqTF6k5e7mWE
837ZE3j53gnA1qODft8SwiYX74Aie8rZJMv27PUvu19GsAAxO++OC8Csytk/UCJJsXUOOEVvbEi7
0UAqFW3tWNkODkp3I0qCZy7TGSC7c+iGVMxPrUk74sdpZd9W5Ad2xzA5Np4s6KcGrC8sGbnysqXi
jrH0F5DpME9Hn7u8KGKpkCHnTfNZeiavrA+cKVWNa+grSo6NMxnzgzM9yBLPPEsiGhjnVFWyTRgg
o1u6Vj02hTPGwxWAnoJ5kryjJdpiDe16v5Z4LdlW5d2GRQkZrA/5JtJh/T4tKoU5+EsNrgF4xWdP
QF7+9yhz4E8cljTCF8lwNjGxE1w5DBLdD/3VHId+hoCBO29Xcuv9sCreGerGvKlcLetM8PpzaXRY
0UkhkSCAHm9NMyE2URBvXL6nc7sw59du3QyvZ/S+gDntoJT0ZV0/OYEogLAoBN0QJ3RUKfGY7v8M
q4P752nwySwGiDxCpV1/s2dX5cBNtjQMuz9CbaA6JeDkJPuiBEdjcmoMdp718/pcr51Kz0G3sqoV
yVyPBiVCphCWCPlrEqp1Xkvystu2s8WbQcbdGGyLbpCCodtH9rp4q9DuoQBJqUKxlGhdyXcbixhx
zBAUX2qfNiZJ2i0MjWAnfYzAHhoDcIBjkobereUl7M4R0dIXZBFCezMbl4Ssd2djhtAiuZ0TgGbq
9+pkqbRvA3HnT/i2+YtDHbEcEhPdP1SmIinHkN9R8HUTuxlQHySnuTHwuzfcMhRYRLN7rhcITQjl
3vlY78N+7PJm+dsZlMMm05kj5EN46UX2fnDuywuBIlke+Lgocau1MNMCbta0O2gjCGlw51G+VaM2
ZffbxBvn/kfeMSlSqCv/a6a8wOIxqJGzDPe9ajIIpDOQL+SLNos4/sOrZ8JeTl77gQS9c+mofkNY
9FtJHeq/8fRCeL7mw4YDWUC8Z8P9vPhc5JR3eFSwRjUa7uxPrg95sj1sLz1mcqJAN+Y43heArr/A
KB3yHMblEnCVJFmyFPKsn+sEQZ35wC7phd3pDrQNOCFTJq1QoJs0B9CHR3LsmnjK8Jr0ZkgQKnKR
xoF3/Nvysx4czhAizQ999xywggi+tFqN8nxin7yzJk7iDgJ8Gwg04ZTzeHjlEczrnPRWU1WevVnp
pcptRtafAKLklC6pGC91DTdMT7hogsmpEDmOcM7btSV7mWdAY9rqmY80Zk/ZjKtaLUmXiUDRdaws
/7bSO9/0kZkjRn/d+l3bFixVZFO76DLIjCCDyrBJwj1CWiQRyTBFuYNuVBLf8WhUUBfmvtsOsQhX
0nrGvdB99Nd1W/UOhpo8857cTgIS75DcfsGpv0RgYJgRCcEG3Y2bGLlcKyin//rHNk5NUIwEswyL
vcqK65RhY3M0fUoRQqr3mgOt76LSGWRdG4oxm1ESzAwNK1WO0IYxUGRQ+O/NDtiCzoxXIoYxwzg/
Y/UINsgCWwMCxk1hcRCenRfpOyh/AcBMVq6oJgo3cHDcqk4dkCkxomZonAOzp6699nSs9Q+P4XiU
hJUOHp0I0anW3plTvkaxxHPQS+Kxmgvr0lpB2jG/jQAm4BZyYWMGsLAqLcImcieS0H4AitoofZui
y/3s8A5/yecmK/Dcl1g+q7bfLRaig/JbPT+TUYAOIbgwl30tMxePGRCKQA+dUqi20gjasIIB44pY
NcQHOYNSclixBiRD+bOhPkAZu9mgwlDly5YeM0gGtoKokJbbakXmeogJCcICeTej6PW48b41do8x
wPoINuVf7Ah6UVDixXq6dn/oFE6gFILFiFbJ3qlqSDjFPRFhNPuplBoZBauVHCugj8eS1kdIEUxm
F5ENpQUcr+P+WLI+P6qnxgLxrNMJq3eLMDu37dXetd37pLQ+j5nnG+61qAel+Sagw3vNpKsdgD0A
2LYcdTBhGEG/U6Vu+XSMa5IuzX4vUZSxxm7q4hh0otPmNqXhh/C35sN9aWR1G9VO+BQbvcMjMPxt
P6I8nDkZa4/I6g6adEeWh4en3h/F52WEwwfJZafcXXPs8NoHWPpmzUNa8d6G7wDE5vf/mIaM0tpn
82IwUi0f3MsWB3VNAWjevZ5NoVca+aMvNPbeCor8WPq3csHbKhYLM6SULmMzZB8FaRQv/cWBtg4I
lxL96113f6w2peoTdiQt3vwEQZYrgG1HzBeMWmFtyShpfGako6tOq8joQ0VGQvAGhmYXN5y15pD3
1uWCjqFPoF240L8A7Zuu/Ojdwc6wUJABuYPaq2v0acrNUz7bPHXWN40jz/74ooj//TxR8k2jeFJq
TGIuxiSbaOPOz4XpyxljrgertTCEsYPReZYeQDg1Z++q5De9x2oBoo7pcnd+HXrzXuXPmFAFqxFp
Gs4WYhwANMdZT3oobmu4DQc8XnARaxDXdAGhjTzZknJB/WzoMci3/mkDd5uilyPuv1P55Jz049jG
BO8CMLzbvURlpZOzB25SXNmi0Z8uoNIbiK6A0hEUCo3MV57JSP81gfl/lwsNitCyteHpc5sDnEmG
F+3G6o9Sm82TuSeUhJxyLVms7LnR33tPkBTaqaeHPqRq7QxwIuWILHo+fAoMMVo0FxRVlG3fop/Q
LLSpvQ8JMSl9gEWlmi3qSCRGaNWa3mNXS6GI0m8Vb/Gxq9PaYy0T2ecOw5I/PDHMrYSnPJPqeIfB
9zB1n2CnfsITbcSTsxOy5s4gf/mb88ixBJDBPF9XHcmoHEhNXKyl9YOqbK0qK5MULUTvQV45YD7M
kX2QAYQZHmvp27EhrrgHoKiKvHaXAmkthSjp8XzzLF/F6iRwgWelPFneKfczjf1vHMeFDAip75ZN
20FSL3DQVzgnB2VV/eStegh9nMHqjP1ETdTWkIJOEF1lj5a2SpLTF3Oa7w1VkcWyzlw5IJiwhvaw
sJtYViRa91PndGyajszsurlZCHuo3qGgt89jX4L4udApfeUfaRn3VXm3eOSQgS+dcyf5e5NzOWnw
gyTF75AwchytltmHGqcMaWydtyZGm5K/Va1SxBcB27zToQjDDlLpjKLDxMwFftIeWJ/wMyFwTJx5
0v1nt4lOuWAcVgmrr7NDPa/fQRBzFxd4cfeYGEvdl5z/bHIw5cDbxmf2weR6AVXD0NN1G3Iv/uoy
ivfbnEt9L7Q6FPYzUSkZyqSN9Gp+WfIHFsn3dJ31MHRKc4rDEffhWE0PshlatWiKgfisBSVmUjR8
TOae3Y7cRJYKpxIo0CSIuqQxa11XX05eK4fUpO7r+o+BP2cEPbUuwe+kArKfnOBNFP9QcaCnyuq3
nhMtrtbw8lQXQqTh3XN/rE5vZaIbxDhhsTL+P1t1s9ARImnRNKtP1CLO+FiNxt06bSZhcX4QZPM6
C2cModybFw9h/KExe7a1lFpRejO33X8dgRW4Wkt3t7onGAJrwveg+xEmOzAB+fySy+Y0XG1aiySF
JbSc18zk6M8OfErVTKFayqpvYp86ArgmBC3BgDhWDH/r3POOGoeTlZhybaXjRvrsuBa8Ji+z7T6r
uCYrz3YTcxzkB6qd3e7JpASHDNvk3zFc13QfuylzaOEOpJlTYM//Ju0w2te4Ecx/TrzDCBF9nu9M
jOZWudZnFBoAKbJ5o34qLlqHq+bz8WKaVsYF9OP5uurWgb5vfUV+cV0dieZw6e9zffWRB3nRgeK4
1DnV1JoyZNTZeRwly5WUm1O5naHzMLofVm7wN0CKSGCcQW2E5ozozhvc/V69p9cnBjIsBwSRDzH3
NuJO2zyEXzSb222C3gOFLCvgaie6mVgtW5DSDnQG4YmnBSoMxbzwtNyPTWQXU/wg3S/bZILasVEb
84ZFVr9TWhEU4PZhEofuQkvuwTTejypEugiH4Crkxpm1xdrKYt7AVspJy5nHsLxZnmgTA8osrMnr
3Mrzz9q0LncVOjSOUVgUzUhhseHcTvCcbMZjoHl25XNhodvnqfYtGsexPs9YkK+CNKP1yaEZnSnm
Db3jArt47yYuOecT0YLg8w36Y7/I7bRSXtNNjWSV8x0GiCGsxdl8e+lBcwNS6qYeqdy4d5J1Ctxt
fSTonuuQ29bjSrGrYC1MAKxYWADSyPImMxXiWD5cGtx82j2Qs4AaIC14elA0Hqs+tr+oWf5zZtcs
9JM+fjcmVNW9J4z81OXXMZgI4apqjFH4yJUBuTHQ4UJCtrUnpO4Dm+ZT1wZ/sj8h+FMBpYNp54EC
9W7O9JwNhaWD57JzzTUFIkz6o+H/7FcdW+iTVi6rcLIMQw2+pUYTvy2Y5SUSAHou1S/tOdTCvcga
mQ512YPsvj4JjzAH/hqatnLdF62xWBGJN9/Y6ABswDrk3FbNzUHYXpHsdg1buzy8nClhkQiqyVM1
eCoZ5v0VAep/2sE2HGpJ6DiKT+HpY1JpgXFR5OcJuRrtj0tse0HT7TjdcJOb2M8FRxSPpcuVjzXM
99twnSo9cLuriSm6QaKHfmQqjFEkAviUwgRn6Ms5HVjenKWnGUBMkdMnaPsxgBFYiRITthc9MZhu
LDYNzH2aoOVlZBGTlFNdo3as0CoajqkTTOWjbXZmuROb6HtjjBQ30bQtVUnzcsk3W81SkfYWSMHq
ve3awneOFLG7kidXVQvR0aN4ComquoAkOx467xbEWGzynwaZCXAFzoDZAjERWyIZVP/aZbbnPJUH
kfCZo/4PAOCIeGrDVAOFyjWCU5DTA84kbQmhf4zwDWrIMPY4Ke2STDEZCAeQRkde/0XlrRRLYNR2
BRa/ZeoPenyUZ4mR6E/C97Xuj4PKFxbHbJiyCv6+Kv3CdxTKdQQ3cksmz/NsfZJe/hc8pq9d4W5t
d6ISlj4/mhHPgUFgRbze5RCp8wWxsQZIwtTaWMH8hUgCkYL0kHMG8Guh2XU1qxVHEZevkY4QgljJ
fmq4tsWOwu/SuMYO8WGXX5HHZyUEHcZMtjaWjd99DuhJ2u0jmvdk44YVZh9I1Vs3/1EOJP6A3fVe
hX+eXRdWzuYPhkpEhyN3Ax5lnK0aC1lJzsurqkWtTc/C6/v1M4LAG0pB8czo1BDSZMWvLhbOppxg
5DGTY3gDh3mie/sPp5h0GkvMol1f7fZI4M6yZPMB8Y4YHxvBRuN07fbCWauxbUlhRJOarzV3u3fx
E+TUqXwy6ibZ52/qzARpGcV3m4DnwpYN1aW6UyinlbTJofe14C/3MfVUj+Be9481bohO/x6KRLym
GpnAQX6iLRb9gQ0gkpp7pGYKhwiwzVCXQJmX24yNE1NdPWwUvVbQyPThnHmQHPyDoQL6HqpxZWau
+YCsf0Io8MKE+wf1HZDUXUMXBkl8cwfB5D1ACgv+q3TDBDPtGL7I3zAG6VSqBWlDFISbZfuwgMhV
u7ZOPWcaKTr39yXwMs2g2n94GmRnKR2AeTSHhVYgi8qujY7Rxfi2m/XIQZDoSZCeVXJXSjlV8Z5H
fpJYHa+a+vPr4emH4/9k43d2VInxVf+eSQHW8NmS2ZlkaAs8CDiTkp1v/Zu2SuJqQ/KMVXXqXD7Y
EidQ72256Io+hJxbmSWoyeQxc7GaZ25qiFo1Lc6J+V87cySCrcYHz6Q6CQ+WxTIxn64WHDMiCKvb
5HEswWJVMtq0cjx+kkjsRStW889/lxgaXaqbMxSzm68pMMzt2byrIokLECZSyC0CCasCorSaafce
vCn7jN8A40iFJykhxREJIZeAffrlSzbdnyqDAFiHwOvXJ8POflM5Gd34fjVP8da/kQRiSLa5wKIZ
EUyuvp3orPJt+TQLtTQjGZZTd+nL2VdKZFh0KH80P30/BzbiY0lGYsT+csWn4bhZNwhUWnjQrnFU
3JUayTozfLpBJfZsRRL2+eoVr3g5wepoAZi0Ra0OewaXulOLt/eHsqKj+HvTNx3AIV/03w9J6FYg
FTKB7m5zZuzbgVp+D0enQnyDpJ+eWvzLexRzUG+CPM+lJyzrM0Zm3WFH9v/4+Wm8ncMiVf0kk1O7
l08nNL4xh2kmTNmp0IqAcNgDgp/wY2GraWabk4mey2uTp2gJ9JvJuNv9ZwaGodG3SK0wNGMI74le
lq5JMqErLUTtcdgpjZ4ZJZsJfrJThl6CdRjAK8hA+DcT+LqblKDqa6nUb7yZc3PwEUAh3erL28RV
HL5m3o3FYzUgKnZWEZIcYZkJB5VxeSpn61mIpqm/XL6A1LLmo0RvMZFh2ZQT73ztyioi1DePsqp0
ubaCH5ngU1B2mhvUmaJx4haVxt5bIoDjNwKc1a04Ma+IqhH00dLAbCnmP2NI0SOokHX+LghJA5zE
umzSfqpKVoTPvMdJXGN5osPDbaTSMfVLF6tAhsd4bagJG4alMrLnhJh05+2srK8inyzfzztWes19
/y/Kz6DFfyY7Y5nPMbv7k9oqv8umSJ0FH1n+vYb1T+08lAkJiRP+pXtI8DuVmOYqqkQUSLkklMGX
ogjf1Wk5jxgXryjcIIVjn19TcmTd0atbJb9KYdXWhmk18hTCVSAiSvQ/djVXIQOF/IkYW1lGyEJz
0X9o9Y/YpLGIskcqM2JeeON0iTVfNnWR66XCD72yC7GiGw3uteGOSi7/mXoRxzVv3uoTkurLeSkO
8ltZvIq0zVzuypNd9xOM2y1XhU/gw9pJF+G5dwh43UEEJRX6U4wwjGeHtZGLwZdn9CDxqjze0v7L
Mo6H+YwTmKJIT9ktQuCXCDSpVzfVersK4qepYX86EwYmLJbWMWtpSGstI3prOpoG61XddqGEWxbV
E5n73U6TcH0tx1y91ItCW/s6mr6/R+pCJ2aSAojJCwr3M5mOpyKe3VsHE+cgXOtEM8AtxiFi6+Ua
hzrjM6Sa6eT6kcfgplcQuZZ6t46vFlPpXWSbxSRlFTnXzeRzIRc/CIbhG2JIoEixoGoio30JJLVc
ALBVBflP+RehHD+q02/q0G2Q186h3ouVhu8FvbcVg68OlhwnBqrEuOPWhsGtrifPmYokVICqhEgT
6n41gRZcZMJPCKYgg/ZH51F9DTkytu8FU1wtjdfSnzTcQEvj/JbrAlCqsDi88kQk3DajSe5uZ70I
BNC6JPhImwMzakJzNRfEW5EHDGVByXrlQDfvCn8qViinb+MogzondM8GkOlzPL2IdRZRdX8sIQbB
jSf5ZoM7PR7thViWrVOXZy+8UT736julhUPTCLuWZtuD4gBYamlQhCUZjzNsnAB2gmwHr0q60i3d
15pj8WjJ8keHBNG128z40wi2AESOBNYhI8kH+tqyCABQgQfjR6R44SacyIZ1q0I4Zi0QR+AvaJb1
7b/lkbWkddOr+MnNrwbuV6y/i1Gcjltydqdzf3So39J4PDVR1Ar+S5jE12BHNRrlLAPILHuI0iWA
qJCNOGjX++0unYQguIJ1S81QsX+sZASkI0qylvkxpDH7NHlYQmn+sPK3BL3EFU5hTXOIYrt2kvdC
n8ff7iRm/O5jbswCHGKr769Ou/7OY79Wt3xeT2XUBILolAlkkunwcPFcH5QQnUcOwCb/bpyaWEXn
Sv1Axy6n+UYV5LKXALQhW15D81JmSiNZvrGMbmczFsBsjwlpESBimxJtckV6ghSTlTwD/hNxQxlO
Rz5pEN2SWynkqGUevjdMreseoJXocmIgqwIADQt7/VV65TJEP5eWi+7Vu/zDVwW4vQWtbWXi6Bl5
FZuBwKNLx/Que8wM+64ngJU3NyOWzWvhoklzdEgsN5WLABE6dfGmi7+jDmnaghTwRh+5CkwKsvtK
BhwH+zey25xctceB6KGqwoDm3O425mj/VUmTXNKU66jB07HqbuznrZmkqs3ykuy1//ApeOGVvzlD
yrqCFiVsVFDtyeziPQuB8whuVJ6J21JS8w9k3+L015wDC4WHVK4sAsX8y9lvxouJfJVF8220QgNk
7zZTSdtuU5UDmKAUnNJJFP6NN32xXeMMmPs1Jsvw1rGF0/8+ieYKKsDaT4aGuhBULTTfyVpLWtBo
R888gpNy2aeDTt/UMxH6jgSR3EceYKEIwwFIWvdMifWsSYek2XhMYT7Hr3ST3830Doyc4iUA07+z
Oa5dxGqOWwW+wlekd3xiMQOoVw31MqFtoRtSUIDlYocVLscwK+BXjCCWxAhkdSr4uQC71lNFY3kr
SkFNhnzUJwMs6oxnSBUXnczCUSeRWr0sQ7dP1DQHiWF5CS5OdZ2tdHvdLHiZ29FIrsebA1Rh8Inj
vxyHhBqM+2HH6Niv/ra6ZIT+RM0edJpaFMS7uohqCoPyqSYtvh4/fkdIYXdbdtrCTHVbTNCBX38r
R+MnesVz84KuahRqWRk+9uBNFgpGQL7brUX+mjA76v86Ja/qyxtNZk2vY4Yu+IkVrNlP9RfhZb22
rvEwLD18JEacPY6gBasT14mqgV2OzJZLnOohjPEmLf1AT8HGCiCmqVulUbC5R7jGc8uL9xWysGOC
ej6xD2+277A0YB+A5zSNDrxXzxOK7StWdw6oAKHSaTub7PWiBsuDXHtAMlmEQErBqmiBw5714aN/
+c/FanS1Nuf/s1+u+O8sv44i3idY6WL0CyZl2QvBtUGvYZ87p8I++/++SanMxmsBgnrqv+U8MWYg
LrBZ9d5vaiWvjhDrkMvaGx9mhn1AWtLKq5w7jqqUPrxQ92E2mxMvkCEHePiR7btHvwwVvgOk3YMX
wbpOLJXYzEwegoPKCVhKqOTDkFUzTWTDVmyE049vBrntCwYMwLZVmgb8R4VwoZvBeBk3CAeURHCw
G7UJ20GlrsBHplekRoJQgvHpISHoLi+wD/BS0esNzPY/MnDmP+PULmhjME0gjuAFFy3SM7+1jAox
eBe9/NyxqqmPrpUL1i/UIpuJhgB+BfpHnhCPCZo2CbYHkZz6y03Zf3S8KgqzsuzeGbkoTuIKpAXq
yIQIl47XcM3ayR4SxdJPuJIOLbZtpQFIoIEikxBK8dMdSKtQZ5kHoDMdcM8ZFUac6+uZfWDWxUZ9
EPodVdfbAbg0YqB0HdioFk6IPdJj3tU3VLEVUHafdzGBLfnueisNbmF35gKI9Wz/qVSbcoKkww9Z
mNdFxuhsno6zp7YuVnqfHyHnVn/inRCRguU28jN0NSkimqPiFi8knKd7DRR5PQQkkH5/sSkXztkn
A2/VnNYq6gpIXqkh41MZQj2ljrU25CTRHAQy1DmWZPkVAiEQiUF3IIIhQIVMLfPd4zTG3sUJIJ2g
fumQqGQ6V5/WXPtGAOLpxRJHEOYWUKvnM4J/dun8j0jza0YVTVGGuaJDBUSnmocYehb7ba1QUQQB
zigv2Q6B31WVwCyhgItrdHKEQguyVbFxY0+NnAfM9CXXx8+oMFULGXgFhh8XukGmr1n1Upp2Xa9R
7OcVwlG8J0ZuRW4K5J4w7FAEWeXDuUDzMN7Mdv+rk3uwAErwofO7R7LHBMNQfGDApu8s9SdBPgd2
alzgPHFJxqhSQxv51jlhwERlDwI701QKQVczjcXduDwgQiwu5SdlNEdUQao9BTtT9axcny6CHS0+
GfnMeRFdyeDwSm5nFrSCMBt60p9jb4gBIitSedH2aEQrO3eJxO+tZ3DG4vHEC8GYG9GvacXkZaZR
qe/bCuzFgCOTkEnMZzz3Pz58E2468Ixphx+q5HMU4hhtSkyi79utnQoPELIBdNfHAXoPLj6zaLLy
WpBeez0Ddv1DGQ60eu84xAJ1TcXv9Tv860lRMWEpT9v6xBU+2O+Hag3R8QLq/F8VyUrzEDZ/a1E2
aBaZZ52/HkCRi7/zqkySkMsBRYzunroB7RcYlZYGJ+u0tL9NmrigHo9TeVO0r5qyhjMWf4yCkHVK
sSmzWnxxjfvpYoztUrxPPPij3LiEhDY9bbZ6yNLelGPSwPNX30emgmb/jCntspRQ2PUXZgM8rssc
lFsk4WNn/XVNYFafbZnJ19y1F2nqO3aOWDvAwL01jAqkaAnuQvlxbC6e+B3GOSjQKA+i6CFMLORt
D1P8oh7JxBDSNGQZ+gtQ+YFrRIaVzmh/gum2AiDjrUbuov4hBzXoTOlopD2onQ6CMg+AQ5LGM917
w+rrHGb9rNHxt0JSG0bgZRuEr6hhioKthuSjvujtyD5KgKKdH2xcac3S2c6gSpHVtf4ILekUjcjM
085lsAe3rtg+sBuFNpJp5/O8wi9pKlWbk3+4kNCqhb4qCYKzRZAe6qrnTxL51ep3zcKOApNs+Iqo
2hbdQJkIkRH1dbLAVEgxvQChSssW3zizr+RISh4FtshkqyBl7ivn5zCpzhySSDnQeqMZ/bYp8qSO
oGfl/EOTUCfZJzIZ11dvhyd9OvpvztjWe395HdOhymcXo4rCwQNjBLZFXpyKIvFss0y2OrZlKMwr
MnkKVKRlRHkCP5e/4aNw02Eb6W5wVebkKZ9qdXbjW31A8jRblgvnROxzsKiY1BMYY5Nan6pb26Ct
Rc/gfYcVxe9i8r8f3JwB05+WwqpR2/c8qt6s3tbRLAaFyJAC0ZVmv66lEYBcpC6ZCHW0HvJPZ4qQ
4xDPFRlKqa3KRrRN+icrq+RpNfDWIvsc39pE2d2SPXHrhrC65vRwzk8c+xyfwK8ncKw6vaxZmpNH
0K/tFSXxyjTnZf6ptAFOjVc2+v5W6pZ472tw6A/XC165IBdYu3KDCYpH1YDW2rA6Jjy5Qhk0TZJP
S2Rh/Ql66ZVlu9PXvfvXRiZEQ6pdAzBQHRa7VEYMQaJ2p9wsj9LGJgMIgxAZSBG/3HGq0Lr46vIW
Cu4bNN5Rzf0bi8Fq69rybERYtuzTl+G03SwuBIXFwdIfisVbcF/IhqnU7ltdP2ZINClucvdb/Jva
x92cuZjcJlaD4vxeoU7wqzZh6RHIT5LQr0xPIy7bzEwjsZkkA9q0PevGYZ927w6RXbiv8GZGfrIJ
pkkhldlJbQ+lDRfK6y8N5zLdA5S40cpU/9S1BLsHVxyTGR/OuVvYnCP8t2bUpjw1/Mwr9JedBbRL
wA5TMlsFl2O6W6YExOMFDUDAMe+/ooT/fzd5HrisvhIqs1vJbLF1DhMLXYMkGkMlr+DLkQeX+K0z
fMo0hmjmF2yMA9ViXwiytI+0YIDZ/LtW0FJs++TLx6BYY82R3PMHEOpcooGPWvOX9eWRQi4qrIG3
5TOC/GzI+se5Xu34HDD5ZCrPuXTNggoW2QmbXe6X+Z58E5hiBeUenhvQUVL2dSyvVcGQOlvJAKu7
uHcb1HCv9QW4NVOk13HyMa80iK7UV4yiABYW8AIyXBm4o+LXkG40GKCI8TwxWaNNzGobFIEgzc5p
0nhIQRfVuO/k+eAA/4P6K1to1PwuZAXD9pUMvYOACGizG8t/QPkYHnquf+py0jRvCrt4oDOLyPh0
yLV7sp26HTBonGv38RDPS4Xz6xuHUPvUK35L9Da3nj44vMvjnrHcoIb6K2cq3Gi76Q/FQaClzB18
ho+BtyFZazLoQwO6fMbPpFVxWYU1wfCSChhrbBLw7lErSy/1ukz1bdhYOmLgQtzF71RpIIUq1+X+
4+eo9tDabTT4X8LTzD2dEYRbTigKIizHMgUAJ1PrJDXd/sdQTK73UQZ8MxV7g8f6RA/MdpDZ/CYf
20eN61Mic3Co+jOsFj9UdMzG/4pqPQI9zB5us3cv7r7v+DVbE2UYMpVdlTBdmUR19qQ795IGvlqF
DdTZ7M5pBE5b5quJ3xFS8SJnRdeNzM7gIJt7NwDPTLl6JbtTbT66WYT62HKwk21giOwzis6STZxT
F+ysEhBvODGyjtBFl48Cl9UU8pWLSN38l+JlKVsiycWG2ivp1wpkQVIINjJc6u6SNokzdrk1hQvU
kd0uT2G3q8jHIAA/eHHy44rNyDri0IJNXPtPyG3B9V8SkCWaFG6a8cpOmOgVPim9vriFEvYgbhqC
DjHVA0k9Rnib9cO6bwHR6NZAli7H/+RpP6z3wjsxPbA6Oxh5/OLGZmelL35lpEf9gETYY41Dgtk2
RBWpa2FMKCgh/LFti86WB0d7TBVq/DvyqivPR0coax/r5QcQRXh2Eqc5hsW3a28nFSTraxIv/9e0
/do1ZuP8Itz155fW1ZGd8cg2RXL3RI9XC65+bQrsri7hitCIuF7TET50E/2o6MiJK2lwDrFeUG94
4xu/sE1EDcT1cgavk0m0W4aLsJcgm+MwnfE6IYNX3xzxLTGTLtGM1fSy/lX4KDLAuqjSCYDs5yXf
nPVCqrwwIEYpEpZbXb4ObXjLrYx2K+bVj1NZz8xRqRvdXzeOZ1Fpu9lpTFHPArLBZ0cqHoJPs6iH
wKiwYzIUFrYDLq9uznR+eNQFuVDQzmh5jnmDSGNyPqH2Xp1t6HKtASEWQWemp/23gg/LiENqV5sA
rU5RkP2LIxPl0r+q2+2yPWykxTEYKA20uJd092oieHwxccMKrJPEdjn/WyQ6oHgKWm05bhF2eo/+
Usmq+snm41fDXnx9VaRGY1W80VkkQhhvzXp8Jek3Q85hG3HpRKgOSImDReRfntQonaSOFpLFsYXv
MM7jl5D+kQ2YI0hsMJyvd4ZXq0yte5Azss52KY1CMXACPcpxS3TOZFWzI260KkqeTt/rsqjgYZcE
aK31zXOy2aKaSz/Bj0fz8CVcCEMnEf0gTDykBYCG40AM2kQmcPbvP9cRgcbDxZTWv6vKqsAXJIdi
AmGia2U+LcT2FbtsMdqyDVCO+8Na8iB+ufAJQnZlzTvyZh46RVJL0szZ582EE9z1ZqmmhgppkX6+
zs9yZUzf1IKI8Yw8+ck4Rv/2/sY0fJeBgmNUbH8diq18HQUCiLA/sVtRzeBdVMz9CCtewkq3wm3U
IAaZ8Fr8TjMp/bZxXla2I5dT8WjeK47tPcHhEsdRXeaRqh93j2D1YG6Aq695s0qCxt0D0Cdz29gF
a4GWWL1KRhixA2PABjZ1Ql1nWLb8Na8fsf9gnMY5ARGJmXmE+mvnsIYUXo1h6yqEtG4qJhAPsapU
fGuZdk6YYpytYIk/1G0DKr8sZQ6kIEPYqSNLhqtLU5nVjTcxIirUGedWq8a/kG0wYmW4VUZvPm0f
juqKjqoN1I5buDnN6WexR2LIVnklaWirDEZCBkDfXA/S1/97jI4GNeqSlPkgVbvQ9MwVCJBwH4AI
dHfxV1Gw3ncPDuvuwJ2AARrXeEIra+xNM6VI8cyKWAg2SUW2SJCmwVW0kuy/DpCtaUirGcSVm3ad
Kr7huz+tqwI4DPqxFyrLDb8U7rRJhYa+duXwCy9InKkcGruI1Ms967QleXhoshiww2bObIMdN4Db
qW4sLiIMrq7JQFFGRMYPSQ7uCxzENxLOEFqiuKUJQcd1fPt04+a63lMK2y3xkRYQXN/WrTPFMHrZ
ISdZr/1BsX5zZ/aP70EAf0aYPkll9gyK0vbVug32+JYf3+4btDhEYZLSZEPDaRDGioPrrQqtEQvZ
1qNVUvnnf7+6pKk6ibCd1WgW4kQohT0lpt16r5AqjGzAo8TelW0s9+xZtk9MUCuXBvzt7PnnpH7K
jNgiUPrLY4lZ01ckDuDRjnfbJDUQ03wnomN9I+OyaesteRvUf0GTc+5HWDw6XxxweF+uq0i0uk+T
9Lyxt0E8YHQ6kY4PwFYhXqOnbf9ZmZtdWKPn8dGhHBEZHtUhHBworX+yrC9CvptenmO70rSqujxx
hH+kKafosGu4QERuXbJoRt1s0cjQzOrF4Vh+sIqdlVp+CaU9RsVYcfcsPwhER3BEWv1JSSOAcDIf
bQ3OST7bpgDd1EM1p/5GjJRQr2CDtNb4jPb3/JfOdULlXa09UtMWwlCPFv6y9G6+Ssq2XJHHe6pL
R9FYD9uNXqSp6FgzeRvQZdrtQjka26vqzL00FVAuey1YA68IsqVbJUKiFiU82PujaxeOi4FqtIKE
GfWZL7veaN1cPakL/lAlOFYo8wQVBWT9WfSgRJRvd8aZUnfH/ZtnaUVDP0prG76mD3fjhz/dbs64
CYdOtVg05sTD209GJt/dM9qyxmavPcf5tha6wAu7rtiPQkPT1ElgcjZinTbDMLEYjsIFlf0lonLs
9zsgGeXrAXIJw30yH+PPyrn5HwVlZV2UvdUNnxq/iz/yzU4zYRT+6IrkepJ1gbJwVNjn+60ztq4w
zCvcxFWk3P+YwP5bSrAm/LSeShnkzymOqPpaRF6wgXt7cpNUbtGrI3Y4BQLKsVdhNXg7cd5Xeqxd
u1ZjXjk0weXSKh32wruYb3F0QpKw1DdqCX7MzZ23r9TXUs2mQyqVrzjuArTIjvBjRWEXy/PSoScj
WoAHSe3OsQzc/E/FDP2LGda+FVGYDDdVXyQFM+ZtBiWln97XhswdaVush8V1P1ABwwPdwaWquv4u
rWk7jIAQTDlKkYU8JTIxajkewBqp1PmON3RjkWJI2aKBeiPlx5ZssNfDDxR23qU/xRd79UhqVViY
Qyx8PuEH9vCqX3BRr7ZwO0xSRCucNUG8y+zPUnZMUgmfDw+lXnUcMcNGsbCD7axk4FKrLfP0/YmM
3XaBjPAp5AIgUHq96w4YAYDC2Q4txvgT7TDkj72HGMwxxyzlMGbwPZzoUewwHZOKwqw164bhwKft
d2LVX6l3+FeUnB9RI+cHia5MGDspl0J6XCG5EoOBepLbaa89krbAHwSHYFlB7u2tREj/ezjTgHFP
2ZPtGVg0ZJLEEYjYMC0aQa+kcF7RsOMw9FZxBQYk5zqI9BlqTlIV4DUZy9KZAuRH26pPCsraXdhM
g4bTLKnNcTpiNtPTS8FojrCj2TZL+HnhOJCpgS9jv3qUq60dI3KSpPo8l1xyPjmxzk5BHRC2QLO1
oJKdHmAL11CwBBuP3WymfQkkpqq9K7mZMJlhAgX+mEeqWuOTA+h/S4Rg0EJeLZyy+lw23JQDxvH+
EviSk1bUlZdNrHWlc7SM4/x0sL+Q9bFvXu4X9VgDt4ZzXOaWZlrJmnG/rgyQVHOHc5qq4JcX3dT+
yaKCOJij9b9sNPp5zBovVWYWVs2adDkjIVe6LHQwR+ZuMYg6/kEOMEE+ksmCrJ6I9gLsHh+U1HiM
aazubQiD7JqPyJ9LAkLJmPZI84FK8UrqntSxBrsaUATw3DR2N7UhAeQcmlZcfHDbM1U1zO4ClLdR
FIqFLFQ2ocGflF/tlMJQZAtUA3r/fguixkHXrW23DubiRII6CBmgdp+ETMGrcc7Ls/q9Eaag9WOJ
mTP/jCCgY5ffmMDA3gw6g4jfizgtMjMPw7cYl5TmYPUKohVpS5cduHb3sMAWalxbvLkpuid1ZFLM
XqWAoVuEl0oqUZ+0i7D7GUB7DbHt44bnel7VerA3GFageXxkLYn+RU7+FHsRaFOqq27QhBmdj8+/
tN747yoTyPtLvfp5zaR4rbyZV4IfXZv4Q0zpBcMSwD4Ey9jancRjrvW+WF/MoEr+JbGAhgmPDZUw
KeFRMjca5Z5wfm2jGG7ki+Jfz/3krKB7zPrxfqlMnt1wA/PilD8X9M4vmF0T/gqYHBvYKQ+qeM3v
EmSOI+HYEpJW8LDar58DTpJvCqHYrr7DFEX17Ifjfne9WYqfPfPHHZBHC9BQ7Cn2vSs4bZKoIRSk
ksPDTqAx6GlXHTUnGqPStEggBNctHf5WVvq8uPOPHPa0jA6D1ZUoFWp0mH/SihoTJMJINmRvV/33
4zTrGvyNrkDhKuFLsZxuSyg9tQKA9QwSAne1u5RiCDnz5MuebKglwTViV2IxoUTMUxWEnDFtG7PK
CEe6TElRREgMG18oWZ9XtYDfRMe8jiSezfyeKVbVpg3ScKSFMRyISpFAl0QPgSQ3AEwJJ20ILjN+
4mV2wlWaE3sxw7MgHLRuJLOf1E8nEdI3VtKuP1aY+bRH8bUyeZ7BeApGHQph5psnXKnixQ+3NUsV
3zXE6qhXOIzu3k+/t7OMwdQY/9n6ANX0vOKuV5xMPtEOOVh9hDRAc4FZe2k+G+JttZDeY34sDzU2
fQPypbWyxAfseWL/eV6llKtvRoRWKJa+1zFd9PQWV7RXD02E9HMOLoNVYsECgv6Z5nnaW2l7OOtD
CjgBgNSxpYfJ0X8KIvWy+cdC3f9EXBiHhRDFiOFadd1sNmhJk/FPFhBgUJoMq0ubmCCbk/CC3Ri4
qPBqxkCjuz43Y49K7T4ynzgC2NYp9+bETiQvzKiLvjEpU7ZMyy6+SpDNnCy5vZw5NlHnfi8DRDuK
kFr5AcbYQKnOhflwjb0pKBYW/eFyGXun92RMxT5W7clVW6XBC4F5apgZoMyfyPoZR6hFfdZ4Axkf
4YZH6qwA1r6ZZFcKpIWu9dqWveFdK9XYJsGfa/9z5ZmkfbQbvmvIwYnTo+WYo7MMv7VEutPueCFw
As3ABhwOKSbRwwwZeeUKwaVXIPHQSbu+bijT0w57MuAwWUkbIN8gWgNXNcbprqIIb67hydBloTKZ
Tc1slMCR8RP6DoSWQkBHfw/NSgCLPUUCE+QIR16fnshgF02lMeh4VkYc/cO7cYaMkw3bzFWTWrea
LmqqW6GXULRjkS2nKl3CM0Zj98f3YEVon5bfQOPXHB109474xTeqO1QQ8PKJXr9xXPbFWZXOlRlR
JuACB1k5ofJNPzRbL+HV9yNY8I0JHNFCBRxWA5Bw8F6O4b5BcaVY8TQ5orNY5JdVqvuB5cWQaKgv
r32gbLcV1LYPNVbbKv2XgmcXQwe3301ZrzK75MtKa5hooeLFnL8o6V1DDYS9Dyelbs5w9+xkg4fm
sXvWVmVopcC1BEnzB0kjnY1TNfzw2b/O84VGdw5qIIxETS4DiF3Cu9htDH71Y4PvucDYTmu+G/BN
eZNSgI57NPMQunrcDyCvP528HZM52sUZAx5Jc3iCtlA+1ADrREUP6IDYJVQGqEe0gMfB50MVnvLf
GUxVHD+pin2s5ovkjI6uynPgVXM4CzK7Ji6u0LcAFllSjpjb3TWIBwMgigq0hFiIoRujwjgneDqS
xSPWaaTEbgMd6c04fHm4I+CqgzoK5YOYDslBStJOfft3UxnBOTtT/tOVTkQ5N9HVYph/bT7zwRbD
X/Xw1L1UIgu7loCgK0MA8b1hTQLWIHl1KnkUpSo4y6S6Dizxid3QELwoB2i/5PL+jmoRCNuq8Jw8
DdBe6d1YAJ1HBOKCuhkVcpIGkqjVYoOGrUZjw6rYBA1iRgGNY1zGFd1LBz2uDAcMzpOlYPxtWgbM
Iq9exfNvNkL7u/mIrBSa8yflTUanFUDt1SO3dLZIbPGHxhFeDN7Yc5PRmM3LThJH0Hy5OPK0B/S/
+PIx91XopAsD5HfPPq+Kg2Sb+a3Kt0yrjpw8AQtLINmH+OTY4NUUADKvjZ6EqMPqWxt2KK9V8LPu
7siIBObw4bkP/mXqA81Qd5g68akpr2mQOiP+s9LH0QerOhfkWC+FBud1OEer+/W/EONPXW+niAQM
ELSgxdCFEFntWSeMT2v3YKcRHFl7XFiJItYBLWzChpR+BPISTtooBVQqRdMKQD3YQqBv4Hj8zkn1
J/7p4AuHmB/inOhmTxG1my0pUYqVlLmcnvz8xwkV94i8bx+hJFJhD2fpUyMY8n8NQnuQGZ0nFNi8
vrTBcDVxfQH9aghY0T18CVLN7revM8+g1itkMUyxfML5iKiz4e91Qn3xEIL7jmfp9wq6HnrK9tsM
XNrZTbFIM0mudiEWZG3FQj51qjyeGKevIlTMYKFKMwajoC8O1N2X96yftEsXVPbo/XRTAMOCKOXR
FEg6SopnL+yvqDBYDACkb0TL2H4Ez3wUmMPyGbt38gH8QilKVxscIToXeKOd12PjT3U4SnLmbxU5
4MjB4ymWjyrVygmTJq3oawl7i5RiIC0UpmTOd/cXf1WlE/S42OBLBtu3fssfEStMLSOYrtw41YbX
IS/vBkgIUoY3/ec4KvqrniqY6DVZnS1asRTd0XbZIJUH/rqsY5o1Q9tA+KMO81PzxZiBhmm3p4Tz
6bs9J/2kJqGYI8GYYiT/54GxGbmdr/CrCBkAx1ILN7v2x85PHYVAhU4wjAEQpAEf9qn0p+AOxMp4
iadvni/sMimr6azdwgEzIGdrYi7bSK257REgvvJY3onq4Yf55emSYZdQvgPcNxqAP7iNTyv+OldE
hM1UPBwWIB1x3AqzrAXL6/7Hlh3nAXiHrcPhRrM0qsx3QQSUk5FwKCRwjRjF53RfRSwEtneg6SWe
PlA65MllMIvYua3zC+BGSdGbRNxNS1ZBHbICiUavdaX1++5SqgFD1HuhqVw18rBudkVUNSDOltUt
FhOjyxI5GbJof8e1mveQ3+PC4XlOlhs7SShGmsr2nIZ32TPLY1fXGtpJh+ibpZJP0641gXBxS8EC
vLVTVgl21Sbu2g3P+QeJTTOF4qPjZdWjvQROdN5rHZSctb1IYNr1Y1QSeHgFqC91pygplSpj5u1Z
nfdwCZUGdAUYoyGFHDcLjYdmB/if7hI7AfIdQrii27k+3KC5IF8nIo+my1QtUGYSfWLAZ8cP7JBN
n9n8GiukjI7sI4DXb7iDplaQTCSSrthKTDwZ0FbMm+rbGGa7W5Qb4zdHFZJShv22E5NQm69Y8BOm
LSuMQ4HHZa2iSKsjIvRMynZX+GvwSWHVmW44JmnEYFXbhcuDYESKqgqrzIDGARzcWKIw0tYbWOaz
ZxojFtfh3PHXGDapCN0uD8xGsh4tgI9TvEvTEZzeIvInbQkDKMzn0CQJjfCFoEczsQWljm7ppdDb
/Wci0wkdHIHqSB5ZI4H2af/fZL4O3QcUcutxqDUFtpOCu7qzuCdyWnb325Q0/A7NNzH0vKJVMLkN
T1WMmZzKXbu6/xxcoVGqrPuQW5BMozeFfgCCii8egpjexd+OfjF1C68gIs9mU4bhN+FdRIgC+erj
VIOCaMYSdbu4pQkzkT9sb4FyoBb/3KGfq10i/xaixPdcz9u/rTTimYguHAmBtdJuYQCiFfPFgD/K
ynQnG8Nc8g4lmt+MddthGllchUiqUItDxUEv8Z2ZtayfoQd3a2aRQrz+o3aB0QAnc/rScFxt9V1h
JCOzuc5MSnP14YvVh7X8kAomegLZTWfvM1GUc27odc+cSVAv57hOcMCWxkEOqo99hm8S7rKoqRGh
w48RMgJncBz5ucBHz8tH3IqxBnO72XJWAMC/UEyAJsit3PpBJzmpduJUlm8u1d+nwcO2LpXg5woU
FkCrYeRAKFye4D2hy+7sxNLfe9mn63GbV6xqLQmffXgsTQE5lDqgM0wgupK//VwZIzFV99ftzmhS
+9w5OCjBCI1kwjQx1qDlIUkGFs812HGKOkM2nh/let5Fpd/H8J5Z9nz2tfCHutayEUEBDfhoqTvH
t8hkOZq39bS+o9P+Mx94kRo/hXAww5/wwQe3w5NDpygMMX6U2zpQ0S9GWLLr5qMluu4u6s9fGmqY
CXjMbjHuvZPihhnVKxv9IcLGQJmo+J6hMKnhy2Od5Z4eVw28TqXnw+cq5zPvpP+TXeY288npOGU0
FJvXb0LjTqrcjF6He9Y+Fq3uL8yjJpsVbs1SXnJ9rDKLA6pNtVwDpRtrIHzq9Gv26ET6l+LbCXcQ
3esy7qfcgrP39cH6Qs97hdTm/RjK3/jhcWPmOHa7ORJ7vD8GWYeMGuClY3oaMWAxJNhdopjdo2KL
6SzAUSAdGbjYqQX+TQ53ScWK99h4i8qaJ+q4+MTSyw7dwTik0UrP0y/qPTkeUigVnOke0xv7teyV
dBczVjIxQr3qSet5HWPiLeiBsh1SrJSwarUMOMzsdwgJq266jEryJxHUum81a/oS8HmcDyGES3Bs
IzSt43EvqfeTWH0Tpf8ICw7WoZ9G60t3ndusxgQbcBvudVOqG6nRAwI5xFA6ohkwNryM3VhknSkM
1sed8N1QgIkHDouN1ImiSqyDHFzeTH+g9qszAX9Ui4zUAbUleUGckaN1K+mT9nOC/hfgJJTxELDx
u/YeirvYYrAvVrgYrbGJVkEys1cQBP4EDbEofpLthuv+ek1gN2h+V4xoXclqCTcJCAVoZpqPdWM8
w6g+pUnqnJIRwvZpXVYL59k8FP9tSxptfv/qHr4t9w4rqdgvq8S6DuVaHcOLUtM2rk4zkDBXkCk+
rsTVMxtDRcW7rMmKfiFCdqdI3KhcBdqadpwVq5lZqpjz8Ppn4RbaWdXjy57u7JQOtl73XSM9TpJk
EbcHKs2PGWSqiMdAswNwR6xAnI+Gx9loyPHySxVkXq0lFiSD2uqrxHPsjLvyVTp20gNMJoKs79YL
88y4du5luy2gUGgWvpzclFEzAlM1wXRXFRqelN+uK8lbOdx32zX7dkKlT8l4B1bjOH9hnWQIJKP5
zKSZpgrkMsNstUITNL67c7KtggMIzWjmDGlpReDrwjqaVxu68eW0rSZuJgV6chWROlgfxngfJCeL
Dey75OHbUDT4cn4mSgPsCNskYccl+ocK6N5vTUOaQeBTP4cJmerrOZbwQMAq9fq4lJlPR4DEZ5cC
EOgD3iM31IDkbvxxXcA8QDGTMDmydqkeL4SRcHfWk5pKrk57MvxzHTOhWnbpOSco3zB4SptCzQFM
xivBRkeq86ZLsHl1On/0YmVWd9/WhzPDJ1z2hv14TK1jhWdY637MlxGiR5gwNVLJ97Hbh74TKKeG
BEMfR7eKDS0WsVVWZEdM/BPaV3InyylHnNBMlXBPdmTvpIMf8f2SqBTjCMHDXZogrsREboZmlmVb
9L0aUgIB0JQ99UAF8RpLIpcfmDRiryD2NKCm/funapRIjNCAVD0ItS1CH4eTLaqHgNNPQNMbDB5U
zNZkneXMHOSs8j4vnK9ln6PCeSWxIffYAaXgEMf9hOKrteQ4zm/YiIpxBDp25mZUFDtEtIH4gYsy
n5jqyvhLvBaCJTvMQdk6BfeIf3sqjtPLQw75kWW4lmcDJwBTRpDAayPo7AYYaPUFjKwnRUwLiwld
R8Xza3+jsyiAIeGNkI4bJ2ptDC39WB2XZyU4gUAzVjV+27A4WEmTGAfJsDUYJq8xIMU7IysDFVIS
p42Dyud7w2Mg/x/ljjFChVBKGHCDvbtSe5NUFT6Tm7IRAdSx1gblHF13/qW1JJujZldCpysObByt
74gakZaWedlQldLxS84mynpkiogeGKr3PXJSFtG+592CUnbbEJQD6RN3Cb0WmqHbBXqmWTpI4ozT
wMt3/dwa3R5tY1/gIT+7CXqpIJ4F8J9h2Z3pwcdNSWzYtWFwUGP3D4z4v/9CEeGsYTlxootNSxir
oBYp3T1r7GxdRyZJYI6grkuSEnzEce3GMb29+th1uvy7cicYMZEOhqkor1iYuaZgTcXN8ZKiuu3V
XnkJiJuQjjmKBrx5OegRsSUkHXnlgVa2g3f81xdRGcXLgjZ3P3WiSYmmiOm3KbSBgX1LlSvjNYFh
fEsHnu4nm+fz+EQuZESs6yiWPAhmZSG6BoBkbXDscxtB0ISbP3la3b1NSajWJ0xwoN9FftpsAAR4
JiT7QbzVRbYp22VlGSJfuyiJxiwTF9oK6HWcNE7hjklAB0Zu5hsA2Fx81HwobaMrR9M8xd5o20nd
Bcuw4duN2xpl9Su+TEaVTrH5iUPY4SF+ekERojAk97gmC/0C+ThH8wtjKQUT/nWlI+uvce+fIwbE
42w0rIOdHeBha0LAFlp3XamzuXBq4XvE9v/0eabRZuQmFYYqz4j8PiUiVvX01No4GY9Jloc9Lpa2
JLgUIlx5iQ4oA9gsm+ZP1+LJNpHGu5F5VCEZF1tqGmH4gdO9BPv5E6hAYz4+wxaHAqIBBEz3q956
+t4hylT8fENHkTkpKRcI73EyGZAXtfQ3/r3nNLu7PHclqaK8QYoMZrpVlgME0msRHm+sd3jwpKC3
saWe5RHgi3yt6hSkCVf7aFWK7dchkaFU6QsaVbi8KpwJUJQBW+M65ZqMStBYAnEz0zlErFA3NAt5
o2B8JRRXhNfCGdX+qwbQ+raNGX+bEfgw3520+jKOASauPxTre4foaMsIGrmSKeuw70VednvwjCJx
TY/JR3zLdqw29a+BIJXM/JNKUkxQMGCrvcJyAzmzQbvAEZZTVLscEi+ht6qIiRa079LI3YAtznK+
U+h6L2+1XL5w7OObMjss4HwacfkDuQMpEmKBu8yhheXP7fB1LsD1nqkYJeww167wC6WUgBQRHxSA
D9booPe7R+N24DPv48G9AIgMHCM4iQ5GBt0i25cCFNcCdwb6xzygKZBEvJ18d4+Mvmhn7nFtUSad
S8XRxGoyzED08ZwTRB/0tI302FYS4FloCxPgRyDngodHgvDyikhGV8vxqENe8FIAVJXkevk9m4cg
TRuVw4dYsH5zbejhHuo+yoLlg9aeB7JBGDwDIuRKyy9yRsqm4Mst2ifcglqiT+VXHCsciD6tf0Ys
EPpuZlylVES5y62PmvseaTqNiluz1bR6rTHcQHeuhawyvdBTkOvN6LXQHsdsCpfKgMnkrn3JvVN0
qT9W7DK/nBK4Ie9uM6YVd1Ygi/YONwNXVk18A2eIjpTtPFShkFsvuShoG8y0ZSgEwivZ2zMXUxOe
IwHV3xoY++uFSx81TMd0Kc/Jf78gWWHdDW85kXDRV2BokJmmwEAL7JBbkjLLX1ZO/Rkt2RwvZ+Y8
fyWzfeuxVXN0yMW8KO1H8pWXuAPxk7inEJdRBW3FxgAULLtXCDbagRIk7nwCN7NQ7c0DTSC0dQAE
iN5rTOBv0D0AXlqny6UY4tx4MxWuZ6DwTeYb0TMGy1yztjH95lJhjbLYdYH5Oasofs+vcze17+7L
oR9ntPmM1cgsoJChcHqb3dcKguNPc47iVXj9l7TMnllgPAYFQ0UUmkXcEGd8M11PBjtrrOiD1BOQ
PoGgZCWuMr5SNHiVXe1vCUNgoqM9AZMSEVCu6r220cM0mXEbwR4qNlbE8o753EagJvicAS6nf/5p
iHDWGVYAY+i2B7R7JMqcgd1iykUORujxU1Mzx2VLmAh2GGnhIl/ZNjSz/wm2es9XMvv+9+sK7WIv
dEjyQ2+w5qfiFiWGIti6sNjiQgm9/yNiKmSgZ+Fj3JLIGIThhql5JO1DLoJz1+oxm+eo4Zr+Hjsx
symzqDenAb4Tk3Y5DnpbCAP/wBUr45sYoOeCMPc+BKTiq0IUtpskubcVH5NV4ZZD7uH95WvlRkMZ
wTIKUkhITqXOuxdIR6nWIM+0DYxd32JY4GLeeJYn80b+l+9B7eiOWXkYZ7fhjvZhH7JZU5MIBRpB
TSg2i+y/TFQysuSyaGM+UikvttMOXynNWI7GHpsbayYWdwS1oxfpQHS60aRGzdiHoRVF32JDI1mk
FFLdTKKE/V1E4ug6cC11h82jNDn0CwY+Wu1tHpXd6Z5FVxp+vBeXhb/n6QcM38Q5iAZ0O6Pa+fhs
qfs8MBFhd8SO3ZhdtoORxjEcYe2haOnHJD4yZnEHiev1gr6xXhJBc0id0Bd5bLJ5OheXIiq13Jn6
VDJxgCCFh/G+vDsTOVRPcqJha9du0k4qPRTm+4s2Df+EEfbe3xpqUst4OtupYehLUS2kP37+s0nC
iBPzHZZBiuW2SBgc7CNko2doMSNpNo9xRFzoNam4h/5Tbi+Y/zgsd4oPe+KGActy1dDPjohtjjOH
ajNzBG6wmyHmrZ8Md4HjjRpWUu3YgopwS+nM+5iM82qtI08Vm2ZfJc8J9V4xAwG/OH0kXD9oCK3Q
gLbA8J/fp5hnoCWiNWntvnN857RSuLPEvBbc0oBmtTlnXkS8gDRFmtI21+TAN1IwdxK3IFVRKMPo
bl9/EOI54ZV0/kfEx4XT/7YPM4/bMTMPtLZi3iFciYEpAoFX8JHhcJNImVfuWN79xG5fOLa/F5SI
x8/AhCDdcFERVqLjEvCuErSWoT8M0H1Bk1Ze40EkCbqyD+8joXtd6HenUuyw1hmb8Umq+o76FLVk
z0ivNaAsoYCsFHgX4nAUd+nGUcltEhN5FjxoAHAsGgFfXibuBiE8ZU5PTsECcBLVsGLWGiYUm3U3
GvWWKlM5QGa888aggxoRhbfCHUu82N9nCjiPsva35uAX+3qdVmiUsWkjf09S7arNnd3m5ZJwHc3L
J9qFF0Mm9W8C9gf225L223oRtlADD6wBlGKXE22A4OxFH49BfuZwZfAHeZhd0z6jY8wC/sktRtG9
pQ6h79+0IkAOAbwjWzTJlg8rmGnsWdUHZns5JY7hHbrhY3mI+NMf46Hv6/MEib2d6sQnf+KX9yDu
P3xWFj6yrJylFL6ZjdXUDJB3Lwx8FCjXOsjhraxJ6YS8YQiMSCWGMBqNCCjesHLkxMuFtlS0oHDM
q5Ycc0nb4LHBlkFmgVwFbafbPeiDl+2kcP64ECxY+bDOFruHeB5H570bx12E1TeiYo3H2QShiudg
OB7dTB4D4iVPbBaaJDl0uqUMp7Pv5IrigX4Tr9L9q8a8gGB4I0UY4bTSV/G3coTcbVfHZ+9V0hmn
St4nKwVxlcfjx4sxBgiFscOZxhSNQbi2391XJgUt7BqdPJCP7jdqtwbbQHp9gksEG3p3UZScMWEc
aPuPRS7yXkNvPF4P40Y2FIzurlmEH+B0FKeym7FVn/ndwLrBr6SnJbc0p7GObY/cMj4xfoML8c94
HLyrQy1VJmzySusr5kc2kqz47V/dhsCoS6lmFC/Q1uqNfq5lOQssKuuVZbueCWCJzidew+iTW31/
L1f6ioHROT9YiW8DfKWTs+oi9ae+j/oB9epQrJzwNMMYL8pKOKPrIgY9lHMGCjqj0YTT2d3pNtOj
syWRDPrE4Rcpf0sPKiY3hg4QH72ga0UGThgvWI4FZwUA58LkHP0Pal8pK6Pkr3PZCPpi+Mq8NKEe
1PY1AU27DmB15R+PbrHF2o49h4HPezCoUjWn7eCOStfNXC8ruj2KlDJ/mvpAyCL5V979n/s2DlOm
KF3XFvA5lGFrXTfoMdZRKJLGaSJTl3e1PKmaoV25EYBKj2ti4EsIrdXSogN6NSgCqS2iCS7BGYNa
YdE05bVasORjRR7sXDOXz02lVQ2MFeMO/0CpBdAssjimO76BNanPhgKR+7LB3es1LC7iOmqIYAiu
0uLpMft1q9NzXBWexALjddCHFbQ1mx/CDlTGqX97p8/xk8vk8s3qunVy/0NGAZ+Qd47IRtmEisGj
QeQ7//c8JRRbOX8h4x/+r3fEbAL7GOUJqDgByT8zJI2Jdrh3VCSgPs6HkaOE5dqqf9/+mlkQOPSP
AeIYDkSQFC1CHVuHuYpNft9aJrv5eEZfikOY/NosHLhEb1qvJ8fGZIFuPG41AAlKBc/WPWL6svg9
rFUQrDFqKN9yj3/M9lU8MOVx0cC9I35esKlda+15WtV/rpRmC9h6KtioAltvYNDNI6ghMN4H62zu
Nd+isbC3Ls8VDYOChgCjW2Ga3P1TmRu0tphenL0CV+d+m/l1OFiSV/yUulRjjpXMR8yFiamo3cpP
RhdPT73Q58x9B+1MFA2iyfva3q4gypLOHJEB3f+AcijHl6gGqRLMWi8mdWrIxhx+3nJMjONUjsJc
nN0IOzSjK0as/seTK9vB39ineVWgEX8giBNidI+Kil+qFYilcdalzscE5fG78xgam1FeDtlLWv85
4vFhqNdUHQHZ517BrJkKWVNOQjAO248QDAjLBB75p6/XxsqRiZueYAc/d54ewKx+bUHCfwVoPEkn
l3aIruxV97sjhTpGHtqfuCn9H3OhUMipnxtIfjp/NndSJkRVB+VN/UDpAdkQFoX7s2FmrPC6OnSt
1RZYDMREGR4gOv/7ZJc4iG4t6mqFDUoyjMCRe9igjg8JP1tpVegfMuMgNfsmh2EWL7A+/62yPo/m
uRIPH3kisU9R9kO6VGt1yALGzxex8roy9cJYS9ajoa3700hkmOHVkca7O7WEdSEHD3ej8C5lZXcK
+KTmGy9vGB88RQ+z9AOa8+LTRyZdROx1KURjVIJAkyUL5GI/VFcbzDrpcmAqK0kYehkD4MUyWiOW
IOL+sMgJmt6a7nyzbEiMdX8Ha/apw3TyHJ5f3Pp7cAvKeZ4R6xBIbS8E+eAjgUcf2Mn6qAJnX8WX
o/hTVf+9Zyqr6D0gzer8gmsGvWAyktEEEDh466l6xiSJXjThZqJXe9iasdTo7PNWC9GAho4aZf1p
DvNz7Dy5cBe0L4Jxe0CJKrKm6EPNeAXaQI1r8EI5j07/FnZ8KLNOCW8lrxsfql18p1JIdjJOTTYe
Gq6NgfWor1VRlcAmAF21ZE76I5g8EGDqZ9aEIYr9ziXBuWoDizHNt4jaqwxOVUcF2iFEr8V5PnHv
yK9uNJby8BzfBOlmRH9odM98OOMOxXEV4GvJvmbasiqrSXRgbqNpHNLJ4VbgDQGMlSBC6KEss/Oe
c2pqlitxdBkaqE/Tl3nSwu4cXjMtGI109rpVAuAIKN1crJcTzZrTcL/tMLxIT1ukHa4MWw8KX/Le
jwduhOwjTvKWHBBvpspQll/q0nfwGgR3LOn8iUTYWFKKsDcu0EcnSAakQr9GiwKPT/mqi3gYcVli
5w8gv8KX70PabsfsXV1ogv2OqKbnWAXAqK/rwkiKUy2Vl6i/XzDSDCvDaIJsKmt5eiV78F1JkNhq
BN1zy6yPY1C3fNibPPe6jBNHmwqKvY+Kzgspv80tM3M2fwj1JCZH0AbmgK67sU2iDdRjfbt+lzIL
trwz9jeACfju/GLR6tVw8nr8aIY0jfmRrPuNUH/rFoGvbX18BhAWelIC/wk8dLqLVSuVFP0EMKJu
3kR5bTbq+JREcLnqpCYElVsH1EFDHA9CvaWl8pC1+b4mAJZfAH84TSHz4ZvBQlr0rEg1nh5n4wlF
MyYF9FwmcqX3+OZD3B7Cc1j9wMKZdGc3NfoEKIe0vP5twk3SmHcLLbfDMS43hjzU1wfBLel5sg+t
qPrfzF9AmHlWa7bMhuXDJDdpnBOq6/bDJKV9HyD2Xom0l/5Ddhy/2P/MSZ6LPWV3G2jxJHbCu7GI
i4ru1GC3TW+TmMqCJXKbKZxCRlnHEzEWmIa0dQ/uzZvzGP3aIpgcUtUbv7E8WwSlhohl4gDOc7zM
r3wf5CpWUqaxCxsh3cPQVqTLTSHIWMRi7WUDytHWFFIVNaSTVqQTSWFFIdGGLdS9L1ceCEQxkqZH
P6SeJm4CEH5WJ2KZWzLWX6C3eM24UuOoqqXPIgZ/ZSWJRE93Ox36SWtl77c4yDlYRn72qMFDwt7f
CM6ym5I8+AgbXcvcxyA21lL70UYtkNgYbOZQKqnpnqMx3EW/p6pjf3jQWHMDvpN6F/qHXnvAkCeL
xOf2brvdjUwXMjz5/LxYmuVszjVKE2f7UXm1jfCz1JdSjLc/PcOL3yviR90zsdOPhX5GCR94VGW9
l3l9bYcUG9efeNLW0axClM43gzCaDuY45wUFMTkcPfNso/A6ro/POIJN52ZuWZPjRryLil8ppRi4
IfJLgNH2J9WKsAD6XzhlZ/9q0Yb2aDO527WUeXRo2a2pDrTPv/ZHMdOnDc3PBqUT5E4QcbolFIEI
Bc9ingvL+J355uknAH/qPqTmSEMHb6xRHm+zoc566lWuHosc5TyNJ/P4ZzGCkC7L3nkQkg7ufhTV
oTDIF0EDYTJJdEsg6S7p7bOIBoKa7D8IRzSPlUF8FsjG9ouU8WJZKCywyK0/5b+geY2OhV6adoJr
L2ERWUZmyQitot1kTsfk1uCL4ZN40g3jpfCRLtIkR5r8nvwVhKBM6diNyRuAvgqS0ucIHmvRQocU
6ZGbkDrYQrY4T5A3w7GlGnvPUDpeBnlZo3ymygoXG3cVSuuzNaIsVUEquChspxS2sHXlNIP2h5Sn
73yFRlo2USlu2QuYf9xsXekl8IrACyQicaxyE4HGmY/8fLBowWbER87lnq04Dj/SrprBDlXIjHSJ
PinupTk0CIn6wVuOXuUXSW5V7cgs0a0VL4CoRAlRYvs1e3u1ny0VE9xHV9I9Z/19Ow6InyWwN9R4
BtXv6qjPLLyS0ehQq31986MiwXbo8x5bVUdmf/dKBXuKBr9KTa+6rV2iQji26FmezVaDZlYh2xKZ
iJs2xukUPv3Md/33JJGqKjg7VBIhGJ4OPD6WMRKyK5B2SE0fiUxCOa8mgl5SGif5+A+uKaDgit+M
GBdU3g2+7M2hZgKOnKZIeMYhqcw7AZF96Y0WNSsqazkeIfC2jc6rAzt+aGZW0ztDusMdGilocW/M
BknDRkz+hCpjgEn/lOPoUIMYn+NvBprTausFcUMjB5MS6pX/tQLUH4FaDOol29ld9x0OTXnOm6ip
6lXwQlnGO5Hta7seWQxPK6cfmZHEdsiRWfFGlBdIRO/ayUEF2atVLy8bftZDBmRMW6dNzgg1dTbP
02JYjr9NfI2l5sa07ljCgrUXnFDxOpvu1R2bQPzGofON6Y0ehNrN5rPJcwCArIQFiYEAZ/Ma8o8/
a6NxURp1l2utRRYPVQ97Zq08orDingWqff7Ll7l3rl4lPkGkS4Be8Oeh+tqVi8PsqrGiwK0xpH0L
e0szs3200rRS64gMl0DJdKQn/m6QAfZI3B7V3v4xiHKtPui0xlpGzE4FPIkPzMgoWTy9SKhchCDv
Y+5dosiSaPndpp+oEEyddGuBDJ+HbEJQAlnizo6AusXNFk0UjLViXzRWPz/CUZPmRf+fNDa4n6iC
kG7ShkAOC1YUGRA7M+AHi/mH5rakFOZDU+RLJPNyRWJ9uyvh95ZPSElznEoi3C1pC+kwn6xq3OAT
1JAb1oER6A+yPP5u/u0fvm6PeT4h8f4Ig09x6W2mK4wTdE+Z7HMkb36gW5zH8KqMYrxFKGvcbhl6
bIFQNlpUh9kucgO9DNQQZk2FUDpv+Wpec3ZcjjznqLiN7fFr+CRAkf0HjXCijmZODbywiJHa6Azw
v2Uc15YYAluk3aluNiJKA4xfjUSegVbo2T8MMiqV45Edt4fqC1QzQ5wo2/4WStnL6ZFtBrjsXEky
y0JoxBI60Ps06a1TtE080p2bPsZKwErhTXFicdHJ5Rr6PIWjKOeHOFgGHA4fW59e7jux752ZdKSi
P1M6glxDES91xrIgkrblqAHe2JjqvEenhVaPZavkGrusb83iDCixyf9RtBcmRu7I2FvtHlao0xks
zqKpWDZigwiP+MwZfs0XjQAKaR4cCqwQ1RS8fxmzvpx7Ji+XjWS9re/9HjAGbexLlX72xfj806Pk
zE/FDJ9qZHaegs32dhUHIBlVK6L7pEqzUYbAi4pd80WHUAW6FuU/FcYlYihdmD6rTznAvsb91CPZ
nE/EB5saUHgBy1Snx9vs9+KYezq9zQ+BSfwqaF6hXADZ3uMRPUUFyyezvhuS6ItJudlF0qgRjaes
AlOkfncqboKsE61rI8v45O6YMxYuTBoXeiVgIehMpZqjGfZI9F/MrZf1nc+xDs+b1G4dbh2TFL4i
sPkZIwl1K9XFbIpAULxqebrvoLiWDGx42Qu9EEfzebLHVip0bBqdRdsNBZK+vljNAb44uf9eqjGi
tAAUGUZlzVNHiIFbrGplZ3OXQa6jFE94a9/U5xHjqXJa7xdG0JlqAi3hxGYEuqMBmDViocLip1e0
PumvyD23/vhDJjP5qeGYGITaw6qh0lPbDPpE0vgaJxir8YeSJTuU2UZ3MWSlL808H6yY6RsQ7W9Z
vlYzMb+/bigH5o/Grh1hL7BwvJhIyYVhRjH5aRrsT8uxoxtXKAf/zR66yUyObfJkdC01wsf+NPtL
39auHNx1RlApDFP/QzvGO+kGo3ou9Ga7i7GspkQQ9JDooza9qNbRJPbONtw+P06qTB8id8gcyk+E
9tLTU1jIWJtSCtwaRe4CNnm+ZRpzpIf7MqY2X5+GrklreI15HMKf2Ok7DLqNbLrY9ypJGz1Reowc
fWu7iwlCmYzBhOXm3kNf2TEQqGeV3iLaur7VC7uayrN18Hf6clrnCWRm0jmuGKlkucQrecfj47KQ
hadIYetxBK6Vl47B02ZdWRltI7sBedGbyhmDZVeLtuRAp8V4xWgbVSeH7sbKTsKEXu7ZX15pCef3
+buJBadqC1PN7HhzyeLiSM931rpQRwMCZ4dAZMjgA67qNNLS1CLNfuIvFfaC/BnKixgJ3p6xJy4M
0HzEv7M49pzYPRUHTaulSVXKvsW2JK0WhHapjjh4aTQfoeHubQH2DMO3oQTdW0nIS3fyiPidUilo
ES5/vfwr5FYdmDm3bZA43f0Ty0JSJp3BUCSnsEnAuSc6TwzKLbymcQvfuB2AG5iOKNgqqeeY/t7I
woAodFIK/w3bl1Q07hqx+8PR6bg9nOiVJmyqVhW1El8Qz1OhUSF3VWkmfFYHjjlgLpxnbvJG5J9L
oZ9adZwKXPfq26GdJXZavzkWouz7dZG6xUbivVy/pkDztjltbu5jMqBdc4ELiTv3Pa9CB0x0FrFc
nyp/K0j0XZcvOXBNzEY7KGOl3BservgXxEH7ywL81C2LwLJ5lVbf3/o+Ndwme5X3a7RXWvKhlG+l
ON6VTr6bVdXDIsvkusjYbDSJPwDYQhN1huA8yfjYEtEzQDfV8LQo7FL//noi6rp57Lvs1F24z1M/
LUs/tF8QQ7ChUpZwmlnziCBFhhJU2tGUWbYsm10AjfU3SgKL8iMPiBGHDLoXqhBOByGqKrgyyOXB
ddhFJoX4QU4eD1YauHOaVQZoe785v8DJH/LN/Nwv498+3tVb0ak9y+FehaySBW1Ru4w/XnMyxCz4
INXYaRQg6IlAXPSl5pJKz0e6ZC7jzjRq6RKJnBVA3Z+4yjgoJocr4zaugWiLC8l79OCRdzbzqZQK
cc0dlh206eICKGZAQfUCd/pHz/pcYgbraPjqy+HvJQSHAIV2bmOwWetBIoJxIkWNxi2Uo+AaA6vm
nDmBKRngb5cmSroaCxPLaGrTBi4s9a2P7t5Wi4xdtYiPQMn4+ElmoafYiPTr8TkTuxML+CJe7Zfw
toXxDExriGa2Xuc5JMKYc7g1Hpouevs1aEHRbkfDIqYXne/RhJGoBchjgrP/iYDB6oCFfb+Miumt
qHdVaQS/otn/IvwiOHtar3B4Rvbi31LHDAigPxFaG4AfUQXGNSklBOTnbiD7qncRZPT04GOyuV1S
3L6tw1Lng8deFaKfYJyI7DEtI/hMND5d101Glhr2550dQd/MZrp3g6vEXvUkjZgK79dr+GFk5CEF
iA31d41PPPDxEkxTanUD71nIk/7w0GOiWj77IQVnSTyHRzoEmvEXYqUkzShY5FD6duEq0UcaKouQ
KJQ2yEXrsPxo71nt2FfRc+iTUpbSLpWgDoIamV+gaZaoeFIOjACQLbUC4hOU4AZzntra5Mi7h2vw
SHEQgxu87JglLMASrzrT7RQERp2gpEgJdnUHuQsGyurSm4WtC3kD/ZKPXSqc3MU5s8ZMbH1Vl2/p
7tUnfc5nuJdKTU7+qY56YlzW09RRZuJKQ/pnf+dfZnKl9kh0aVujy87oP+yXgyFHKEAt+14VjqUg
3u+5XMlmShVMVv7jieCgg07sKJLpwR2Z4EZWy4jGLDJFVIJ0+EHxvxQkzDb4X4PHKW0csGcdakZI
GBrO+rPmb9ysc+dYWiwxt7GRdKlCvbXFudtiZ5wmbY9IcocO5ZBWS/AiTj+v7NtNk/tDRicdpXD0
83lhqpYBF29/uS0U/T/ZuPt/di6zffqWLzbEl+RUunD56xme4qIgr4azoeiVmeXKbRDOfHtdQPRW
7zJBZEF79xZQbHBVOF09qV/G89DvnVUEYWPOPN7RvTeo7QBUVOS/81fEA8dqA43Ztl/emV6HVGou
8frn20yfjZSzwAl5ecLfObFwdGXU4xAHReZcENGZBP81Lf94XsRgITH5DIDxiAYeJ89q20ylWebz
phNcCDXoI5JIOPCUUy/M9AvqDErhl0YtS7kZKXd94x6QtMMld7UMI+t4dG417zTKsmvuWM/Lye4v
i52KQgmv6a7UDnnJ6/ZVDjrRH/U86l1lWomV5ihbXedodtV1PW2mJF+niboCARtBLWggJU8yXKAb
VbdnpzCj9bPbWPUJcKipwr86Yt2jpCM1Sou3xXSWc5PM9jyRmG9ZFq5+NbEkX9amVZAmJUThB3RS
mKs6ByQ2RYGOFKzGRWe7F8Z3YoFJvxnNJT5/YgwLw1nyDAYGAnxRyZyw6SvU9QfHpkDj+vl22fNL
dPR0bc0b2hsrlymLcz8mPxwJ084RL3xDhSpQZ/LopSII1KNBsqhOeVmjMOoASIYTe7FA6RgFbJHP
8GEC1elzXS9OQ5RHrZgAGlypAMrisZsKTyTmkfgmgPbdTOBmbQsZrjZlhFOo4hMGRtHLRLPZhJeQ
lhLlYI8na6HNTPvFUYjnMX+s/Qae7aYlETFATY/bZDGyLwWGcBBv0JlkgTAzZFLjDHM2w0q4LhWa
d9GYz4Mqxgma5rSH0NOauVf8/pECLUnzYEbMuEe8CaSblbexQ36yv2490znKEQyHvabsDayu3Dw0
eJJOIQ7yjw+8jSEYgAtKZh/OL1IDoOj5VMfbrgqng1lEgsCXkyGz9E5k2mqSMc7FiIs2Nf+0AYjJ
736MGZni5IkoVMGCawJAPActT0dIzj0z2INkM4BzPwAoaEFR1IUmuORbo+59Z4zcOJneUfnCurEo
P1W6xRQM3vTNurma7KW0z9KzOxqyHtzoZmoqCa6BDltz3sHQi2MZVHaovKhi3e4p+++V/AN1ogfx
kIEzu7qYMDNpC0keCq4soDEk2CROBGh1kTfrleOoMF24YhfkxdAl7MYYv4JtQyqriE3sQYR6bAwk
f0JfdvNrC4HLrzEelCXj1uB33jlTB9GXO+zI2UJXLIl7kbdmyXZ2GoFpVe5dg77JOnmcN6qIfyYJ
l6Zy8rikDk1fobQI+x1h0v381msdlPovhKNg71MzkC6CyCiqF+DB+5nkPuesLLBDzg/RJVcZTBG3
LqElVRf3CUi7nK9U8trcT+9ohnxG9WaqD6W3jfhEhw1nmKrl7w/JWuGo3xdz0mfZlJ5hQ4CpnKES
ZJ1Hl5BixPMyq/Iqi5IcX5iTc6G0VRI7SD84EER6P71P9IppymKaeA83hfWwVs/GdzGM5JEd8Obl
y44p8JfyWwMHiz/BgPSYxXEB/Q/4l5QjWusucsd6HN9DeP7VCnSuQGNNhK4YSRa52OiYUQzI76aN
DokzWeauqfiG4woi7dnVH/rUEk0bMzrvpazY3Fm1rFHrKA+VJfIP+e5gATUTwjjgJSoWiDl4mLpj
n38mSkuivz9Q1FxHPJDyAgPerVAUSD4KAZG9cXUIYFWGouGnpmexmxsukDeot7P7ogw3R3gIypE/
4a3/uVqibjXmBlT7Nd4RVjgGN3T8rD2Q9EGbAwupmDA5Gsn5fq+VcNhdxuXN2o9rHPuD3YhX24q4
mnoPqDNk0YxITdapybcJ6vlLdN40r4me0NQoPigx7nCKG2pwAhCdBg04Cp5W5UKEgaAMOLAlrFa6
j6wyb//aLKwlFSro/KvbE8RKG1vE5Vl0ApuTYVNr0o+ABe0r5Krlu6CW5OCg7/IY6HK7W+eJ1XJi
b91DuVhU0ETHxBi/7mZWdPjRjpqj+aP5aV2Uy68zxl9Ajwwe7QnSMGd9FrwcE8mVEK1ZvzSlRDqK
zIM/cY8qsWxBZKnhCJ3jDXj5wH5YSdcdup66cP5cCHSfWBjGytjEMf1Wbporr3A1/Rbowd1z8/7m
gnHK0yWdoZTuu5VzBde+/hqiV1txmTeJKTv1EnmbEzvcatYFPVOzLFHvbUsWen+8U2ypKwHcfKVn
FooByDhdXuI5RnFS62QfLqgZzuh7vjO9/zIpheLhEXV8g3QpzuyzFbhoAUSKhU2VMz0323vdQm/z
50QZySqovb+3grtHSCDBdIPaaTGizjBysnq9TP2ZrOyfnnqsWsrT00lcliw1/dTRqVlRkja7q6Wn
Zn4ex9EKE/QKvyzojtP3IxMlGs/UNozSNuzz3WhDODUrUXaTy/de9u0wwrf/zIKw+ZGnDhxWTC1l
RQrt9WtKgbIkDZPKlrm6dhqLL5lS2A77GKQnyAGo2f+HbkuZ/vrs+P34E9hrPAUhtSmQxInJMRZU
vRRLjzzb/53Gl1PPuJwTt5wJg5FF31qnbKguVyWA3gitz2PpNOAdJfh0CxBYQHduUZR8b10hboGz
gef48c7Am0yG/BB+xNGr1t2VPSk3U+H8uhh4FwUS1RfXyLIxFrsWfZjlzVFMtHuEcOBs/28OFzah
z9zJwVwhFKzTcSAqcqhHE7gh5m/Jqh0OF6WsZfDP8tmmzzaWRLqNOO/vrpHYfOOL/QKYT/ElXBuQ
ejoBqwmrrmvdWm96BHlKTuydkvO4DKU3sIVHqHmmIsy6oZTHiDfx7f3wcXrAzJrq7w9Fgv9eiVi/
Z1X9IVGsOkeEAclLEPA3iOGr6zBk9W5aXiBQly1XEjIMj35mW94xqKoI+CUswzhs+bQ7AMs8GlyN
QV0D3rocWdEZ0O8XTbCR/wMR4eVmaOXFmVJ/dGURgXmrXtPjneJAC+/yDmX2k1toM1Ga/p3n+6wz
vHh1Wj01nllI51wtuufkTZWYzokBxGWRTEPOd1URkU29RLqnBM/Kin4t+HUZVm/YfsqeU6+3kBmN
Oh40gY4Cv0C7+zARx72W5IGe0NyPBvUjxLyDmIVA9iAEPcxAjHDCiCK+g4QU2nmRftc/qUKPrhTI
+j4E7dWlW+7vybvyEIBAkRFwH9Ix9ozR5bN7UcULht1DAGLO1n3+0KKPabV0Ell119adGgaYfLxU
esi52qc1qUK/LpgbVyY06VJB7nD7y8mKxXG7/3VJDXAox1XAWLYgRV/Ua7wbi625ZKxYUIroAyDJ
Rzrotbr+QtqEe8gI+SHS4KFc6JBI+jH59s+aRBBIjd+vZGams6Aum9YoE+JWl8Ho/PazHTJynz2O
x0bJGt03GKfGcTzDkrZgBCAWNCsGFU9RyVFvwVchU0tU1XmlnAK5SjyIC8jBi5jNvtWCMVWS8SqB
PuhhwtZoq5uBoC0F8CAXb0CLoBEwakmSacqJMtmrIS5HQX7zUesNZyK3DOHeNNoaUxhB20tEstVH
wQ/9LVSbQj/6UYmfMzIKBYtA8M8fk1DZ/kbZkFecbwubHQ2+oRxYI1mCbtm6TCgBM4brEudp6ANN
7LDbFTPHKnKZbaHD2QcEUVZKlebNA66AeB6rO9ypQ5ok9ln1yWLOlYyAEimw9ztLk57rHfgKx2kX
RlaXXZ1w1FMNICZJFf1uteVZc6d+fN51gZhkqm2PsbbV//CAupxE0G5bt7EVc39bBOeHkYnka4cj
SlZgb0B7CrJ5FZlE4Cilv02h+KhtHRmL0TYk8H3MPNhW9wSlhCwBoDpysKntY8lsOsJvmckptV/H
QXkpqS1qVQSmF4HAZ7Dn+CBbiADErMXNd/UW+fCKButwdkMzrqZVVBICRorYVeou0U5rjqZxMTJP
nIQnyMM00lAaRaGPts6jkmQ+pE30Ai9BO+TNXuKlBt3LY7QiOSIrhshkTZa3TXYxxuKRivoGTCUP
+qMbSxfyYIzjpyjhssknU9uiIgWE2CF/mODXNDGoxw2jetFrH6EwGWVAe0qerY6Ggmonwlku2DD2
YSLQtFkMZyep8/Gf4KMWuT0MmM80Dc59XGthV8BkmfWDqoa8bLJeamgShms54iXcY18XHxOheBnW
oX5secVjb9L/AeHtRbcKvszdr3Tg8LR1uOQTTzlZiB676DhLGxYr5rJadjcElHv+N0ImvE2IzZo0
Bm7nSizQ6uDl7uBeioXnc2B4N+zE8WKvN5DiEiDvs/QoL0dCn6JulJ4BAhtqZBEHe8u7y4LmtCC8
b07cdU1gZOMyJDlvpBbgKq4FNDwQHZtOTCe/+DqOOmqOEdkAJW8auXhLZ1aYtyoUrFwkALGmmrDE
u0NFD6TqNBGOSRGCkw6QDVLOMmSoDnIXYjJqb9UD/NBv5EN18rhhJef6MZiV41adov6i+E53a/gA
JHPhtDwhQVG9lyWFeSpnNAUUOtGYbnUHxOuB04o625eT3PHi6Aft55CU03xN3BALw5r9wWPdR4x6
nVaQ4kDWAs7q+RQlg/IL0PtZFsEbgVbEcrCWEbw8ckk/2CilxDKZlEKU2OxRNgtQMJjx0OO8rIgf
d4H7BigjsXVWiuJj+M5t0DXmqX31CQfPW1QY4e0cSPUAu6xyHLvXNsU0ghUOJLpabNAB1WdDcwLi
KCurVgwwrLFz8JKZLWGp6lbrbdhyrOu1hidFaVKrAqoOCPp3IaiUfmO4UaoTrW0v+Atbw01CrAGs
nTSqRcEmZEpfl9949Z1fkDeIHoWrxBUZBszER3O/ReC4SfH/zzDWToIhG8PUtSEmuNqouwg5N0p3
ug3oKKsskT1OeDdKsOFy8pmgTodczt1pJiuZdqxt8rHgi8bazD55jU21BF9XL/K4390Zmdcaz+Bx
tUgTHR7khytVrsMAuM3YhCHqS23+IE9Xb30ozTa+weyQbcra6sG1LuHyz6ofD6mE3rmv5+FjCnpl
v87Nvon+XcdzIcw0avjywhs7WKeXcpTT/aMNzkuK/7hCBfiqol5Mq2wt3CxYmqT6QVTlESTvswOX
+Jo33KB7B+hLLaV9nnjv7M0AmlKafQMOvlA5dnuDYbfD/0507dseDvyvhUChrcO+l4i40FZkFVvq
kWNzx9t+Qdp9X5lSDbTTG3VnK8pnamJK/mR5tMTxKkhk67sIlMJHcjjWeE13ALA4BbQH7NLlvJSc
m1Tyb7E0TVCDAf5nuvy/tgdyoCc/zGrCd7VQ1xSiCocRfbLgYVbNPZlR2yJ4L11wpMsQkUM1oozK
jLWICRYd5FjIb+kYQJV+Dkyh/EB40aLDVZcuIp7gcPe661apyn1pv1p7va+tcsi9XeMEaO7pJCk2
QRgZ1t+8fTjfNGMaM+idHTjhRPmu6BCPKPuKIOAdjUlS+Y9av1KN1nicpaTmuVWVWDvA2jrgwSK7
xRrRmmpSud3V65hs7GOtdP2AsQwQcKZiS3mCCrTKXLU1BXCuNJkLZS+1Ufp3JA5LSHR4MiM+3IX1
WZso3XVn7jwv/lr3O/MofxqZSu6K76hbIFevpe0hEOi7GJP7HR7Qsp+r+h2LRR0AvlG57IwINZea
vNskdtHhkebENZHovSdzOSCMP1nOgh0aDnGSilFeUAav8Yldr0bun5oK7+VgKOlqOdEYnihAXszC
+m1fEGj01zP3t0SYGykJgloJmQf5exPXKnj4ov6Bw6JZ6CeJUdDjmxpebzvSE4OK1KBnJwrkk7xo
bqqqeJZts+ymxwRe1Q5GYbYTMZpOvYl0CQmyWHvMob/kL7XpJR4OGvm9PV4Zb24icBs0du9fvZRs
f6D9rrm3Swbw/HcYEiYVccXOxunY5bW/5H49oqA8OYDhWbrdiomlyvVn6FOBIlkgUZGow2/nmPun
yAjiYyGDT50j2Bvvchl7YVP9zHhzZnhvMAeK8M78N/RvjBJXnWk/RLSa/Jg4LRjHeFWOlnLqYPbf
DsnI14ugflTHyejpv8isL+vSBxDF8XU4yyF4FWJ3D3FXmm1i61S0aZAbR0KQ0gEJ7BuCdQ6H4i3j
XQyeC9sm3+0WJlxM7pNiQP7VJj0LNdL1lMh3VlIwfr2FaF6OcDt6tonbvFgBOdqPukIPg0bGVtDH
J3z+d4k1YZlojD4bp13TxuOK6kJFpbd+y4ZH8MkWaOlLxV2aDhCzoVej+FWwj4MTh3vdsm6+d2u0
ZXQ95DsdgCet/7BMzPtL2lGVuy2+yTM0pn44/NA47uKfXS96a7OM0H1eQIfsT4l9zkZ+heiaFOS4
x3d6pbx4er59iamp49YNxmb/AvYYB9HS+rGtKRSBXJATg8cY/1cEbOAfDVnySw7ZjinsZiUovIUE
ufTI6fFG3SjtNFd6KxKEr2Jx8TxkNxd7jFcGh/XFW61tjogRHqGVXhK7nbCZJqZePikFl+JWqdcL
WBh56Boref8m7h413B28lhThUo4j3WFoY8OQir1oLmx9zgquek7b3cqtfDeeV85C/IXsYzfcGKEL
yl73MGVoMaQzR3SmCARufEK0w1xwchxpTRTz3XL4gMEtnJ7AP6DFUCVr4HtDjD6O2Hq/96uQ3Uq4
12z8YxmIViBnvLWpIFdNUdGcZnhHiBkZr/i4S3vrVVllPgEBH50Pd3/mqvfeLzDqlgNI8TFqC/t6
YHmfCrcSGePfEuL35D3t9ZSOEGuOMnfJmFGRB6Q0jjnwT6h1vfRCs1GEa0t7cubs1+8JMPSAtbO1
NTUFiPx3xye0Z6IhHgJeV0U+taGwaxZJCuj3Nh9hCQmtrtzMYLoEDMfBcC57uLJRFIJ56Yx5QCOw
G++9hXlKN985vEew77fPq/RQ/4XnKX8XhbbuZ/AZg7C6npzRkaZa0bIseRcKDgsO5jJ7SUArpTv7
NFPsr2Iir1nlQZzcgUaFxEh1GX1wjg5q1RnwiAwqwKazMXaLdiwS+68Hd43qfvq+HDKcIVX1mMic
KNZ+d3Ayuy74YkdzPZnziiCKYe4JfaddCbFK6/+SqP4F+NQTr85ThzVNSxNQykf0FP4d7FuT8e7p
VEj7vKIRaS+La7TAylXu6Uzugb7pJEm3StQqmJAA6X7MyZ/VhlNvBG8t4xP83D+UwyozrFOfhqel
P4+fC6es5QOG3iMRXgQdt6U5SrZGC7X4Wxn0yLv6+trUHInOW38j8OWQkEt95E1Wqycf0ibWU0c0
oqnJQh57dEBWLLx1tsN5UOOvHbTjpYQc5BYEfiXMwt9LXorqVvEf/xC3K1PsmORzTi/xo443q1HS
rRmhWKoYhI8S3VpsOCBrd6l0+DCXHDz+oqXEuLkaq5MF0iaTtsyn2Q+5rRqPbXDL15zrwmRmYCPu
hUcdeGNZndyqOzWHhnNbeyYBRbPExXKLW/tcNsANwNbK+JGJL460Ut4N9sE1PN+x6u/7mIw0Fx9m
rBC2u1tjy6ia9FA/NbxqqQEXKzb03qcmbFW4yh+oTXLJZAXUqO8bjsWxVjz8vWOiyzjbbjy0YPSw
FYkxW53CUj4WHVKIFPWwDSevDTt8FOCDvEA5lyAy1dFTGdLB4u76Lf/qI4be4W4UzHjldAKnne2h
EBVfl0WV9LTUuKDWIz2pru8HQU2T9n6oOLVkZj9oNWnhW85w2tsxPpgsAH0+nVt86IXPbQGet48b
RWUFANYnrLRBVKS9GTJ+uJ3Fl8ttU8c36UDSxZUjijxwpNwzs3SOe5HyGxAwL2Bs/1nnOgjwY5fE
ynt6AOZysN2+E9bdNRcAxZehg376lcZIRhlB5BnyzPKehTyHkvsCrUoz3P206ID/rM8GPCRVegix
43n3J98SLxwHkbcF7NK6mA7OuLpMPujeCFlBQDXmMbZ3odxhUv/8DiLbZN0KUUrTenqi/pi8rSN9
TPCDgiXi5WCU0Bep/TxqG20KF0XGZS4PO0fZbEFWIRbkbVeDCSeFA3h5C3pvqSzi23XxRveETP4p
kowO6/lKmdr75t/hAaXN9HlGKnY4Bg6dJy+U4x0biDS4fBgEXyGK+27kbPd7naPPrn32pTUToBzL
+xOkARHlmkojSTyUACRl9eMmUuUEZZK8xq/MX6/8mHKQKoSe2DhgXGlp4Z/VWrrHI6o19hJ1bWpD
KsKyzfRXwGSB2HiDBppxSkIXwVLXWSSoqfSS3uTbKXfqkt6M1sX9KNN13G/P/hhqtoFGwWDqoPcY
mP8BPgrpIKG0I3QSsCsLX1q9GTEUpWDEsNvtyQ7uv3EWBoll65CnNiyiRjwX5sAbSXoC60B/wYRh
5X4+sr6JqIJbUuv1X0bDs/7hMBrds4lukYBcvcKOhNrcm3qtM6uAPcxKdLHHut5M2JBsysLdIjR3
eczUuXHwK5923G8UW1Y9sRBd9DIOAOTKebtyS/cj1nOaUwGdUg4ZNpAh7GweEz+bv2iP2xooZJYi
DFb+lybtXlF8KlPSmBLS/MhBrl+hnNnpmNgbefgTEZAYLKe9vZC2SS/NQettLGmcGkFIDLiKPZuk
+HNufbba+dLM7g7pinhnh+AOoMweRu45YW1tIiyXShEs/+1oQQ/7F+07x442kR5+CyjffwSarEId
9MaHp8bmqULKkdRhsdA5ElHitsF6dxzVks1UmwRCkm0xMCpfd8kX28kQDgp9P2HfY9CU7wKA/IXN
Z1Sm28k2wLi5UYq2CLnnMuy1kbdx0AnUJb7liMjMOHj9k3h5mHkqdoAuH2/rDgr9/wyvqtv5HSgO
2a6pvqYyjNE7IPW8HleeUsNuaP+kQeXa8PhpodDqHuzeBU+3eNHtOJWDxOIGJkNjFr+91aSTdntI
qt597/yYSBecv6am0YcWM9PgHJcX9H5wzGtguWZR13SecMj7CDUlHBN6fYTbrgrQ5m1dSV946zSj
lNRK0VEpD7T6nF8idLdBbFMdbkUJakQI26x3ZqQ2DqirhVtD6OsLxMvDZzXeFsD+NZwPU+QIInOJ
3axMkN9SC0jkKC2MPXcEtUwrK6fimCtEV/c9+/CvQ2X+8/IPnsiF6zcrsc8m+p/Cfj0Zja0MHE3/
oQdIUCZogLh6J+t62eW/KVSgw+uReH7KGUtRrKUU+ZEmH+o7q2JpAbb7UA3zGGmxFzZLg6xyNWCV
DBuHrChN603UDAns4QpRAEveWx1CAMf7ngzKwxpRjfw9NKt9yrvVy+R5M5xX+2EWkC3Ag5B/msMt
4HrYdWqjqDWDSdSXwiyGLSoyGSBAOKhciolx7LH+yBThEok0J/CVoE02zDy99bpEfXdNA0qlgKA8
NBLVCaQ6ivocXrH3dRxJsNgLT51Cq7l4RUBoX4MOx2o3oy5CM/Ad7MDQYNMfZJSAXYdNBTT7Lslm
kcu6MCAvKWHuuc4AyfVHCysXD658TZktnjAyo5vaxjJtTqyx1F94bce4+p/suxRo7xSDiAWzHHdG
vyqMCPKE1Hl3oIx/qkEpCqRSK3fB+2F1dCH9AO0z/oidvJgVvNtSgZX06S8qCBkAgc1zjRFCH6nq
A5T5bDqwWs9g7FOfldrO7nyPCQ2L5PuMXleCQMaCqJ3UKhSQ1+MflWq3AKp5zxw9dUNuSLt324cP
X+M5nkjgiD7JJ3+GJlDPAlVg/GLhC2Mx/XEiGdhDAH8ikg7kWJQ6HCpm3Y1I7GfSXwLCqghlZpw/
egcWxnwA1bZAlcArQAXET9PkRlA7nZM4W4SK/XzvGoqeQDQWqNUXc5Abc4Ryi4kjuu8sB06QIdE1
HoF8c/4HdAKwZhae3jQF9BwvtvJG0GenvE9cL9VPnfpnpAoHh+6n9bI6ZYU7T46iHiuB4MY5uJVN
1NRQolCatymYALuUpfsIz1gj3+1nBSCL7661r1KtXJ+ikBoRkedV75ewv5sBcx+UrEdSCEUmL99G
Xvo6DmF7xcMzTA9ayXZij8oFLX1QoPX3dmFYyKxfo5674GCD1NOUPzAoeeC8QczKaGonxhz1PflL
PK8KTxotBm8ax0lPQHk9YfyEHBMEiyOHpQmHuNuLQvQYNufClebEezU3Irca5TWd4xQ15j9yHQMy
z595lGCjYdulFwy436qc8wvvGx7m292jXHMzAJVRdjePCZyXQq4XH4/rNT75xWSSIiCbn8LC/SiQ
R6OYSYABP6N1xUiOJrLN/eMynNkl4T8C1oU53CvZQF/Kj8wxRVrTDCFIZqllbr+10c6zE2YGlHvT
wS3gREoj8qZb2gF+hxlqep0A4VMYIbnlgidp7u5mUocmBIKTbrKvWqaSYVUovrA2/6ut2fkk5Wvw
LlI9VASrzYF11w3v0ZfS2XhSFpqoYc6AAJ+JzScG0KSCwXClhdYaYkt5f9DE/JmcQxF8buqBAXzO
U27uRZ5KRiEZRh+rHfiR2YJA+pMr8AClrx+Hqsal2Z6st1yNownwrfcDIdSbsy57K9MxFI0kTMIP
OKn3xY71eL764dJkmLlWGMDn2qcErMUCMmdtNtofDwTuaYxaVyBBVrqWs3tFvllN++Jhz135hxri
CqQ7FmZDplFFCX7NhBOjJrodiMzpfjtyKECiOhnCKLaLiXNkZf4RJOIKbSMohhFbkQtojMIlmDI7
hANPuUvBjegOGXe49/OnzkhPZ6nZsnaQNii1/qAL7alIarfwgGiiOtI+/0Uc7zgjfUlnAHgtIHUk
rYH0l7VdjKqlk03dfPFah35syflPP2AMOWFV2IvWqsGWrh79qqK7JEA23zyeZRmDNMdy7KHszF2N
fuLoPXEfPgBVFLo3ssKK4s3PAgcTjJrLtVTGY/6wImv5fMQJLs3UlatQ4sbcnYL3yrW7GHYSnCEm
q6BOFd2AlIvZzcb/fRZUyFUcvJ7DmuW3v8zpmPMOSEObNApt584D2QMxrVexJvY+ddgiLQdFa7Rf
3DXK+vtdGpiarFkzR6sJ6jQKlMEuTmDY1sDY/3IT1OOhS27Y8SNTUVgU8sn8TJRhu0A+8RzsyUly
QqEdLGJ3LJKcCm8N4omb97QzZkPpWSlNsfnIi1BBzEO7MMTtM0EElUPAApPbeicDq9A1ZxUF0pn7
69Ug4xy4W4hh7cFwvWUVL6XLr0avWKP5qMan63miGpQbZ3GDtek5aux7bGBVJ6OH03dlNM1vNRG8
RTr/1BDL5YY7Ehnu+ZP3iLZ/KOTe6KjvSFov8GNLX7UF9vsz4Pjjz4FG7/RjyO2Fc+WijnoVHG3/
bjL67Nhe/fpZUVftjx1ADprQZo7PbpkziosNlTJ8d/gmhVlr3NqeQGRVuzikuGqeUv4XBVJz2CDc
uElPTLEuYI6OHwkZxK0clRlj73+4bomEBrNH++yd68cHSOwT/xFwf/e4pEO2fiMH7EwsdozYmAMv
bRbBrzGwsPwuykuVdBZ8jJIGA4IVshwIgpdWAjsPh6XkJcJTKgRVVAbF364Xlj7kGtYz1n5qQZP/
FZQ+s0skHxRxLN9tfoBV5aiKhIlbQaGcVVZWNekcwE/rmF6YEjSGRVplWGqfsYFdUhBcQ9mzPSPK
ysJbRa+SETFwFu2JnCx3I3tVEcARtalLVSCCiDR6r9fNPn9x6RWhbCSHMiHIlZw9ezh00E6QGxk6
PNxoJrTCEnaeWYOgX6OckI+/2kTfDNaLZhZ9JKpJZlpic+2Tj3gpGeMCcrrdd/rIw5TctMpq20ys
GgLlg522AUvvuVeNPg+zpXF3Ik1qq72lwdokrndlEO4BQSoZhA/ulpPWf8UAMlHyVZh8EcDggj+0
kf4uxWtGDqCtZhaIUgsy0mqN+geRUXUdD9iC59iKzHyAryu8xvGTI8di4LrJTY4vB68PD/wOsnXb
uA5Obz0e6wqv8+1XrEulJFCtUjKRkCoC+jwpZ18iRAFpHzIZtQtXIRu7ZCh+olfcDsz64Hf6AlEu
InRKnQW01EocfJ2wH190M9W59em430zOnun9FzH+ftjtmZ7SmyHef9BkQ4tB44slZvb0PbLii36w
Feg8lD5KRMA5gl0c0PrvRortoCKS4CTMZFaOzojzqylj5eASQTPz7oeDJ4/Z1PugZUSVR06GJM3I
w4fM+UgC73qABQ6WRYiqEVFyqH3WXoND138384MvA1v+1H+p+ydVFNkqK/CbGQBqn30NFnpQ01fR
PFiNs+kj2H4n4NPmIO2g+B0zLyDeBPukDF69CKekkHi5UU49zLBVezLWE5wTPf5Yog/owpI5hzLi
uURACJmZj2Q953V6+IdhLEfLSHGPwQWJxYKGiU0ds17Qfbsqps/trYFRO9FCICEbTA2SQwaTJr6m
i1A3IPWan/hdNXzxWobW2viF404nP9kU7LG/DEJ4OnxyZYcPhhaS97EeouceirkqzAOUB4z0XMi5
iUXI/yMuLymG4QMUmiokW71BSrck0DpcWFA0yyNOI9o1YSC+1twoVbGl3LHwhd+FR8ULJOnZ7yCH
e7mwRlpeUsXRXE3eRY4mquOj7WR+m8Lw71tjdAx4/M5rYc8p9Y1wUU8I2YeMdcmYz7a81KtMYb9K
4ZOVapsFJUoOfTEW7Uxk8pB2/xX48rmoIiEKCaVuXvGaL6GUaZEgn+xbXZtcwfev5H5wsN5Nlh0Q
U1veJQeoafrgolfzQVxu37DIwVvTgNkDYXfN/YhyX4zDA6XBiSYnvAsVAcP7KSxOuEArwUtkTUmg
5677kLlJ7UVd8y8bV6Q9r0RIzr9hd3y8B1NIlr4dhbuB0B8jAF0Xgfqwl1vO3UtM2KExGiuR6CIT
6LwwBkQ44fxoG69191lZKQn6TLlKOdNarE+EiD1q+wYCTZIEEFngYeMiG3gHU18b5eynLy19h0Pr
EUmCC931VxDHIH8yQu1i73aw5RPcxNmBVeM7K411uxuzayPHAiyEiya7ZcEK4F97RvAPcrlwZ03w
ObinsdnypjZEfsYCTr4wQIWQ/L5l2d4llXgS44u4HK7plHiKfqP5Y1fddDCPkIZxArW2Tw2Xr1hD
AqG9BbHKnjhxV0TJauH0lqtX+JDytoYJtSLHYdVQNXLY6hQ67ZN0r1jIfULSXavM2Ce7RVCyGqPp
agRnyKyyvmfpuDAhM3BbLnvHnRLNZAhXv9Fvch3uQjCYTsn5kRgAcNTEqKvEaKVLpnHisN+wBa2F
cnyjgUD4GIvQMcy+BKkPEhKLj+GrKUiswyI3omCZIimo9AF1EFnUi0ZGxux6hijSD/jXwpZsmYyg
RVkZerEJneu4l45auCfz8jZOlFRXmVDP5qzkcuZphhzOxEYXMNBa2W4UsqY1xJW6KinmkXUPMbPY
HjrmIyWUvuwsFqLAMcL71u0Ck54cG+DYJ4QsYJ4uwEWzBcsVCpBsChQV4dcj8y+1wYzmD5xKZ5DX
fQQpMu54liVcfwZLM6Ty8tnmmKKvnftyyc9mp7kXJXSvR4lXACTaCy50tv6ifCh4O9QHaNXEphKu
OO8hzr3em6+P8cA4s1SZqCx37JIN0wQXl3jRPlE5Thi7wjIf97y7Yvv96AnFv0TF2BBfhUhtM4qC
3dQYXPUE0+nmwAzSltka8ToyA8NU1ufa6ilzoMEUDx962ujO3+4JsOAI2C1WdYFGSxKYTKCqvvQ4
WcbJTrIdlWrfZ1SXkXQ604DenFKo6jqVKTiIxunXcT4txjPjRvanCMRJvQ96K0ejgVjkoU7vhZlP
7DyYKV/OyHAPR5/w9XjnxHGwDNxPa9EJrxlHvvwNj2DbpI+ILpq1lXmsCc5AOAm78iGD5Pg8N878
MaAhCh5ehveZIuvahIfGy5hx0tec9IMBujOAUkRppXikoyE7b6AO0NkAWcWmbt3prFm69e/CTD7K
HlLEeatwEW5vfUOwIQHvw+Tdh0/n4Ye9mU6dr74ELO9OJVwaTXyR+GjL1Fsuaqau8NY73DFCGj3c
+UgV0/FxW635hOupnYWUeG7WdHqQlKrl6eKQV5PYp25BfOvDppSlAMWUqo2yVZQM+5L6lT2CnyqK
g4IFz6w/2y9mwccBR5Iwgd5B6tvLZbYTgL5rX9NVBepShSk7K1nD0Vg7EW99Se7i8ZYAK2WQ+DR6
Tes8JuVM2HDFMhP9l4kYmafLLrcHBwWxVuBrIhRAO22fZDScgQi+5u5EnHWDP/6WnYv2ZkM4mMAP
lbK8/7A3lJYgak0S2bmoSXep1G2wLez8bPBYDCKWrBHDGTkH83CviNtoRpSblsAKiYEiUMdiobl1
SxGW3zNk56cPwp1zrxslBSTp0JP3oMBtgjezAxhZx8KdHzszsW0Y6W9of1wzUDphkj9+DuimNIf6
R2LrSSCUsBstBgPM2CkBrtZIzcu8FY+648qwU84/fZqQJ7ZzFfBH2dWh4mfLcrMNLKHdZPpnzHHo
EjxlZVMxo4R63xBzu2JMUIGkXmtaP/udy2MdkPs5I/cBkd//gTmNTEkFLyUSDqc4R40hnIW+iUGS
eUPw1ejvfpL3RJCxLWxgL7lebkJULFC6YNbBUpkriMB67roftU0icwyxMEeHl6mYoSKAQ/kmnNvV
OdCFOG5qg4wwn1isa1wPNb799KmdW9shLiQ5HZ2ljjmBt1wjQDdrpFEXpWvVutx0kMgDdp440Qp0
L0wxEkEywLAB6C3pzGy+jnsRKyQQcil8El2mUDnBbUUNxPBPoAy22HAoeSq70RhWy5GQdObcQKGi
DJscSDSJgvJaWJD+DUpYsU+rlB/fveTnPRj9HaStW6s5FHokdVLFjUUO6rlZFMKM1CO6MOp8wuvR
KLyI0fCojdH4HvQBsniYTVTY0T5x6FMbm7+vYhkKwfMfDZcWG33cgJ6fSEjt83/qyLztnDPg885y
ZX5JBfv3d0cLAHKmj2AjKQbcwWQIkUl7H7V10uBWNG85umK9i7y8nhj0mEJJ+bly9IEZfrfq+Rvw
AQGlZbMP8DTvwg5VZLHAHnq77I1Mkb4UtoLB79CX2gB5cjMtqXMA1eK6uZCVzQESJG9pQaHKiSGo
AJPBLRhZdHypVGmygvQpA5ZVetyfQUlZDgGeK5C+zWPlk8PkrpMj1aPwI5lEFfPaCW49eUTq06mA
xWx/005bTrT4gwpXtH+xwfdXp9LK5F2YIDfkGIXn0I5E9axqey/AX1T6e1Bqbgeoo/ml5yIEGCqT
SESQZMj1mmxZiTrmm10OSVlFxeYCpIN/r9rSLfGnFmUvB4w+5YlbhGPeBcyfHvOajbXd0CU4eEOg
R3fNyaM3RN2WqUyfRY+fEdxFugOUemIt6aEgUIz6omjcR0eWVV5480qL+VpTz04RH7UcIRMtlGC/
r3gg2fPW6k3KxJl5zkNbYMFinK1z69xxVcJ4n4nwQCP0djyDdlkojFo+E6inedMfTGGUdsAQrVQg
0M3JPGuBxwaAYBzjGNKNDoTLWFkJ8t+iF9Bh5Zm9eUO9Ip9D5OmXWjxqtMbkij/1+JiYc95wVxQb
Z6YVcP0NQsluz3f6YD1sODijnDDFHZPJhUo1Cwek4XgR2ch63T0CfHZ7PIdimbf3K78xnqzx0FEU
xbt0LJJMHV6QHGXhPvSvgl8PgcFUk2HPw8lhcNtXlzQmsx6d4JXGzAAWHBWlrbZnIKz8i4+TgGsf
8xJxCu4zsXlq8iviChk79ktSgXlZR6I0eL1qYsEi6xGXbnZ8UlWw04D9QgDJZ6NZWTW7tnnI6HlE
jePGp17ERMXhOm6OErohycHVRBefutCBCQSkxTZG++UhI8tW0p2ZnshSbmI+im8UyoXtb/NOVQbU
UBWofRx784Irrv27gDbL4WRzYGVxBFgMc/xrRSMYZlpMZxMZxaxDfXxTOHRXa8ALeqNjw//KOY8R
GQGYGkEjO3x7jWRuqCVxVHWySgAAbcxn/5BuBL5njzutHzlWtVXLz9aEdB7to6hBR2nEaDasNXLy
NCZjW4pMH5Y0/suT774SxrFoQ0dBQbyJfu26kFFxhph3ReUdupD1MUk0XCG9RdxU8RTF6/LwZnCv
akok/OXaiINTEZonCfZx+ZhhX9AbN6ArA88EE8dOmfJ2AKCxt9dDqP8F868TaZlH5u2kxO/DwC6x
Cs/DEZVt3AxBX0y7VaV7vdLaJVeBvQTyJxC+HRqvwK4iOC2jQUUguzRorBQKeQGzP/ytH8gFj03G
RrT//+KKbpwAvnXciCPoMzkesPvrEya0b10Ofy7ykcZUav/Demw1rNSq62O3UqGTqZNFpqrjmDhS
ORbHPNrYzaiAAuKxicoUVaxUZgdlselB11ewRyVIVWF5SacGtl4IynEYa1SnjQpXE47DIIb7nlf3
seVsasB9+jKfNx4d2JaUNFuCMI235PmVf28es8RLeooVDBwuyKNNxPDMO0Tc+tjxtrOpijJnN7nM
n9/GtNydOeTdDI2/Htzy7AJrPomDSkYCxcCcz9tWsnPYqussl8372Kti0WGH5zuxLH3wte/rYGuQ
oLdZXIqgU0bafNqTM3neGhkNf7iYIEWNAu515GzhtFxiVVhsi1zBdTpqoVS7/xKkuBl7O2xXqz5j
zY9+GOqjP3mj9eIdULSFhjeG56PzJS4mMZqAPBmN7E9mLNuo4i2LLL4ejXzAZxh1piTLsu41sfiu
UFDfFeoIqkH02cWxMbRzp2iD5rW+RyMjnCewFA9kINcMNWvIhUhH4PeAbDNp2wrR9VMkaA2a0rHB
LODUmyjQ46A6R6pUj8RSx3xaX6anA5EBPA6MavRfRL1rGPza66lseO+Do8bgl2UkD13unbeVplDr
856c0LGkfjQI3TvVKdxe/kB3Jo7k0XiBROadtZLFryvTMISUwstFy5Ehc0Pno1n6T+NXByHCIqBF
BF6CGWMO7rDkkcGq00tsolzFJen12rnkbhmqKXf7ZvR0vqAVXgRU5mTJDqXI7K4sHpdCSBHCp8tE
0iqP4LZQUJzB27oxTgNMwYJ8uNKniuSAOrgB7neMhl/atdsIz1DNaCHnWkberJXgh/m9rhPPEWoa
Xje5WXWSeXYXZUTLB9/Wqz6C/r15dBlTxQ2CJYQw7iJzcl1ShIHzv4dXqnxZ8Vk64Otr82dZK41U
aZPFLREHcCc2ZAkCgjx7AEet4EF8ARE7Cz//+1gAZx05m9oXQyavWkCW65svT0pAxKi6pAaqq16V
Uz5/lqivkQNeMYzKibbXXMXD7OVyPtfcG7LsrYWhYhpI+H5Xq2n4aeLiOhj/rL0cfFd+Ez5WuhVo
r+Z1iRf51wJacJVU90D3sg4iO770kuKPlU4JE3hVbbQRX3jYbp1WwwhnZ9rzuBcdofGM7FOOkxzu
sXOXhDGRfcdbHL374Hxt8PdOWLXU9X3qbCCrEN6QK1f7+urhwxZMTPFnl8tNsKN6vLsANF87D/8o
E87QKNjBHPux/e84mHj6ESoCvnarJJ+QFjH6hMbDGN5X1asS9BGuqa80iqHer3Qmyy3UatwCW3Ag
16Gy4V5kugYjqYxoCFsY6S97PrN7OyMEYzpS/JxO5oQyhMU/pyAlmRScyzPHmMTdN33noBMBZxdF
+aWmzGaDSU12iIlaGxIr0AIgN4UrI4IZdJyyu2mLoUwJL/iZ5eyWocbGkZ+PX3Q6oMlGnYHcgnbG
nV7HsJsatVWoFAGDMaPzETXEkbbPM6VmLREo8vao+Z3cPQvqTjNQ6RBGRCaWBzlqezZ44a4nd0Hr
3eKJlYvCMVpMv/tvHxfR+NSNqOhDNFaicHE8AKR5kbYJLqrUb/d5eXVxJK9h3mkGfrkl1Z3obgd5
hAqmMruvfreK46ljsSedtmt9q1tcv6XdavJm0q1Ri/amp4w8bcA/Q9ESFRJFGpMjCwmXgC0/hIZt
KaVZxjhoa/lokoMBecK1sxGwR9PHcpo9ecSd3BCtGYX6YorOFz3vlrLc9PFryZ1TJi4Ou3Aj5bru
DDAEg5UBOMqghrb7jTAH3UXbc34ElY9dgKkBzAyOQRdu+ijbp7/e1DYnIIYPVs8OeEj4c4CQe8tf
2eWncvu2D+vxUWOZsrGUITNel2nGtXlC6UoJlDe6w64OtxlYxLumOEon0VFBKhKRxp53DalapNPq
hwEtlyW+IS0BV/DqD6bgaaXptJNjPJJNdv1K+yQBVOqcFS6A62nq3BGsErKP/keev2iwMHYzMQVD
mnQ63OFjWbkLi8hEu3dCzxPv8QRd6f7MEm3SYSYWWIb1yETBPRZw89+j60umrY6qDPVAYcNi+3mG
TUAKeGmzPEMgC+YGAQCVqTz4hhuMKblr+mZRnENsjdi2wnwuNnC/848a5LmkwU8DGrTPYWos5i6L
fhB1vgN9mjU2SSV9NqpqMiSq2gTVOmmqQIWzqJCzRKcJQIxXZXJmyC97ijVHsGSKwTPVw+LGzjAf
wJ0jUzW1l/PAIzriEF85+dHowmlSWg0TyCTla27ZjLt9bpIPYrvIg95BXCl7UZZCgcz3Rmw3c30P
/NyFmiwcQivMaQb8TKn8Lol+RUURK47CL7PRXWkvDZkyhOsXLQb6jJO6zSlLHhfGtCZi1cBecgar
dKuVCP9b0AZrKMa1qr0qCB4u9PAedZtnFkuhg/NFzKzPXSm4jtPHndcg3n5pjfF+cngToqPxCnO3
x0to8kFZ/dRwk5XEHJD87Fe5KcEjZ1NqTuTG/P+I5eZtTNDMnhGWumFbOaWQ+RmW4H9gSwM+VPrZ
shocKJ/CFqvqRzSomkTT5jH9t2m80f6oUbUwT9l5mU7wkUKZQG2x3fpL5mYkKYCXd3F0yqJyX6vW
My5SFcXzKFzQodIXU0Oz8/v/NrN1vPNL6cUHJ0FFn2EDce2BWuAeRNu7iAtY+VDpEf/45nFNR6CR
vhC8lMN42RifSsuNqVL1evRezaHY3KNWkYLHR/5TcQNjVFV7eCy8R9emjS+6lYmtK43DMmO2EBwl
jUC/C5y5bre0rS/9lrxK6xxxk7JGiW8d9xqBMP/vNj15Yr3p2FkRMH/iw2yaJ1WTH8FBIgh1dB33
A/2zw2Gasv9hI8mGjZ8W0HSkhXXLjuZCMJpzFKbkKHae67Uzp09aiUGTDyJpRJqjzHyaZeS7qlfB
W0BXOjq22jm3DpvGf/gHwWVHrliR5m5xW/H5JxCCKxO0fJze6qo3qEFD3ypBwWcQ3Q7YCjKxiWl/
nQMaqrBajbMfMDYekzoFiiSkYv7fkPotd6vj/M324qm4NXAG6UNRgGqqEt9kJABGPSwR61XPp/HV
jiAzACXL+F/GEvQXB2KoZb77LA91+cLjLxwUHIru86EGlrd7rM3pjCOa4ru5V91ogjs/GW/+G/oz
yKNWif7VqxhUr6WdDU3S7YUoZ75l5NDa8eUzabybHHJyAlFqzPlNqHoulqjRuY7u/RL6rLCI64JE
roGlEwWcMgjOAKIZsBDReklFRAygzah4Adnb5ZuvcqHp1OcbhcNoLT+pRU9Dtktx4xjWfwlEZclT
NmxY/YKNGqBDlXyuz/vKROgVLd+1wGngTbWO4GZrLTjCDSy70Zlvhq3vuSmqLTOIl0XuKCPMdM63
Nr0f0fQ0/RCbd8grP4Ury8QdkXoGrA6GD+iMhqzI+fTBwyYp94IfGsL6BPNI/vmcQC/Daff+IHar
hpg/TxUIrwIJTr6aD0kom/xMH0rKCwEyK2B9A9cAn3LG+gXgZgUy8dzkia4yCSdmdCVu5ouX/+qh
raE4sbqSpTwwKkAvAsWEBthvC7gQB6lssKErTmoDr9pNp73lBlyFRD5IjDYtKY46+R8GQvn62J1d
AxGOD6bgx/BzWqoMCQwktymheLu/fFur7tqdHyj3bqQcTPzvPVTYSoP5HMvX44sJ93RZ9drbCWGv
RGSjpF+9/tOSmq253nSvZM7n+gh9WMx7xjdR2CcLkmnKvIH+2acIBI1Fb49P+sL3cADxOy3ef2tF
+dPLFz+MdprBpDhNuLa6uS1t5fyW25wzVJa4bsogKfWEBQQ6NMcSV0qJ961p7k8Au9Ril4EAG8yc
DK/0ocbbzcVk81COkjLy7QsrSIlk2GVZMqTgEyL0xlEFjcv6TU3QFPTTK895HICUcd0FpSKX21Fi
64Loqz/JeoM0p55YbVq4MX18b0YXThmW9HQa8nfCDMO4iZkCx+xCftgs2ssV14g9XJsK99qEwYjN
dlaamXvZU7VFj2226DWs9CobKGLQyGLS8WgMEcab4lbneVuQPaynr6VF6FSIVdRAZMjpUiKL2d44
4txnTTcZRvXez6p0pYfi/mfHtlG108uGeT5Ay2gngI89R1OCViliHcLsXzL5e9e2akSsgevNw3cj
d9M/4O4ASOKrte0vu2qdO7CbJCBovxRezbe12XaTnpiWvmNMP4PsKvSv3n25EjN6SH+JtfvbThh4
UWNaOxmtW2e4T/r+yj9miHacCPVcyFbesQWbs68EloIxt1AUofd38QsQS2RMUOfeD+RbBg2CyOPf
jHBOYMRoO6XHvd4bQ9BhcaM+yIsnDodtEHH4B16SegXZmgcT4GP2T4dSpS8jJ+e4NdN9nLv+hWLK
ba1il0XTgAHsJ1b1B/1AiIu8mN0zYe1+QJDhZtZ81wjYb8hakTmNkNRKF5QuSb2XdUrcUoajafZr
m0eR6RH/IVCQLq7Wftt+Gvs+PSFRFdWHWixvARBLF58XW2Uaa05LYudTUFU1ezbYX+f/xWzOBiwl
KaBt60rHERqvgStVypZcZzdgye7QTq6zRhiTMfY2BJdEY/pbS6YZ15BtdvQA+feHgFh9bFQWkRjY
KPsXOOkkvG+A9+GNENUq3i0CqKCBpNqzjBqH+lmeIF4NcjVVJqh77j/krzLCn8yTBuFRhrRTFyBi
64PTR+orwjp7CN7VXxiKStizwWpPhkbgMOWjdCyr2yLnOZ5OoP6VDZX5cbjZbJ8YZo1JcwjmFRlj
7XMEHdGm4NkVfHSZZwQUAmonlws8FRDQYPau3rbwGuEPW6dyEsVkZ1iDzPvlsUza7iHaML7bFaXC
jSBF+q+TeGY0lrk9Fj813A/NPVaaV3/Lvw/Id8xBch4X6nUPN4oNJ2iWn9/juBatyLFREe8985EF
h/uZztCeSGB9zu8pCTdWDTl+YYFuDlUjlwgvGDtNhrPHgrwumjtyKJmb1r5oXX9sQ/+2IJB7yzCg
lss+zpXm066imRzZMHXvp1FNYAbf2z2rWzelO0yeNIMRZFqGoWtK08A88xRQ49P5MI44CBu6F0XY
OM9ADWku1Vje22ADznPubJIh7nC0D+iVNJMCzy9nJP1jEsQd9kTiVrJCoUbRWYVACAz/yV9yz/px
9624b/pURFSrJ9Xc6b1twN/wM2KjLvEFG6u90jgzDHLUqRsYCjPgbHe9O4TxOZPlQ9qf+96n+lUm
T1r89PB3g8omNBQ2gPUzxKhCVxMBAOMaYlurwIawhU0KBE43TL/OZanBGiuJJbkvojfl/yhcZRwu
Rg4+nb7u79yR8Bur1jDJUS/6KoRmiC6KrEF1a/mf8+ai7AyQ4SF1xc1xhzND2NriZaOEv3Rm7T2+
rDg7NN8zWc25/wS6IQcM0FV/rjiRICtlTX1H5UVtf5KxqVPqmjQTEuA65oTuozeMGpsknKX5+slM
2Fwq4zhppnp3LtasXtdAAGYRqsBlLj/D3leQV9Q6ttdK3poGx7HVUZUoVicG8zcMdTi+0wSoCqEG
Eyxf/ueRXSEyJhgxFgb3TQZ1fgyFn0/gqro/VLw0dldv7d5DE+MdGEFV35Cad9Ryf7ktkpI5lPIF
v2cJXMvC8U/5o/x+CqWRg0iwVcj97E1G7Ezxu8QTNt7+dvXefOd/zDto71IGxBAZvqiSlO3Syuqu
TgCcB3p1kKlRUK8LQ4X9KZewbpQEara6NuDO7JbU1LR3Z4M3LOC0nEsvYd1IZLf/GcSmNHL8153L
9UY8o0e+0mlzt6Hf0aniIpNr/eMhI+v6e3OXDkW8VjNq2Jg1jhwtSXMQ51tsMjaE1VkqgtRAkhQ8
kJMPh8SZrrmTGt2Kxt/dnruBVvR9JMMSfWPeyLufdVGZLkSrBmHoQh0h7vTzfBzc9GHd/0RRveG0
4WXxQnWXifFyCTsQXuWEz8StuoUdKZCTXzULt0vdF9PQXSwTP4H7X6FuDU0uSHPYbUMK/YdvlVzT
RhM5OyLcF0RRl1f0PXsEtOI9mL3mqLL0xH1088Rj5nbD6ri5Et8Z/Wvpcf4tGfc9TUMD6Rd4FoJf
k1U0rYSeha71l7B+QteVYhiDIIJJz8zIH68i8k5VVqBEZ9d4dR7mndJpDMSRxXzWqaxWXYTTNmnN
dNLqss2rxERI0pv1wd1SGTrOkWoYdIdVXFYjl1AvXAPcBelzprXtQcsDl8zvDD9bjGswr1ScVZcH
gfelC239G7Y9Rj8MfinS1Fp0tSnfH7Yhy0VHX3sVsN3FSg1zvo5MRYueB5mV6fCHtv3a/AXgD1+g
OUMKIWAdLPhebZ54bgsR2YsOjX68ETmPm5UfN6KntIl1CTVOKynU/kNujOb4+yQmtSI3IRtCTBrn
SvOlTNPGIj/15VFQ65SXoJct9skWvgH8n8hEj7YmQnTH6jriL6lxx1+5EVbqkIF3DYQ5zr6bfsb/
gDri5zyNc7oSgT+Wys58jEMurnxyhhTTLGedrstXsbiIy0YKWLLeSi+sr4Yysa5g4DGvfOxa21F3
gNWxdbvCz+uS681UIl5Q6N4n+m7hfVTKfDzvkAb8VY0OKrzEuXieFeuCp+3b1F6Rlp/g8MffbI8U
+BtTG0OufOy6P1VGMwxhOISWKXbxNi8X5uU6XVrguiwlXoF4g02g6+HJNa0cineh9MjuazUcB9wt
eyYKyqTGTYBJlLdJ0bEbSkha1pVPrD3AdnFBxoOZXfn9bcoI59RD64CAIBlJz9kpsUbi9yNbaD0m
Ip9fn+2JiGqaLmZqAc3ktL4EaX9rKqGvy+RJQ69cN1RS9Zrz35q5O2sV1OF8tg/Z0PpuOql3c4N/
fWcxvNQlAFmC5Nz1Qez9Gq/SudGN1BDY0408Dj2xQAra4f1Xi6HMJ2HNWf9Tgl5Y1E7LW9fJMIDT
P9ybRP44ff9aDBLUVW+drLcAjMx7HGAeR/dsf0YBrAVk58JSc28Zu/QpOKW7kBz0O/s5pyHg+tFY
+oytjqoZkZLXJc8CLXl92ZX2C2qGVI0AlkTiny7P8HIJ37oPgooEJVKVpCbc8HnhC6D6h0FJDr8l
gzqH4YcH65sIUcFVPSteuaMrE6JEgS5t3rlxpYdEuVEt/PtnCE5/hBmMfBJCWIY0DUXWcsNKdj6N
vjD7lvRMSphqmHMDL761K6DdEgMiZSrF+LFqlY6jXded4EO3qXDf9r7aVb6QBof5s4XeJDZTflBT
avn7tJ8qlJxFwzMK/aXkid/U3TFD1Gxn7YjEaHO6nKEVTJVj9jVMjuKJplCcfGtzxRicYDc5GPzY
nXLwgKTJsArRpwXiuc7zD5iBFSo7l39GrqFYzfQhhONM96AwYO4h3CkIka5RIsPdtF7kc5djRqcH
IHqguU8lh93KijRqivpe3wwhsIPYwSkdob4Qs0LZHX23nnS1sNi1zEwx0vHBHGKbiR6ekcWOHbeX
6IaqFKqT5QJdi6s2rTbIJa9yyrCVut7RDcx029FBbt4gZHrRHceNkmnpqOxquq/kI7RXniIV4M6E
QGkUds/SACmJ5BhC5OE85pXv/4L0s8HC5JByCB5W7pEPZLYCe8Tky64WNqot7tKN4HxKMBrIy8Zk
XvKhD1cGFggPqbE+lCX5wbwma6ZnC8hwMX0ZG8fnfJ/UKcldcdRwDrRoFL32Fn/H/POf4AFgcnus
tWMb7UD/dzcrzevSwF3s9lOyzcYfalR9ZnNPeHngeTlaGq3OfwUmTGZxG57VWjyguBFTGvhoSMCW
/JajajNC7WNY8+Ku7cBT6ZvDOcTAzOc1/x+m2toSEnzSJ3vHgLITDkF9WkL8U0wPKLmGLFB8vkmz
zY9LCaBzsDKs6RFPJaMK8UvNbM/uIjYpxXRC92uo5xGtE1pQ7vHZ3If0ahJwSBfuGMztawk9B1d7
Eb7f/gJIoFLfgbR4Hsu9ZiicwdCqaEqNT9Sdu5kAkztxkdBSXMpf+nw/ZvbEWMiaevshK1gwibl8
k4S1BY6JtVRxy7e+MM20VEiuVBFt8SgVEHLR7OSkq7xY4rZhY9RiOMRx4Mv9R8umExr1DNZf5urr
2EBzp30u4dU/718ZPDE4w1eVPTjxcjDUKXIkuD4/KF7+X/NlvLqhl5iJbfGDcga3/6+lOkM6j9pV
1fVM1Yipg69Z+5L9/7adYn0mGkw56F6RlDA1EduoNmgZPA5aELCsfA4/TGee6nx8hI8AVRcr+gX0
VyIxK6/z5pDRVB2xfK8MHA7WD3JA/emlPo7CLup14ZGx4LSinRajpStWni62WpzGwqDTt5pUAHqb
abpJnlsch/Y4I5w782gkwhx+eGWEQ1Ag5787+eAjhyQpCP7gdJg1ZxovoWdUiS7yMCx9rq05Twqd
1m6cO1lkjtNYssb/qC/hKVbej8gtHQJ+gOQjiZ78usK51yoE8YnKln+SMR+yIcT2NijGjQse+i6Z
Zu4QwPS9cWm5/OsnM3WtqIe8pDTbf7EI9Y2dXqG+UTQauEKLpkALRJXLWsfMkNe5N7RU1YXkHrVL
gQm29tKsA7SnPka50G8UkPq5LhMoeXcBCien2/hfFHt2V6I/lGzoSOHtPmr9FvXU2XlaPWf++Mi/
r3w3OWUvacMQqZSvripOhPAxfb8g3rhx0qJKHCXUhW13LecBNDeoTsfXd5oIaO5+88YGntgdfDm8
ziRSvJMIxxcFAC0RD6qSwmxFqrGhejlEGAHgmUMlTB9b8TB0n6mOaqyvhiyPit8A7UNItKdiXh3X
jFznkEv5sXp30eo2gRD9PNoslf/PyZsp3MRWDhkqqI1yzZZ7cSHijoSB4tDeKUl37/Wdw5UVnrtQ
VKoc8JQk9sj8zjPWdOPj8lvOK/TsgdjZ2O50W/0oGBG1pxTW22np5GYHTNwhMOJRySKS7iSQ27Cy
h+pdeL3TSXqTOqYXHstHO6S7KVq5cUFK5eMz7LwEQKTyhAcPNZ1f1reU9JlmSYTdf3ZES6UYhEe6
MO4VnqCLFZMy3ipOVclmkd/ymM3jKqJEk2AL9yt74rbwfl4KksoI8xNQS2hmNAhd/I94LGXBtTwX
/oic7wD+72YtJ1SEm200gbMBdx/NFGsqWA3b+yUeCLGiFJygXnRwis+PGIV26iQkjDgoZE8NlD42
YisZJBhcQ9+kNVkRu0GKyHxgZ7KnLH/cu8DglilXFuuIMnr4qZ6PRde0GyiJQJF+Of5jAHO1mbiv
XVbqBUNyKsYEqO4JGI7COPAbUEYqnpTprp37vcXrTuYJ8Gjoj4TO92upue/S7NZs9iyZSJlxNvMx
WFZmCNaVrmU/aZJ1a3QndJOP2k55b+kwKIZl2mOCHAVcCZ4m8QIypD1DarF+VZ+01HtJDbCeMRqB
TBooeHAIwU+L7xYOJlsc8hO4ZJKSVW/Bd2yee+ekCAD2N7wqxOTbQc8ypF3Bk4k4gCIRH+KXkCDW
1YSP/m0KuJaSgh1sSL4EYKhjDZkmWRZudFpU6/dFH5S/vxHI68AXHFMSGiwS245wAhcNbKPxK7iS
NqqJIiYJ1Rs4gs/qjF/q3hezUjSInQ9niQ41CDEKmn158z3jinSrIAy0at4D/CLUIHgIxmw7F8OK
CvanNLolNczRNYXQ0XqYtmmijFbBD9OHCJOxlVlNpWTzStB3dJJgj7phooO4ook6Z/iMFZ/DU04j
mgGqwAtQNXkK1BrDO0iJdM+lHES6tHjBdINji/F6wHOH537Jd8LOuZPJ/vRGNwrM3aPD+ArySv+e
tSQVADDai4+fpn4BmVyr9QUJjiJhyzFv42KHYvDn20iAbZjK1F6M/A3L0UIc23x8NUENjmApjLzu
DuhaO7p/jVQeIzbGj5el5dtbpEyYBpmkcXRZypytFcuNvsHnArhsdYLrz9f7zXWZWGCfkSooAvbV
/3KjW20gThRcO2IujPpDMJIIdYdKPILiUduu30LPLG5uVkGFA01/W6SrTPBCxaNjkVhtvSEy0EZv
fGsp281pM6u2dH3rFMEECddgdRTv6sCGjLSq1/eKW0brkziGvyRMJMeOvDiIynnu2+TFFffXdBgn
3QtAHumiTRqCVR1OZsUO2KSKRhoAtKefJJUfMEeVgBruHsRFHmaZdWCYr3kkJDJAsEc/ORRgu2TL
phfd/mQZ2YBdPSOKL+7XvE7gTkbPU67+rk9Tyxs08KgMSO2nmZPdmSdaFfE6BvhERfq2O0+M36uv
/OiXawXEIB99SltbKsog401Shd8SBJRkGM3mJ/pMhDESEkluPu7VSF6Qc5RB470upAYpNRWLG19c
6ez8tL3Yf/Stn+msjDmiPMJPJicoDLAe9xtCP2Be3jdwAO/70r0JPMO7brpgHFMp1mVBFMqZIRn9
UbPbDJ7Exf8tik+FBrD7oLoq0IfZ9cCsgcienVnMcMTj8X/FU4X2XkG8dH0N+GHHzeQPr2LMjaPh
wKyIvIuNj0xw2qiDAegTQyTt4JeMJRwRQGy+PS7ePzmK0aBOZcy64EI7wALn8q/EvmgvvIsB0UN7
phl/o4xeF7sRDcfSmpn2hQkiO+sXPzukQ98w/J8HhipOx0MJeICXxtYC9PHOM01pJkWzOtIo2v/H
iTwTy8u/eYbpoKy/2MbzNrv68h0IzNFk35b7CuKtdZaMN7YeKSBovUA2/+WMBw81TmGircgdRnp4
QkAp27QOGJd32ZqC13HCFmccCRzoNe9SeeLY0RXmv65xNsXcWUUOycWAvi4xrPMwQuYb29hsG0iK
D09TkUHsB+UD/knuf1VU6ZxNSafDI2KA6bGsye9cbNgMyNXQsViW2QJBzmnQNEvX1KhA93qZFnPq
ka5QF+BKRuf9TabCCFIkAKhqPGVECLiMfLtBsHSJmw5EL7+2jXssa9+Xp3RmDVUBL8x+xOA7QQjI
PC+fOSovrszkhsE/uqBof5AVR8VvEM8nzlb5ypV31IVvw8kvGIbpULcPkGMHhqHQBGL/nziOXD2I
W433bGiim3ZB4sUOXXRNbZPURBiJI2PPE4eaBHLJvBKc3u34Cepdp1XA5nxic4u34LeX8oeYd13p
PmK3mP3/JZrcvhNS+zJ5kPFK3RQs0axrJzDRgs74KGjtfA7TT0ucuZthzmbyTf3RuSMI4RR8NDEQ
opVZ26lzTAdVvNwDc+odbFXD1UVXszbkL5dNd5I9IeEJwBcrRbaLN7EgEsen48Uma5xmeGd5cUTO
xtRQ3QURzCLLiA2Nb8cK6fMov5PfLdxgSJlJvdjakPlXTGW7ziz074pVY4HI2PO++wegGdPX693o
Tnl3ZRopaXsE5i+9b95p9KHZir37uLrEBqg/XoVGM+4x7Ve12fxlW+PpgxUJVS96F6qD5cLyYZ5i
LKDog3A75LMykNQL81Vv6LCiYB0MKwVdoP5vmv2j4IIWeBqN16wwOh2YIn+t9Ad6li+UIFy+lwxh
KJ/2Vwc7G4edi+etUTtcpKA2XJwg+89kdhE507ACJ71txF9zJpeu0PQuiUa+nHe54zvLFiE9VaUL
D/+5BIYJEm3Wvs+AFv5Rq7NMc+LPjenIKZIUyoVGbZeR93GhpUEV4vsUop9fQWCq0eGrVoWatIG/
y3wHAunS8rURc9F3Etg97eoj3l4wvqFHXp1r57ZbP6/9IdDEtR58thNSbZCI1Ce048qtU0/9h00l
Moa9oo/7PSexx1AOvTHswewYko3aQ5EHAzVVTwSjFvr8DJmEbAguGS8xt3DnhqSLw+pXU6HxJdmz
2bQZ3lgBZn08lHixXeI2frrJPUzc2gNEckf3vIzCmZQMNETMI4rsoaHhhjsqkY/tjtAweNbLcUIY
JI5ivkYIs3zm4R/UO3CavIUd6a5WrMXS726vhFD9wd2V1lgYfS9Z6glagTEYJrJSozGrKpzVmYWy
yb+osoCrtNrrKsx9l7C6O6LAX7I5mKdm+qqjf51C11z5wZYdoC9M7JHuAXitpaHrTweJwLOnzbLz
s0/NZWHsaqgiBZW51FCiokfwWnVAXhgiNCDW2aeWA8+jhVxhWLsS5C3Id+l1TQ1bEhe4JAp797Ka
Z/UqHiasYpQ2T2ViOXz3fylajjcQey+YBnt+YOb71Yv0A9aG3n6W7qGiUmMloafXS4Fe+leqcyu1
+bZdNyIfyX+A1e5YSsJ686NAlY44bW9RBdcQS6Feb4VwNGQQUh+Rv2wP2L1iqpZuX92Xp2lNjl8Y
fMR7LcjJA4g6dFdmAy/qmTJHoCg8o1Qhj3IM/EwMcv13bnlpWqOAM/IEbltkIPV7MmzmBXiRberS
ggjyXi9gSI3ugFPJUK6RYW94MHI/Brcx6NnrqExyvhuKABAalJIpH6iywTHUKyVbuEq/8m9p3vWk
42zFzlQujeSnPKvPMi77MZptNykHIHvAiTLVbE09Ma3QiiakdbjgJz62xcaWiBEG0yH5iQigmRoz
SQozT/3Z2shoK60aJR6XlBMdfQ1V73N2yBVEc+0lR3GMCJ7+sTqlK/LU0m30Hnjojrcg+ng2HKyI
knoxjKpivCQzhk5m9jiOaGefVj2LxdazszkWwuoQJ2Qm9y1pqyS38UWWBQ2MwzvHlJDXmqmgFJ0b
dpaSq7fbJliQKdjysxxt63s8hMqO/Sp6TYGUqfQ+kxyhK+A8day0NxcHLNMMcUmbXV2PSdHIUJCY
8J1vAlPrw1Tho41hUU4EtBGOMYcr4TrKcq5ZE5tze1yKVUVsDcJfnzSUkANQAr3YcmK7+dQGkKKV
bJYQpdtjph2N1dm92dsouai+gZf8qpbtnBwP7U6uHCVKeqLTr3tpnF9Wyncy2LtVCTtQmj/s5WYs
INTwlgrSZhD+aB+MYt6W2NBMElhvwcTfquMz3hehWSy5GSDLV7ICUzq21S3c27e6WpEYW83ifOGk
HaaTwbaSpzJ6MfiANKSDvUiNv6xnJR00EDMLtyHD8meahwlO+8j6s2e+D0f3jhpNhCd5aW2t9dNn
jQwUzuhmKOWaXC8R5NpeJmCHdcSfY0lYuQ058pYJg0X8PVETDriTSk8kcjTtEF69sM01DN2jkvYO
sXWrmToHPH7DR3F3k453ELHINLN78gzlE8mRXDF3GuXEbRDEVf06bJmfLW/+Xvb2AM5eFDVUQ4hC
N7wVNkJGgaoXfl24c3a4I3InafqUAo6hBuq2FBndsmu7aSWHDpaY0vxE8C3sDSFxwp3+agGAAjko
Mc+LaQGmR8MmU4RfuM6zKDi5Q4MKhH0v/eyzctEgGJyuberYcRJ0JEodT0QqUKpVSyvLulLefWv6
0qDp+Waz2qOBbo4OjIMCAWLhhOWHU/fC6zThd0oAFTyfoCFDONTDOhfuX4XzMHj3dlAMGX/KfFGr
3Hd1leJUQ7CooTLaQlk7HyzwCyBkwn3URdHf5Ao4JK+28QzkyU7ReIkyvFjaSFtWiUASL7rQRcPO
2DA5H5CGw4KQfQURzy1x8Ov71BbWdnd5AFJC4ic0bm8fjr2ZsuvvZpAgwb4KV4WqixfhzNhYuaJt
Dru0UGBtyc562nD69Y20EPrzLaiktgXfqDoUKPtbM3RVyZTvjdgL+NuiIAyjvUO3f8UF0FjgkLR+
c3XZpXYkSU+AY4V6wxF5CoEIz7uDIEfS1Fdye/XRXZ6K4IQkxr9+kU7u0gdVd4eKiY+b7+50Gxr2
jnVYEUhv+3Vlco9py/RCVO/wOQgNhnC9BE7x2CAULhl0oNKWRqn2qSWT78bHAn3OfWIkfevjSMAP
VRp7FuGHMjv0J/9F+N1lx6aPzSls7OcPo6Tg0oU59X5vt8EJMKbSHWBWp5tWeWR4lewYztwEDdPa
OMGm3b4Q6teopfrouzqT0eAq2yEBb3sEQQuHJoQuD/NCC+FZX8E6T8sOWZeeHfUKRBBDk1/0vO6y
J56T8oqL6x57Uj2habKNAGDezwxtd6PDrEBEdGCoK5CHqLXx+EekVB0PyXpyp510Ty8KPNhq9bK1
BMlgHPNIkoF7c3FgOFZ2uv4IibFKUTEtJZQ8ojXZJRzvbrDsal2jcHyDHn+Sp9WEcFf3m89N8CO5
th56XUW7gueOednPXYVsI1dRI8PeVgQSObPVwJO59ejCdgWJwgklxStdFUEAE8M5OrXN2McUaW8f
tZBLIWVsjdk0pT+kST8+NY8IP4kXN7EbTL1p1Wb10aPGzssZUey3rq4gzNKG3qOFcNRIfg4pGvhN
7EdIC7RsGDOfudF9K5dAHu5FL8N9cCGhFrguhWHlUrUlTOcWKY6SFrr5FiCLGKYFvMkiaM/bUDzs
OiBkbN5ezGzRJC5kdLS8a4CcIaFEqSnB++7iZ2F5GMaQ9BsXzxXR+vB5KoP4GTlp1Km5OFoWRUaz
IWPPEehV3xET9OR8czCQHn7VsfUkQN9QEigJGtAJuVP09SmXkzaKGsu2VTwXm76hP9sgRZBO3nTi
gtDcHTMZ1PcZUdJ54L7cfbGHzQWUXQrYT6pZwC7rvwOowjR0+Zoddg7ydm6ji2pH8KryadDxdkwm
nnpfSI2cA8S4DOo0CJO4i9vj1l2HSzOpx12H/4wzKCZ8uHRRIla49k8J7Y663B/YzWAGE+7jQ1rW
sv5Ku6x903JftFEsJKn1O1ISat8X/8+HZvr2IMfSnRHREm2bnao2jw3d4x0/LpH3IqG7ykoa6fOE
j5KHjkJ7YHIRreV/ljyBXYeYjLLq0JuWCRghfq/fOz+xtfbivas4A6f5+mcgGP8TkJuCSedLxMyo
yaYNri1Rp4EPuRwNMxaNMDyJSMw2k7pKRiMIGFGxLliQmyniYPktog0dpgJmTgWyCh1nQTU4zAav
d0BG5B1sFmlSAKBLRRBK2khTmf8bvcC+XkRsc0yoqCoeCHdmcdLfS0xjUrZ6yw46D8TE7ANNu6Fc
15Osvg9iVnRSH/WJasv0vtYC6NOFdnMlXW0SkN1rIw7vk5VWCjI1INfenmVtW6EZWVzrwPjRDe62
KonzE06YrYdvCRxchQLwz5pA8X2fhgIR9bzjdQZxcIrDtN4mZUSXg1fs5eOfRKLbAz4ifE+7qsig
InBtXOhAenWBqgTC7lW6v7q9NScy9lEI9hGgK7QDyokFB4ZD2qrCk7mtNQ8jBXFjoLjUHtnCupLo
JAmvjyWK4rOX6t/Mkur4FYl6K53XSJZR7b8Su0QSjoAKeGLiT8+sZcjDK9JKEzDa3E+v8I0bUEdp
orJR5JOOYuOg1iVg5o9KTaUQSM7m4WKTvtR0lj5ZjMp3EThffSmMe0NBz7+oV723niYQA/ksoF2m
+xFoOasSu2hCOD8HAhfsNOmI949F6FaQN//KCIqP1UGbalQXMdw0FEhEVyx6VzDzijTezI7V6pOn
ZN4y9yWSMCJ4GvAx7rer8ZYjbAKY3WT58IqbfIii8D+bkzdGJQUiW1rKCeygP75Jc/ormYxBY17o
lvdKIix2QkazG5R6a2rdt5dS9xsGK7ZDTVJWEgkiDfuEGWF0t01oYY02WeIqc/6pTN3QZPCvrfMe
kKVdBZLD9x5b0663en0ydpzcM9ZUc4k1HHGZ/8mdCRFtRvY0lTAFxKvf902ZwePEoJkNjyUGXSe6
hdQufiyOKyucKzqbEP3g00Ful9lSgridkIXaCcPrdpx7R8XdcAnjxmEHOjKgOl7j1OeRZAknNeqn
q+tUpPsc6i5TR1VtVyBg7svX0TO9UYBNXPXWjSaRXBzRMfS0hlRbPwS9lSULD+T5zY81G0u5J/cN
v6FM1TImIqvnZ4t5iWICGk3b3xr6t7wdHxpoAMJFlCed/usIxn38w2aGWJOSLpiN6TE1DSqa0nJR
RVG9jIEsXLu0tx6qLYge0heWRkauhYn+Q48AkTKGVX/2TjBq1Z34e3qTWs60BQhyy7w3/BqwlTLk
W8QFE8lPdOGZtcdEQA7gFc6MjPiJbPG+z5+ZPh2t1Efh7SFzzt/nLGt/MYN7/IflMQeh8eBSYlVr
/g/XwoBfB4muq40X7m7D0hPkHKKITI34USj/ivh6kqgW5wNeGseavwQaVXxf3qsIgvc1HH4GpBiI
m4BVH6CwA5IkRSh1NNnSooTei5hdqk1jsWPHQtKS2KofuWs4VGHXqSXLT2C/uCA9xNw1lXgDGefi
nYjL2vo76pypuzrbdfIPUEkiT7ddvx5uqiVXo9+UN0WxX1tQlEtE3d/qVQJXzuE8tMn+7gCAFSJH
CyYmJlQSK4QEMaqfa98YD77vZls7As7T7Klew1zNEL8OMrqlWV16KzslUtqWL76Lam7tIhJmkIJ1
yuK7hs4tXzq+hsunlcteItS4qlkQ6Eg+02PlmJl5o7q3BSlZnETZhuJK3R/S7P1w4/JQoxPxmLdA
mHgu/8VO4dGb/GajUVr2MNjBXLs7vYVfNonPDZQUBY7tqSazXWWQHkX2vlSQwMyu14KaEdAG91Kw
OBJWWU001XaXaoPTOWwIKxRjeWN1nZCrg1Jps5ohJ6ZgItuUkbk3xiGsKNM5Glmikqd3NOeyq+Tk
Nw8+G/qdZ/r2NFo5+TGMeOzz+RAUWCok1sM8YLvha8Y9u27odwaarJ35hy4i0dCzLDliAuOHDzuT
KlLRLZtIPBMHlg/WJ29CfGpjzeXOeetA3Ux+kSR1A0z7p8a9AFToA1eT9BjTF1DjPUruaSMdcliX
dq9yfSg9NqBs05wNbiqKRexlfCL5+hyAWHvuoqjWciTg/+u/Ab2UugbFg/BMg+KGR1Mz9ugBOQUV
1Drpw6myMM5eN2uKg8C4MLniwZxijZObxBi40s6syFCq3p2DR4/lLKuFAKEOTu8yt+lKuMCz9g7d
DyecaO2GyilYIsBHdexlu7fSbrE2WFENUE4cNcVc9C2irPIt3SGUe1mmfVm8PhvZCS6URu0wLrz0
iM9mAwooG87otX73LmPA2w5jDYu9mgPoRZhN60ahqe3TFa/8nGPwlAWaaY4ntqTiWNFpuNXXBM6Z
Fu7qZoOuGl0uy0wbr15q3skgeiPycBEDZvKy76iBmGbbOD86JgtaF9fr1XcDkLLmxVVGA9kPgqEI
fmhJBYduKq4iYS4Cgo44HoKXmPg6HjYL5qh35BgpwMi1PShsOduhTAlHwt1dhYMj7lXWwggjA7+j
23X+uFoPvoHjihj3IQMKJWi+tHrEDjcoYE719tbb8FZ/q8CVHB+Vjp+Ec6IbB2Tl/mGpasewmSPQ
dDA2eRCKlMBs6ykDonFS+XlPJjOJptXFrIPFKo3gy9WeBL5ljCVAEBgJ5J216UhGGbRc5xpZNDqQ
dnXWwrL+6+tIyNy7HbhJ0WovoX1f9ReuDyziWw1GZ/kdn7Cwn0mpRiVwv6vQciZn1DTGzjW6+fAt
apLD2IT0uAGa2gGdQLKWq0ZK3+GFMO1XkGr2vQMnSNgMpcWroubWpnvaeAjDivZCOmJ4GyNsGWQt
OYdfyjKEwrlloKj5Vr6ogDBNK5qutfQyvid/T9RFPBLr7e9KZ1qb02b/g0QcbU6BHMM+l7ulWPUo
DLsiH3oGeoOrukltksqrsELQkXISO+BrjcBhvWhKXqWdmvWPGWNjBRz3HJUzZ7uyUohSHlPzwrxl
OFN92eIhXKw9s/LxjIvGMBuvB8TIBWa/w5FwsDhd3O6np9x1mbs3O2k+qwgx2L3ceyKtNn5GUs6M
RgqMP2YVkC5sn4tzLs0qVxCqqNncPDHvLvHnlPaZoLl1NDaY/jE83DdCgU2WIA8NSZ++FaEvm/sh
wfAcm/flCqsaQ3uHozMG9khITMFlWtxx1hOI8o7eD2kcoSrkrFd1T1ukDXRzNhF7ZPPMop6+HMNI
DR3bSLleFTUqCTzY4ixQ+RrKqJyP8zwKkJgFMo3atB9hykU8j6Gk06UZeYCy/a9gtqyrKE5E6+GD
eOaPbYCQ742ejU98YjImvOrn1q+Z3b08D2T7LjjJ9LhQHtFXBCv3wCYoqhV7uOlj6QDO1/Ijyflv
uX40x5KloQqc5hJt28vSKfDzmTDaCs1Bck4WNwppOTaZ9oesYONl2LSfFoCy2HkTqdXZnNnmaf8d
IiCKtmR+x0pHAvVnkRi+Ew07Bh5RQMNBLKZn20AAU6fHrYFZqoAAdn/jw74ylFcePk77m+QeKmdH
e66uFjYO3r+zmqoIg4Ja4Tq29+WyihXSxVpP7aNZ+CaeHoyOOzjfR8nWOeBBzH4s2ndWo4G6K4Tt
d9X0rXAlWYvJiOSfXS3ShKH3UuqNkcvR5/B4oLv1bQbpNpKCGpNvRSjZRZOdlZop90CHepCkkQL+
C/RXm6gWHzlLv7Vok0KN99+6x1zqXoxPmKBbmGyK6sxgYLCd21naBl7jBi6Gw4rWDyggyYA7WGjA
o+1UDEFNCX8sa5Wertx5eTo8eOABequwXRjFCgb9j5YCTGeNp1AUg/R0fMgt0L2Aa97oLH8w5LC/
5t8kuyHR5znCLxnO/U3c2LyR95y9xXiiDkuV+aq0mZhIqBoHDnRa/KQsLr4MzPoB+SKFx1ggCHME
YuA2SH/8bZRqP2jWusNpLwPLsIAgNXFpH/a1p54qrao6rfhzN4eGzWIMLSRoi2VGz4IHMU0xVlHo
aTy0Jsgx8R7bXDoCsHHQyUsLGSPRJ71J0nVsZSlqspsvhhFAOlWIlInJKCCpaQ/vxRfcF3o3l5LA
9dTHlu83zSyCV7lIuLHfpgtnYqPVfnflQbFfKDit/pTv8NpQWQCiMFF+c3qGXegHD2m8ImjgEYKn
zrK5n0hb3Nj8Q9w2ViZOj7/gKIhkQfG71CbXlKpOt1QHazeX05I3AHKNRw88uoXrHo9HcaB6r1QG
NCt6yqwdWLaSSoR93zegoq0pC5dQYf5QqX+QwvBfoCqX53yerhxGFvHJS41RojzMu7UzCyTHqjEc
DtZyVrGLZ8y7pNbafHlDxHWKtoHD+7vlgY43f+4yPQocQM3i6fw782BYYbWeE9Q+aXRlvygqthJY
4l3ZKbEiW8TTh6oIujTrbw54aBTHCPrElFMwhtsezk5hFdVLR7VXZGv2Tfd6WbjorghMqTAIUCib
b0dPAgBW+swnzJHsiyYqh/D+WoNrVYkevI8fL8Ume9Lpch0+zQ1+6KK8F8iPJBDbmukuEXaE0KT4
CrMgUtEy3VPJ5SAyisjI59knYQPZjbtLrjomjcb0r2NdtCZUZYrKhlwlIxmTP3U0bItQtjv55eX4
pSjAern4wXVvz+4XP2nR/QZuTBjGGjT7/CVRxfOK9J2TzUvk11ejtWn3d0XlksHhgcyWOJ2QdM6r
Q4M4U9G4NJ2cRkLPC6dH6g+8NfcnQKtUPnK6aoChayEM92Z1B3i1jOluHgHJCtNTWXxpjyqlHlki
ZOjSGMWr1hC6In97GWVKR5x+u8+tAbYYEiZqpKWJFQ/XgneAVP7NF24lHbEimvrLe+WnVkkwXycy
3hewqPKTMBI6G9vkWck9RkWVomevb5w2EoVj/rx3Q6Wo7NRzT4s+KU9bQAcr6G885P6NYEoD5e2y
Mc6BrVap/hOTLiMgn9In9yGSZrEkZ8Mapg0vhwDNW/Qf9UXm1Z54+/qDyf7fN6tdmQE/0HxBaBJg
1ycPcnI/zfnl99gHCXajG8OvJjO22+SUUqRBNr3bfGMCOVMGMOYe8kQSKoJ6eZIZ/VkA7YYgP1Vb
Zp+9hSYbxHD1wzzy7MY6HXjc59UgofMukjrRmzzrC8mUFHQBcuCWAC3vjPqzyzGr8lzHqIpdIMyP
wgkRSfKLQvTh0qNMzkt7X3kBLTOB7od2XMH4i35qbOHQHlw5vgqfE8ogjIHW8L3N0My1JhQbItxj
oahWxEBAeYT+E3KPgtgCPZrsql+Wbnu8Y9Nd8Kas82nq6o9Rahz1WcQUASOo9nXPSGSWqw1THGRp
o8qUKgdmxE7Pmt+S1JWFVTycdkrCr790OljVx2EJu+9CoUV/kDjlx0UExMXt4Rl+LaYlCmcdVt2H
yQrRRGd74vjiRrN41/3ZXEaHoNXwmBl1oFZy+0Udvc/qrVyaGnvv6ecI5II6DMFbZTqFaFhJW7et
fTDQN85cM1xwHw/s7/dGna/l968XmCMAJIrb9fACHyi6tf9h8+x6ppnMbH993iUo+rkkPTrHCBiL
0WInxgolHf69vr4zkAkVhDLCRfCk0fd3Y/GMP1Qsx0EBJJiOri7It/UehhWuCSRvxBr/zbEx0kPR
xtUvAIq3IsU4k2fROcjgoM45Pq2IJQSNuU3rPvhH0ACfuMGUjyvVc9GyR2plMfQMsFhfURlDP+cP
q8HbNdG8Xh6tXC6/enZNVD3UCCz1NFMHwzncsHb0ah0kjRmT5ymVHfE5YDKQs7a9cYJJ1LLolX3p
YSzU2pvngYKjlsORsQi1ylov4hbN9pMZfJ1t23qrzhuRr6LWqc1dmWbEUehfCYBCwY+RVe54zcP2
1Hv6iAT2l9NXFukJ2SGUFAFo2Q9Y672lrZJro3v1I6F7OiqypBI6qp5ZQGT4FhwMXxWQ7KH89nOY
vpkaclP5QSmyY9sxeHY5s91vr+kLv0YxcD5egGUH8WDtcjys93jYt8eA0nBxvmToVFY0bniykWF7
L2B655HDspDruXsbxRQdQPyUgDLLP5EMppyopnyCoGnLTwVxUaMZGOO9ojRd5ytXyhN7iDvsmhJE
8h1YVZUVcd4l66ZDr01nIPZb5W3DEkU6UGD20QYdxPWVxxdp66PrS3NNvwytMOxSE3JNLeCnLzWD
cC/W3v0JNniqVvULXTo0gT40hQNnlfy5a+SVmqpLRGZfBk8nuh+XVnbs9LG2NNo8SyEcpW/pI8K6
dG7Ngm+vRtiCQuUuMjUvTD1IxHSNZ0THjEIwFcmJ+kqjBcMcy5EwXvDK03TmpUBwPGLipmn3TLo/
rsMHcqRF4LvpaN9lQWa2De+UiOhdXj/d2HXARSIYt+fwwwH7mjhKaOMFazYOWu3OIcV5qUdEGVLM
DaQzbycGECFuKqchsYL5pnQnSZyx+p4eQoQi4Fc/Lgc01dJydnKS/ou2VDqOUdApmQW2k4wydgkh
n3U6xCi7oiUDxuZZPrdYP1R8pJgRhywpp1Pi8peZRyiFpSkdOtUDjPHDQotXeGCeEN1Qs1fDYdz1
XuITrs5pjEv8pBZcFsoAuldP1GYUXxJsTEmTFMshEGcHjLVTHt7dNcYjmaid6nJq+e74HuLdfYEj
Q0nHG2YYK3MOVDKgt48GsCZfJqHdQ3wf2ARt4Chkk5EFHoxb8G37dcICziMDqg/Y+B2htf7iL/7T
HxNJBCnMFpgWA54x6rNP4Ee21XrlB9KofX+mqlfP2Tgwn+Ko1VOCR1guW8DCwNO/SeLGyy50CG1q
oxN4B8XYw9g56tx9txK5zhC7TdCDMosDpP+8/us1JJOsh8Mk1rSL6dLUbKEdsovZVNVUTfdShSrt
uPD+pnRZBluSuEj1g3MaZ7YWUdCqjfLJOWBzk7V2XYjtmgY8TUSzgoy+MAqrDTuXPilqWc0yr5Ld
FPYNH/PFuM51fx6YMqHGThNVgm1A9xy3qkNTdzj0BOsYINWbMPNa4oKHy8xZmoMcv9hQRg88oTVq
N59uXi9MN74VIzfw0VHdbRb9op2KglhxQ9mQkm3Jv8yf83rEVXomeRn+LOr/pQBBWN+y8uASq33Z
uhVotp0cGq3YCx+J4Zzol2rWXlKV5Cd6ffqroOn+FAwNUQUbU+oueYteTQvUjbsNFYZ5boFGfN43
9mTNA8qJ97tl1tVOA3AUOKMVXNq6O//Uq0iOVsGw4zppNpZnVDQq1dtifSPhJPUN/zEMM0I7fF56
eE73J6Q8KR9FxYjoDAoSBFhrhI9H3PAYwQksUA6ugoMJFapQyb/EAtHY0m4YsgVpdUYUQq69N11t
0H5uCgGyjjNOjmIGu9XQIfpl8rrngaZYtM2ilvXv5J7GtLdRtJSfcJA/+QnrMlhaDzinP+MCKLZe
EMABZrJESP2BuLOvqV1/XPH0m/uzldNr7z82dWBuE+dIMk5hwqUDEk0mY5HAVBXqFlWGozLjI5bC
dzU2GuvAj+nz/co5S2hk4dU1SZkvvqNFoP5gVdE5QhmkUOmK58HVMKh6wIAJ/GItI0UIibVUblIn
UmaRP5397FJEYwlqRxFgAXQNZQuB8T0l+2nsV5hvich/gtMjml5WAoiA0qrHw6xDw1UdajX/i7xW
QOmPV2cQeISfeIHMBSRmC0KozHGzxm7AyuEZt8OJmF3kyfwxjPRkis+Gy/2mKtDVin03BfQ+9iEO
WD9UNrGof5Ngw0DMOYXmLjzyGDlWnKy+gHPN/YOba1nqDjbO3PFIIPulO2TxMCmO7dmEcr7dPu3a
81QqObF9q3du0xHSFjET7MVUPVDfGnhjRn+eR6aPSlziKPa0CcwqDo9fqtVgopi4LTEDgBTQLZhi
iIVkHBjIdgekjovI+c41bfz+Da3Ig47jJiXlj/X83vYsARqQD/L1SHyHzxjX1RkkGvJg+j0te5tm
zGPvBSthekICLenfEcgtl2Zhce38APXhmwYd7lQCUDe1fEvXIKh/wj10BfH9MFJyHBeRNUmZC3oa
V6qhyiDb+AUg++kSivESg3lJTzP+MGB9r9a6nf0hC5zgnKK+oOQI9VhqP/JlhqZkfw7b6OrWvIYG
5swX1dKO+Y0nYfp1EIZUNqQtCb8zYviWGTpnyaczC9OTbdL7acrUZVA1cIvedm+TBvSP+gte6Pie
gwElVdKhKFUAvI5WUpNa0723/JWr1RTyOveRM0fWb4Sty16ulZEhz5Luc8fjwjlwVEKLgzWmDjNc
CrviG1lJhIX4Kh4YB9Sd5h4PaX5N6qm0/Scda1ZtKvxJuoDT6Inzfks3zY3LA8L6bK9hqAojq9xM
FMaB7/2jmaUmuKqScRsTZHXAUTS419TyUdfr1Gj9jj5PVvUoXPR0W1da2+iNrfG8ETXtit6AVF7g
Z+9OR6ajHerbw9Ygz6VFOrAMoDYVl9HvBW1r6H2Z5wuDsWlxnwDonqjBVsM+k0wY85N8L18APNxR
NvtYLjXfYvuouu5XbclIsezMCkWAiV2Jkam+HKgNhqOoqpsxfcTrPpIROfFIAVFSGyrWkqv7Vt1+
2TWv2WI6K9wFUo3LSCz9iWCj1EmNMXaL0EvNN8pi+onfB+cgq5rQDJUbIeNM8rLIP9zs1EzrKpuq
jman9cGxh6FtyQr4kRxTKJVX+Bs9+GwmxbGt9Xc6iurJM0iz1zPDZ+569xxEMPXBqTlEia6yOkUY
saksBDCpaqswGGIONIeS0EDLnb8yx7nFnwwi2d1ymsGUTKybQISTeRkbzRgksa67+KrTjujc0+fU
5hZndPw+5c6qatH4OvilDYFJBk9rAcxuSlrvToNnwDb7j2OZiI/bS+T/Pnf7hKKJzMg7rmVAW9NM
tS5oXmAvrumM9+sPlO4mgo5muB8YnxeU0svGl7e5BX1GSWPh8KILGpKfUq9Zcj1IxQOOUd5Fm9Nl
IxYA1Sgf9cCa5h8AOa1oon6nQEcYIwxXkwdv5KIFDeG711Q+PDgMuA8LSCkLDYgm1/Hdff9Xorq9
VuBRQ2WoKBESVzlVDsBLHYiHC5tEIk7Suq4beU7fkpjNP9Ykd5Zyh0XQFclH2fDIeDqW7yZY+0Dh
oGMlMB+w16TstxeZBdxn4fZuXr2bDkCZiF9EWpL7K6JWAqs5Xn7Zbav4laI7keddQ1c/Y05rwPfY
JtiRkxub9Q4wNP1sJdY6Xmtbzth9t2q6f+XXGzAIHCIfyyfpNp9uZzvFpghLJc5SsTYlhyqcjrVG
/1dFGPOgVn0JML2ir/UhbFrNFxU6gLTx1on5/opLPXB2quN16Ut2TjCQleRoAEGWH3SnxqFa+HAm
4Yc7fCyvS1mawhuQpc3g/7KIpYAMKarHSF5JUb+mFDbJTdeYEMvnIWuVZ9s78ndZ5lrX6o2tzJYX
9ORHva2hunGQGk3J2vHODwWtpFTjKKhJ1f8mj1bNnMW2BBiHwb5FYAyHmOo8niVFn7n7NQVpjVzp
36Sx2Hjd0L0WSXIbWUvmatw7evyRIqNNg6WyRL3ssO/WITheMa3XSy7sRjc3AmK2VAIhhjXRg2s8
+B5SSi/HdZFTcUtS3cMpnoiNAigV9WQGBrgJKdfCF4rlwfUv4rG0tES6Kjtyw0q2FmhDvJxT3xMO
gJS344CbxV+0b+m2m1aob3epODHvb+6yoV5z+BrZGZ+gYj6sfS74aclqHt8Igbh/bYvGjICEDM2B
kFi1YXgluD8RfLDjZTmRUDPoUgTl9EJs/FdQA1JXSIdVTNaXBes7d23t/Ku79Kcs8lVMIgzsKokr
3u3CMSLA4G9qwhI9fWm8VRvp8A1iVdQCBpKQuGC3Ouh4Z6dj+XvYHbIRxkcXoobWoInpTJK7NbGl
wzV59T8FS2rZSr95LrnnLbZzBsJCqn8wMBnUWC1ggjjVWMBtmCHRWhOkjtNZXB4o0ZSI403zNXGz
HrSYJBiwvZUqrKrQYX8CxP+mMymXc9nLsJWXZEEUwwptA8Gv+CcEtfaknhgnTVRZM946c13cxwhC
TNpKLwp6DGnzJr+N0UcRO3hakR4xe9BTuBNKSlZsOMfjCp8tG9BkR0q0PGRKuBtRTrifX25meLmQ
B3tFdVtZXimJeDCJ8uycXPYWua116zq0TFvAmjuPyzVdA9PLFYsMNljhcM43lQnkfv6o+Q6bKUeF
/XH/dZUOQ4EZk/LT3HH5wDfOzNKrdSDQ3fu4+VPCyIuCb2IykYbOZ/WejQMD/XOe0ZDYCwgzlRhG
C5Q1uKxsLT60btPag24EzcyzM4XQ/fqTKGx1RKKZh6QpoF6YAEceyd/M1dOt64TW2SaSh3KrEDm9
FNVImpMiLJZjp4bRQ5vvsYbzXaFUHCrbtLvYEaVouTyrvgRzRODVW1dtUJPvN5DH1LcBW5gvXL4m
JA5qVVLC/YyDdglULVBqXs9fbWb2F6QptMgGV0vadMApqB+p/xmoIgzDIKr71ZJtDz9lTPXS5frP
BuPtyjHltgyfnRX4Cfb/jJc20NceetQKLVQBvOVDG963qNqG7wkAnqRbNcnaAUkTSlVJJf7yB+OM
gZ4bsbZ2fPVc1K0t2kwmXbo9VHcCxlSCLrz+a0LcHfXvFecza+uBqOIXXUFADhX5oiSWs5zIaRIP
/E2SgL2J1k0hYXw66WLN18GTeQVTB6Rsj11RfE1Hd1+wGPN/fS4Zofoy425AGzv6c0RkjH0LScKS
48fH3Mv1uLUSJeafbJVRsgDqdzz4rg/F0u9ooEZONRqCPcYWLU88WNUVSm56aC0m+KScdp9pVfFg
sj0gwOg8ml37iHYQG/wAwydC6h7Cl89fARgeYJQ7VrCo9KxYwGkMhAyvXb4A94aHwC6MFRLLR+eX
AuBNSA7nAopb8oon7JmMvgGLjUgyhquDBmlYk4Ebf/gA9GAxangR19WH5cVVM/jdoMJf6FMpDMEu
rP4pcg85+ss10U5Keln+2bcuQuSTLzPopAux3+u6kWfAyxnkRXt9Z20KcKwicnCL79tru0jqzMTZ
Cc6hcKUnuh/oCFnCfgbILkIQA6KfNnPyeYBRm4AfvZgLd7By0G7Rzf8QHQRprREVzWxCMHfjEZ0p
cBLJpwWo9e4Rb9jbvDuD2qvXiMvLttBEhYdQAQ8latZzYtDsr+Ndx0fK1oim7D+Cb1SIRVVVR0/p
psblgU5sL+XRcFNf+LxBFOkN7fyB+rlCtEZt+d/cqqO24YQv46I9rz/ZvKZrRWyFdyj+bB03a2Ig
bMqUbFM/xQWrvvlBisgCyIGPzIO1Cra9UJN7No+WVdyruU6FB4+aYFvOmVL+n+U+crkzzivj73aE
9YeH4W5nzDb3u60vJGMlZTNfghRPxtOp3bYj56gGBTVvUIB8VP6bxw6zNn8/HznWSMhE9JbPPO4s
yKmdpsf/1mSeab468EGdrKJiSBX7bkxcvZjDXis7c2GlGkkxKlmdlN2gcJJIL+REYufa/FeevbQ3
trwZnwbZ1bwjJohXe7/24OcX77zjh2m4qllHru8aZGkbRlGYfIbQssL7+xIVq6bU5doorNEyjBle
+EuF81y5jTXHB4r/v96koUVg1JYlBzn3pTb/wQtYaV0IiyWelbEoIhnDSyD3SLOgmeE1JZAkjhO7
9+M6ZeicQepIilbhzNFrGLUBRWaXFb7MgDnfbIQCOsRVvbwiZEX1tzquQIN+CEaO0j0EJ9+G7dP8
ng8hA/yZwqnNqqEnc5UZkRKxpWYp0mHW38xoAvo+j4tooSJ1THMDm+k6GLShFnX7O52UOKSkKlS/
SqCRm0MtXkpxJAZJOu/gCs5jOWOTrpFJUo5JOJ58Y5MAsnoLvF6gjSbTh/PilOIogLo3ioC0HTEz
BKIcOtEXYWLTz4oVDpr8EQMRrwkDjVfuyteSnyJ/Okg+Ng/274XYKhV3HytRFsinooWpge0hWXDl
u4/8tUXXbqjbjQplNkBKuQRc/xSLiYp+vLpbl2jYKWp68YIsXjgA+8INW3z6pjaD1V915WUEh2nH
OO3Y/HSLfCMqRi71Tac5xREd0SN7J3MWE6pB3GNnfcr0UBZ6wdoNtjSUNewhfny8dCqz4vJciSli
4+91oM42eS/f9/SYuWoQ+IrCoCa9Su+TA4WPRgQi+i6xg7n/WSylNyIrYKodBJ+CAwdbuvi5EqKo
C1QZOAIUoEogZsYxN6yMr7R8JeVSyd2SIWRMmOKMtyPj7aO/BGn9+iReZEecCnpdy6zUQaoVLtVj
2+RDLqlv5GOUZ71KzbCvW3KBub5eh6PkPHBd2Ij2yiUYdqJ3M8ajvrrflmKWeYEaHzHgsu0qRAwU
lPGvdnk2rd4KAUGrDC2yHmt3amlHCNj4G0OllaPcgdwvCndBOSByHnyg/lqSpeH0DGRdqOf7evYd
YSrMyiPJw68PRcAsX6yXzdT7OjZ2qW8Z+rwO//VqX21Jnz0l6IeeNZkiZWywcAUPBz4AFFEmUDVr
8mJ2Wf3fn90xcOL1BKlarSe47OISlLNMTj/tgyZ5NFApow/DDrr1TpCB8dL1WwTUmeDwB6lPPET+
BDi1My7E25fAWIR836Rh+HD98qsQ/YsdKi+e4s+9kOoRNlz2Fpv4X+fROXh2jrCngYYqhFi6Fr1A
PDtbL3AgF+8dsEfF0bmun+Oua3Op0IZ3h0cZRvjvm5BSe5qJp1lpLATK5rcZa37Ma2BZOGjFPDu5
qeQxOhXDpCJqAnU0/AgoDIOpSBU+M9ly8NRXb/xjfaP9B+eSZpGAlRhYlsWpXbAenWZDlPaOZJ8k
8NJngeC6SH99d77wfvlgTEvhoEIBQVQaCWfYA7evlIGaX3AmrxJ3jmgvZqcbozmmcQ7JZ2X0+owf
KsIMkA/bKRtCg4DJkvzrgKRZ9WEQu32pX7pXN1Va/jgCH/Z3NIQubJ2cy2+3bLqrWsq+B74Op8Dv
AK5uFTrp49b1gk23Cuz4ypBK7RxfziCBUings7AkKjuD6aaHRIyxeipnyQ3bD4VBpTbvL3Zhds5Z
QFtQXLHxE/tvkvJ8u3JngvthZ6TKRDzaWc3mKaVw0TT/T6pzhMTX2V8P3JzZEKXXhSEsawKbSR6g
mDPisw3i+5+sfjxVj/wWCx5JR3fLv75a6JyCAPTx0L+c8Ml7HaIXKlD8Og2Sz4cJdxNuamPMWsJm
GWggXUS+Xfa/ry1sCJt5gAYwlIh1Zm3lrWjnKsRxCpt2ILthNbouzVLS/Cv74QZdl81UCI99oflX
s31bOeexG+Fgh1nc3AoioG4ruOcJk3xnCEhih0gfLDuo0KhmJeYJeQenIk1BJfl4OzkukM7/c8Uz
GvYwYphNRc4WxWhfLzbmhnu3Ebnqw1ThjVwVoHln30HaJatv98p9x8l5daLo1p5EUDBaTYy8TByv
rKxdbuyAkEx+xW8DYAXFWvX89f+e6nki+NuAfN184/+kqGu7SYFMctMDlcHdQp9pa1Jx9TYfryzr
/tREV1G5+Vckj1T1yt2dqLIMqRyDlP7LIQ1nbsrv7q/4kD65PLlW6nz95/NqAre+kQIzP6HcubDK
ftLnFkrLWKGY1jfzEVanb0gs/NpD9DlfsQUfeMJt9QfEQBUVfMUBjKzPq1KMiru/LKPaMTx790d2
maPyQzajO1taZ/nqb/+byDl3tAbaF1PWw/3DoESQDMGamvx3jvckXdFRaovHPLOjfiM6ngkDatE/
bIZHyxhhD3gZip2ENCIuYfJdJt1gir8ACJ6rPnCvOUFEbDYQOejdSQUl9U1UPKNokFWg6snDIE2z
vLKrYPKIe2Wn27TjdBgd2irgxDuykP+7yaPLQrW0jXnHhFtm9AOhMZHD19/Occ7FaJhu2XuTk16y
CxgZ8FYZxsmNzS80xxrWZ79HA2RGHjXOL5nzwr2LDRx0RLCU3UKsLsJsA+Y8bN8ZNe+HN6Kuidl+
MTtfzHwS6KXiDvnOYF/oyXYwb11iVlnVnq7vSLEM/uOxQ+1PfQbAxu4zzZ1DGnfx5rMP/bfwJ9ef
iZTEwk94SrSfWgGAh+CWO5K8yvNgQHSCC4V4qXyTBQ28hshcxNIx0KCSnp8YvFeyX+SQiNngrLFw
Rm0Jid0wZnSH0fqyV7olkhO+YCXH7pG5Nhm51+lrsJ/G1e8y2Nxb6ebmcoRtAJiyLg57ITtOQnoh
hJqrkAkzpLJGXza7l/SGPQKA5nruZM+H365N/QSvTiUb5DRR8gbCxTrMyyyBZXiQGTkbFJAH1fFy
nzWNUEBgVxAqasdVqvayTdrfEctDg88YFgNkYdO67ESbzmWNeVCgikKUYmVUHqH3GlHARG3euHaf
LYc7PSvliIFKEGDrkdGKUwvaITa44nYX2GCxm2tHFjL5XJA7qXupgs1tSBIydN52Z4bZJbEEYOvQ
xJErnOJb6GeViUwjRDZxtPe93h8HxGjw3ZGwOWiydQvosv0nHUGeywyKm3eN8XmvVNyFaynwiU3I
SHECrD07I/pCPzupEPvmUuwzcTx/QjrN6X7kl6VyLJIqj2b4AS7h3ah0bCguqybtI570y2ko20Ct
fjlqLhEDnYWXXOe7jNsE4Bed3Xqt+aDqZOZNI0ClKMC5Lp6HVnlQJ+NRMH/7rIiTDZddB4VWh3uE
qTCfX2p4npyCOuEcxScMPtkxysvmxDqY1l+qbGfk+DnoWI8CH2OFD25Nj2yR9lfEsfWTaDguaKOp
Kxl4KHx50otY89J5+4/f3cWoPjxBOr/C5t5E4RmZh+5UV/XXuhx9VtfUNoIwcZHkxY1jEDPLKAzT
XZKEr19JBqaLLrN2mLvoKVjsDD5j7Ek4HlVdj7b3ms0MTFjI6CSWITlxwW53/f8Hyjkapjp51umf
yXMxRVGKKXsMfQ5FLdA0pxhrQQQMvlMrcSdKBsPGCb+1HtxQMkn2u8fvmPqGKo+X39ivswkQ5fTz
S3bNF3RHk2qxTIojP897mH4P63ClS2SEG4+/JQ4ue22ZR/Slt0Or4Dt8OO5kJGy++C+jPBiZQOPL
P+t352hsVD5RA+kWvLlXlsIWIb3ever3yajlrQ+5Fs7Dgujpsa0wPEAPRYYIgSf+dxhF6cSMIEon
fgQ3C7tDnCMMixsXqfYOwtfMRxkQjHFi6t/LKlqAugMtR6MMiZKO60FG2kwsQOErd7KUWD4TR8ft
mHjra2rGUEtpfZrWr98bi7JyIQgVPiU7vD329C4kw6czakP0gDBjsMlJcQUHZvNlvG70+rPrK35T
9k9fWv6qzxwTDdeNVenHnCOK4DkVtJkZMeUfD2AfzIHJGAVrhVMLFfaAAmEvEihbytbADoMFa4Pt
Mjqce86ZrjLJ3ujdqxA87zP9Ksv5Nz+kU+rgJrzVDDiUGg014tM9qpVgwNt1j3aXl/e+Wsxa5XIb
YixzeMqi3bjzzEvLCkEGmFFxUsgSBhleiDAA7aFvOaFp24bYXJbebkScsA4k1TFP9tyNY36kd+Cw
jcZCkA8ujRKnyX06hnkMbS+sFHszxWTZV8b9blNgZhuzfWQ9Bv7k9TPhIAlPI7wNSr4I0+L5RcxU
p/XRxEWYyXzJBtGuzdqskewXi5KJ4hkDyI7gmuRTLiIg/pq6YkvpKgOsD9g9gqUh4Lg7QRyrLLdP
NvwpwGIl/hHqimpQt/AZ/m76Sb6lSk4zandwTVIG7BU91Up3Rc8XWaksZoyBhawN35NcjfgxO6Oo
9jZAgibY8a2L09/K2Af69F+tUJpowdVoDrx6/tTbFrEfBbll6iyrzkXyKiXpHrlcRNyX0zk3ISZ2
zLKaWcfXwEwpZ/E1eJ8QAZrQjrW7JMaQFTOhfcpZDXIytmMGJmcF69OA3eDIYmh8yuSNjJQSr2LO
aRtgKlMUl79WXVtDTmPmGiHTtKzcqMj8CZaFK0RDpzcPzEamrRWTs9BV/Hq0eTDGROrlRn9yDthD
CKAA5G9BQ8hNnPkhPRrDOM0TPxMrtl4kfAc4F/CoyI2vbyFrhuf1LDhHzhx6Pg/IiDYejfexzK+P
fgxPOKyl0tAJhHIEdo1ECTsey1hxt3GyhvMlF6v0tViszds+7d5Sy3Kgz8H1M+cAkvYZONt9ktIS
GzIrQmtL+KM6O73m0RkR6NKn64bwjM8kfnDN4aPC8O1l7RiD/DEhxye8+mCmx3wbQBMJ1YfgJPdS
/L0ANB3dnF9TTKZCn+pmD/6S82ul5/o1xmB7GcV0P7CNHAdLTrqFvwIE2hhhbrcKPRFOdr9x02n2
60W1dJXcOY5VLB62IyQZ5KEKiXTgoIzkMKaLl7idFw4/Q3j9gv6ZmNfcq5z3LvxjrgGIm5TV3KWv
4ho+uHk5BW00Hn9ybagRzLnnTZtUQMtiSuHbwZCyMFYJl6EwZS4l5rEn55Bsqv7W5ALnteI/g1Sm
6QSIUrr9vjwrlxgiC1/bHChX0XgkCpLtPjffPqu7pb6c2N8kGKQwKwEukra3Y5oPHHPjzyDAOEbw
xWdRSZFcIaEosm4dDIK+cYt1iK2mvAmEXQwhloNM5LHU8/JN3/IggMNz+EySHhaD6qXUbaO5vq0K
c9CAyN2ZF5L0rSdfqI3heI03O9Z4on4OULXC60eac+7rn8DzBE76sPvu9SQdtum3PpmJp9KZ5vyA
5lhuJiIZmLEZvEkjz3jTateRFyuF2xqkUT0aYFS/kuvVcTiihT45Tnv5Czbwphm/w8p10Cx4OWSp
1m2P1qx2c2aebC/PhfKfjNWd1IEowW1t9RySTBIL2omCh1ygxppKpEr0uyy9zRZDuZG8BVieqh+H
ZtjlQifkhss0h68AKTxLc6ycoDujUYPuF79TDGwoqh48nsKeWqcqk1wT0F/sOgCZ7PzRJ9FbVfVg
oRNLI/pVf07LBDA9fdQLRSciIGoVhtB6aog3iBOcwPRUR1Vzh5Ww7/oVVl8B5tc5iB1LMAb6KWha
uf8Llq/FAKCqdF+IIlg4p/OOPTNt8s9LRGrJdQGyc0FgnUea5H7Ahm5kBj6k9Q3+tAy4YIAyT1HZ
5A5WQyJdmEC9TKJ0Ru7NHdiTzOQsiT+lKuI7nlt6Pe376gcNZzs3YuAK/XWHh6cXZGO/yPFQqtkU
4I/n4CWatbDZsJjDMjHG54weCGU/W/M/MeJIpkP/WWDhgdadIDPRFB0dsvaTTQdR1BWl8IdufCmQ
NxxF4Y8Yneg4dlVd8NV7Z77ykz9P7FGD6YzEaydKZ1WXJ/4CEbwvYpDn5Bu4OWA+DwzN4BaUkDNa
GEMErIU11hWLrIrwFxLQgYvKwuF7DZVjtvg6fLduPjnK/zqstDZMz07cRdnu7sat64+CSqy8gSeD
hmt+5OmInc39DgY0oTW0o9VOQ3U99E+pUIej5ck+9dPQv8OF6Xo4eCD/iLs2O8vqjPCRa6E+A7Oz
JbOoqlA35x3UsurnJdmzy84pLwtcGKWqePHxdvY6WWiCe0QCoAE0692rmi1zQl01HbtSXVwowYbV
wAFyrGNHznC99BVWHBlyrrw39c7Merjyis1Z6oT0Xu+pz6J+zie5z8RHfVPGUNI+NAOjWMuqE0+x
AUg4aryu5L0Fd5ilahE9NNKaAVmvSnJqOKHY6A4uA1Gxg056XODxrDBTgjZIOebBwx1JSWk2Qert
18BrKUCM+GM2PARbj5a7X3V6OPw1+4y2HxHSPwSvQH9YSOnt8vOINEkZ/6/6KXVfzV6CtU4fXKLR
Az/gPsJrVOJ3noGrie8MLMEF6UHLo9wVYk6VbTwmXfYqvC2zqaWX+rf+hjkPYlOJWYGHqn3nlgyb
OUGcgcY6w1tKtSV4KL4F4Orz2DS/l6YfI4knFP1+MuyLD7dAdAbl294Wl1SMNqNk0vu/mrSlVu69
QY/CBYEtRJhUzbYaCKtpgG9vpjPcDbfy3xgake/v855Sswj8VNTdIK3OOtlD+PylNPcN8IolA5fd
9y4pz7qBgaoQekVpcSL6M52e6ljZ/UHScaHEJWTM/m3PMBKDyYtBnhmTlhWijgzPzYG7hU6Wg3bT
B9kg1vF/J5BC7adgOcsto0t1iNdrkl2J06/uFBmBVvdQdGU9tJJ+1xJe9BHq7p5hiHPtbghdMJja
QyhPs9ex8By++asxDJnDJQWiIgZm5YzRrkStCa0pVYLPi7GztrWg5xJFPQ4L39Yt60ylrY9qvhL6
6MMPmzx15NSiuHVR6QANVob3wpa3Kw8usM7q5ES1oO4xuuyKTjUkgKXn8l0f5PwOaegaWrC5yNZZ
9eBgGSV0V8y+BaL/wS1Dw05hbaQI7DlyFcgll8oqnpx/Mv87NQ3x0H+Z6fzZp8K1lmaYoV0fx5nP
gNZwX8FlbHWMf7Ib+YerAH0iKywwu5XA+g4qg/w9htKhjREjTcC3NJkMqkdaQlkiCYAtm6BYlADa
/vdS3W6twm8vCQM3z6kYl/EyCjx94Kho3Mlo3eMESoF6Yp8jxiYNKz3vRwko0wDc/Vqa+APD7Q0C
3pnAQj9b0Fc8s9ORpv1QQrN0EnDIcLfc/w83dhMRZbfKPDzMkrpJi2bex1Sw+ljYgTiTQlY8kAKb
ltXayXS9Fw38B1zzysUWOvaP0KTo2sTFDL2denTl8A7DLbUNmuiVMEdfHiXFLXOf9C+AkhdNVBJP
9uUScFr+BaDU11e8WAWpLcJ20FRYVYcHFfePU7gCadGht6RIg+SjUoHQ0Ji6aD3w6VdgpHksWjo5
DNkifQ6C0uQJqDCzgHWrR9sKhw32JUmd92eBR38GH7GeRj+oOoB0b7jckxcCPkkP9b7HIpdFxUkp
zSi3s29nOU+3iy7+NtjX8lFKSwA7/V+Atb1hquJ9zFyhDF+jdRIRjxfYi+N8GQtcd5h8yVQJR4Pz
OT13kNYxb2X7uJI+SRv9uM50Xg7ZvoxNLQEMC0GpxUk4bOHrrEzWuw1FNqo25clgYgvi+aF0Y2B8
M2FI/lkX6zgKPHHEmSwnKh4eAf4PfOV/wOvLxuiMITdHImFk7jRd0xK3Qz2uctIOUP9LQBbG6iQb
rhjfrsjcNd0hW7RhAiHTgY9HhSb2qT1Bu/MIz360wI5Zicadw9LtnedUqX8gb28n/AqdvlYpWysS
Fetg9i/PQti5jv28LWqQa0zTZ+OD8ddwot+jkhPlbhJjZXjdW+eVHmeyaN/RSZpQwmok8bTqanym
6kWc/NOefeMhHfX/I7eLQ+Er6QQW3w2u6XuYkmqJ9WVr/uBnz8IsRmGPV2LRLjDJXEsz8xPVR5NQ
WGK9zzAoCfRC1aZKv8BbFGW//bamlYCHHSy/qkb+rUOBeZxd/MzE2yHpPMiWuO2i2Xzyb0WxlDzX
0XJ/0yL5sHB4F/o6FKANvWj/Hikprtk5JOMFM5Sdi49NO1qUt0OZ+4Nh8tF9NINMVJF35myewbQe
HvK/9tvdxCLx9WQc4Sl2UwjIj5bMVHJEpif+ZCKrsF6siw3hsYqwabTC92BAZiXIZfN2h5z7WdBw
078qXs2O+/yEXkHIJ4FmIAPSVtxfOho5m2W98+nohXct5rDhGvfk42YHAoTjDOPYW86jYpzGXUcr
wO93nMyjfjP3eKNURwSOcvIyvz3BUygc7Hc/LeIc0onmqvGTwQ3naaiTEks9qCv1j4+WdL4nSX3a
0fc7uEDP9ZLV6FfL0zCmYpMvvgdaocmFp/DofdHeCMvcNvA+m70S6qJbDdI931Ccy+2pr6QWzI1T
xKxHJFOjBH1l+tsMPU1gm04PDzhrCEHG/WmWBbjwabw+2EVRJchGIx2y/k8fq50F4Tn4gitGjopt
CxzpiurANRV8CRy3DETVfKnNCcx16oDefUKoclVObujaa8xur2EafIhKG08pOqER1Na8K7Wh/h7E
RheJRB0O0vGjw2dcFOFmIKvJys8Ryo58KmErYqnE98aXiXcbWnryeFj9mI1pMivpMD9AJejw6FuH
zBtf62Ify8mwd4QrAlFKwY48MA6Wg2qg7dSj5ELp/VoMu/+Rd9y5cYc0gv5ES6Lpx8pThdv8iUkW
Rscj2MZdWG6Cdg9Mhlv1Qsa+u/foUUhjSesjZryCcF7ps+MTM3oIYiyiaAE/XFO3w4uUi58ygvXR
sSg8hiX7rr8nRO8SaqXCbjXaq5aXtBSBQcjOZyTEz/vEL3r0OryW868G3oaWD4dvF/UtXynJmG5N
5YWiVOwWxCHuHCinlc5pNauHRUgkQoPLg8pNklrgWe1S/GyEhRscDn9PylyXnD92jMhtVsRn4INR
wmdH2orrXTMJoFSI2Tmt0ZXs2SVVEVqnRq3cFq6DkOMfrQ0mLU7RwGsbcUC9uxC0g+6w7bza1nYW
CSmfWJw4gABdzG9YzHvvwJRLR/XrA3/72uC1E2B7R3T3u6jR5Kh8QkohgszWL0MUbAw44st61TsX
7BvV9+gRFdi6UwSK6Oox5FVlYeH5E/pt85oXRa2L/SE254hpPX0ZHGlvp5XB2pZM6bgoijOuzX2j
VeUGowRp0wQquqebLF6B8CLVhqT3u4zqJA6Po8eXqLFh8/mEhFW9g97thix9zZE0U34JDEpPINPh
7V89l8wzAGgY3vZO+aEQDDoTRi64ePwrS+Ixs2suASTcL7N6mLtjZ/Cqm8hG4mNz7FnngAK+fwpq
Fb0NV1PYns3DhCkxbV+Z0Qj//jP75HdZcB/YzHt7xMZBqHvvYBFeN75YMmd4r9FxVhRs66FWqw1A
6lcvQNOuWSAqiNQht5d3mzeHcBvttr8oRItLUcdaQAxHdoO+9918/UygrtPU8bY+YDt9hZrEjU9C
erQ9N+I8vd36e61kdLUxFMoFVIBBW1pYJ/s9hjXbwrryl5wTpfQW22zw4tvOJ+QO1jFOClisZVY9
O1vf4kdhSAuXaG283CEMGWmPR1Z6zfXXt8UpXpXsQZGHxZ6V+GCFs6bTEsIfWJBNzLVPz/qPzEIG
sVV0mxUr1JDit86MAzhD6KSritp78QEwVCxyPBhutPMEDLrHcvBIKXpfSe9/fZKPBjAIwSirVJo3
YAeyjAJkLanr5LxNn3fL2cDMQD1MZa4uKeswddwBKGsQmzCoV/pkN9IvDd40gu24dgY/2t2tmFwU
NAq21H0HDptKSNxHnwYuyTsFI0JzWXQi0Ery81tZgJo19B2VKcSQbwEBwCGoU1PTkwSRU82Fi8bi
LlCA5dCC70Tvb+S6Mix+RzIoM37KII/IhDLhUiJe4WfEQZ4nlX/Jxk2MW/9q7+bUswF71kXK+vsJ
lJMxdF8EM1QFf3uDlS8klQIPmCEMCVmKEp8Z6PaRwubk7scyJp+UktB8WJ4sytnRfKWZUE4VHnbT
vnBmZOEzaNUSBcZf3d0ryzwaZzdDWEZgeTm1jsK9obR9UQjbv+CQpKQtTM0rEDWaOj4YgmBff7Uq
g8dKvXFzazQ8cYO2cssmx6rFCha2mf7FUINAO1BmCrRDO3jl1vVYz+WMa9Q7tlgS2f3XKlJ2PH1X
rP3YikYnpGc07qz8jim6fnFVOu4/p5L2cLpgmYr6cuksIzFze66vQQdjyeVw9fIChiUWjsbv2Xmh
UuU965kesPeRJ5E0Osxd/AmAC7IKxaKayb/mCoPE0nhQNABEY41iCFz1FAoO//IxIK4o3Q8ZLQkz
xnE0i/EzoQCcNd1Q4HfMvaKJayZtZ3FSe+OOAmIZwkLMVQ3GGHpUforUroFXmzzgNsX7ZkAGuFti
imCVMq/Vil3xBIo05ROmeaerQ9eVYkJkKrCZb0qrnsQcdSo2L0NkO0d2Zh5kBsls1sxEVl5DmQvS
t1bto067CrajCT3HrIM57kUJR/c+BNUwy2ObglD+LhaMcpHhBUUSJimwcNGEleISxjN05zTDwDSc
mNhEEWityP3oJz2AODyCVOA+P+VFr+qzv/tMNZOKWQf+JEp6ZChA2Yw7rWDlo8EqRl9nQxFqfCLo
2TtC7QRm3OUGNbYcn96cKKU5bEv08Kt+Nz7d4T+s2tnhzSyAMj6z4PldqZB4Ve/Q7VpHDniBP9Ns
+DbFIzn68p3vd9LD2G+LxkuLh+vHSS12u6Uq/L62ONHKSKhRLz/BSxTgkqM/lV/9oJZlyqF6h7fz
wYn4lTVuJnw81bciJau+D7dVhm7ufmMcjlgonPTCxJFTBX8LVZDkkpBjfL1SiJYkQ1MfTrLDw597
ejQLN43uhMJ71oLT0Tr8UkpTQyM2D8grs+yOgid8vrWGT/efcDrWrYlAstI1lAqlQ6xO/C9Aaoq5
Sl2wc14bQmEDfP+F0ISDNY4VIEkEONHoWFenYGrHT2mJ6ORfmtMsD2Qn1/WlmzGlHM6xdk+ZB4Ug
whPWE8Xf+lMctFWYMvAzZizDtWuczYj6+SpElKN+YJ0da7lFGkTlLjFNy6c08QBaWQNenFbIakZ+
tJuURMYeISBRvgmx6SxNodHAyC8rwjjqVSszYPJaZDG3TCWUGvpXMNXQfG6d7vr/tqJPnxm8Vqgy
qrDgoFl5MGOtbHZInRBucWrJgJZ6gADeRAjyFZNyYplxw0rlTNbx30+6wwYKuass+KCq2YrbCYhh
y+qWmD87X1SL7couuEvjAg0v3JczRqUQumKXOVqMd35Zt1k4di6lu7Nimi/kTapMX+fwbKF1rwNL
M46XNpR256fFwD65Q8zyJOx2U7b7ooPWWCT5lhdgSiepaAVZuMWN1k9ry4UQOGIMBKG0wtWCVSQF
GwwA5UqorWRDmhEWHfp7vhXCCIICsEc777n0swRBlDO0Bs9C8c5PqTmHOz4tM/emt2Q59xHpj9F1
iOwdNKtCDV83Hrm9NMNV8mS4PeNoopPrD/D1xLHhO9I1z1dK3pCLxqemEaRdi3zf6OivwHsf5wrZ
q2EyYBtk81RtQRVzNTaK816ZLRhrMWz+Qs2mGOEhyFoMWkvMTw0+Es8vuyA2nWtRCBgF2KxN29JT
j/4afSkTJr9P7QVAUpRD0AZF+hVXrYmDsklEX643sjp1J6IkTl7EElusc5Lxu8KCqxlWQnvP0Bmc
/bb4sZRXAD+Cr6lENkF0vL+BznCI8Fa+OBIVv6ark9GpAWmAVvE6CpVVsuWQ/JupLutA46bZDNlz
qR9XbDKB1DAJI/9yymxDyQO13egrpQwMoYy8sQzrgwB3aGMee8g7p307Fh8inpcIUgWDJQh4l/Mo
bggKs1kL1lnJUdbD/+wZ0gEBFIXpc+vGwBLTc2hLmxcGM+T/JO6tgO5a33DfXpN8w70IYrde3tM4
4jycUVlyty+0PuDMOhkVNNiZ07BHMLoms6/r7dex7nzfIwVg/3UoVjGrplj7OdnnteL6CIKTQljJ
iVS3oDdZWS5foRnKQQiYjq7ynVpRJoxciCoI2KWK6Iwcn/767eTZsnXSojuMw1UtkONgoVzAV3cE
Y2lSKFcHIkPUrm0REUkEdkLzCE0n9/fwNJCdKhA+GDOryU2C+fM7Xiu6kXJs7h+P2ghWlSYFVRLX
BgtJpG+fjWwsHilG28yijCvVhF/WQ1AAPHO+Ge2+HLjcQCkeuYvTL7P9myjtXYTi42QixUJvE46b
CsuGOnsbqiaWPSdsLy90aGM+o5n/8kKa/UJePC4m3YwWfZF9i/mSUvjJP/ADxpCuoYIVXMCx3Mb8
CIdYWEg1tCDasPOqOsYLBzEh+9nZVy98HgrIsl5hzqS9L6m1QC4EZ1fGD5jD65iKvyGNXLnccsx1
HlW4lsxHvRnbstz8ICmDkK6oMOlUe5/qVFi8vjgp3TRy/QQh4skofVdQ1dVFS+uw7CfyBk6AWaVh
TaF1hfbAKlE3EvLrkENoUIg5lBkSUK3rB4w7+4xmNmmCpPcmTkU9jn9BDEKXaMi8Fd2FkDIGlwv0
ak1pvWizqFcjVwsb8EPPkdPc5uMKuEyd7kl02xZR/12w789qq7oXDmRAjkd3Y8owGGC/5i5ivRAN
30u9HQPHQJyDboBY9ttSzN1+yfdapmFBFWc2ni8wptNKswIxuDLMvOiRVAM5WStCOyphWSAYgfzY
/wjoyvMhFRa/IzJiFk4xIVJLprB3P74yCRY/gGdKiUsAOuvfJ5RkE+BO9NBhxbW5L4DwJMIWH5HY
tw8G0Ot3+5bPbllt2NDtQu3HxIPt6Amwt6yh/U5YVXLyYkU0GMYaldftTN5V7cbcjBrRSvvYxWoX
Cg33MftQ0dvrqPK1NwqwtpssmHt75FsjpHx7qgW/6R4Yid6sfwgiuRXvyu53oJjsSjs/eCpJ009Y
L88Fs7HchIzkQ+zaynVV3CIe6mlWJrkCtb/DS+HBgABerl8Hehp/HTT/fp+96/4k+tQECyJW5Dfl
DUMfCnrN50TV2Q3WLg5lHH7U+HTQ1UHjg85ZmPHxNtxHCaxlrbJGIFEm/qCeashUZtKQa0vRBw/K
RAAQkaVItSGaI+/yhGyvs2t+w3R+R7yOyadZpM2ZpRaSgsJ2mHFtzKJDtpu3wNcin/Mb+YadsK63
sYHSRq+tV3kWa6sKnaTL3AJnT0iRsweP+hQCcfwoPMuAAxQ5199DHO+hneTE6qGZUGsgxVdhSsrx
yrcuUUCmDmyeRQXToV+bTYXrF7uZHqSufDTET3gSX8ZeCXbp+HVVOYMb0uDdOpo3O585j24OaRVB
wfVd4rLxZuvObPgWQGj4g9Kykrtaq/Rx0opj/EolXJFPo9MRAU1dMxpbguq3MXPISGWNeaTeh/nf
ef4XGQFmnaPZGbnw4BwMsBTZN986UFfiW/qJttxqSEOl9YLsZAokO5JXISSc7i4yCDPPBhh+d4cX
44eBLp7oJsM6gmIH4qQojPoF1Zzxqc3UsvSmpAgqADwurvmSIv4zNjHdVCnhaINHwnZiQVXd/r1m
4O8wZ45lTMkjPa/cwCTeMKxHmLmoyE4qvlC7Agty+kEt11q+OIcOwlsse9QIToPcqWR5G1RBE9r+
lQ5moD7xy2TSAXdGqn7QZ4jGleKmsPXLaoc6Ur2oXXl1/ysaDYVDuKrrNSQvyUfeczbSPpB/E1NX
vaZLWjhnVwEU/uZIO3hgrTPQ0061ITjLiJ+Gus8HQRtfj5Mh5QJm1Nezdhzf8AZzmhQVj+VBI9z+
stJpE7nWFvq1zCa7ByX5QWQl1/YWx2R+JDj47kjJtto1kd/Gpis2qAeXF4mXyFEn7Apg7MfgI2FK
9u5A0jwgoYgb5SjQ1Hz4rRFW2tPmm7skQirJ/fFo1TMwZHl/nHyzhjBGEut2U5gKN+S8NrUys9vC
epGnzk8oMEZfMNnql9Ly3iX8bB74MiGXqLduHCSc2CELORODOiMUD3Wp8aToOT+SGQMYymiZjODA
APurpGjOmylKP/vuIOu21zKDhZwGDC8awSAd4m0jVjmqkxLvgh0Ohug8nBPZE0ywCRiJohd64eae
9LW1dslBCgEvPCEHMezdzdW5sVPvHOMhb+2QtLJGO4xqiZOMhKMp/SMwJXipaDGXuXLNaK+S6MY8
OuEnvYzucJKNEG6e5H5C9sy6Dd5UHwd4KXqMxSb04ll8juqLc1fXEej67suvaX5VrJZsWl9fnskZ
pkPa4lmRJnq0s91MKIEkWW6HzUWkc3A7Kos9uVkDnQDwkDA4t6cE5abZtpqB4TpS+1BrrH1MOiey
AQqLEA9ikZ3UGkwSw6EJIte3eni/pYe1UANMnfLc9w77GzM25y8lzEVXFbm8WEKe6vQyHV8sYSKr
NDBL3tRvXLqirsF9fkwxLpPJUfYr2jyMhP/U3mtV3zFeIfLZ8h5H/J1aXRT44o/+/CgEEiGeZAJy
Jy1SwqCZkHsTs8pfX/eOYNDmNSGMeh7lc9s623pQTKOpHX/ONvYAyPpCqiwrM4H/PBTaN3J1jMCY
szQMUypu/rF0yORCfmQCs8FUzvDD2Jqmx0j0acn7aS6G9svwAgJLvePySG5vbzu/9LEzRQT3LFPV
UcIeJd/RzQlVJ0sy0H/RpaJshOT09F5AEZVeR98WWxy8mCj3RYdkLIrwRnZR1sERKUtjxH0bF9GS
ZMaKRfM4CGztlW1nChhA2Mf+oTBS4tVyboyVlN9k3VC8kZ29PH2NyVR7zZkRnztNc/PvStR3cLkA
PpRzrH89sUGdxVdrzdJBaDGLJF2oWlQuZ8IV7sJEIXpR2e9p20Xvdee6GqJ9CpD0IooDy+JF0kO2
W+zUKi82k91k6IG6LBfK5qspc8pEaLwiY3b8unFaf8DKtLcpL0u6sTSLyk5N1DbceEn5i7wS8zqw
URJUa/IJjpCkSVyjPJHzF0BjKdOa2/8nqbrXnRvKYMjsUwDMYydO8oTsYMRZN6x29Lzj7ApeHh4Z
7zZiIJxoha6AuhduFraEc9WB4LYgzJwyKZYrNEL4p1Hh3yUzrviD5+KSdrtXpcXpWLYYyeAZnRKE
8qOLIlrq1BL24NSDwLqjvUhhjRZ+3KBYUeG/yFHiyiaySFzHfXQ7YkhJmThowiYcmS5Z7Yg8VvZK
dpnE2lVs6XqgRuXO1Jtj4n77pD+oOLIhiAe0orybvyeEOWcMeRvKdynGS9ExEU8LTtdb9SUJKXzE
de0dEuERi3WvOMMfTP5gH3YbYAuwbPpMRKGs1Wu0PUMTp/2UN2coS9llZ/f59yaaeOAXuf9GGUIN
OopCn8RQhG+XszpHIu7Qzt0HOQt19X9ZkUzLE2myIjjISo66qYGJsFlMfnm1lqoDwe9okrnm5TgU
Vi9VwxDCQW0mjs7obphgEnDqiu9wNvB6ISC4kAArvzdTevaj+KiJ9CCVDabHmZ6NbTN2jbMDshOk
Y89eUZ7at5SQG/7VIb/Pd1+nyiGWa7RA3uynlpuPEFbOuU0eFvd9ZfFI5Usw5VwLcJBx9GylwjJh
BgMgtqv7y+ZWwqDL0NpCbUyY9QVTiwY+PcTrwMN/N5USPV1Kt+RqDMiOMOFbgFJ99z7mCcIboxSy
eu9L+NTCNbiJ3VqIKuTkgNTiFvDcTGmoEGiV29YaX1BpJm9yDrKwS+bLVa1CUbf+rL/VS1Y9MM/K
5rNrrcKmiamomlDPV5FCfEFGZBuidur2Mtad+LEsIP8Yvc3Q9XP8Ddb5/QH/E5lr0dzT5z2vcBfo
c45OEGsY8d82wVModWySd9iPtORBwiPYE0haSn5Uvu02eGVVOQ56IA1G2p6QodfS1UzsgZa0Ki42
YkoULo+lRVz8WymNvoCH7vtrRJA0BU2eQ9lVDCutqBjfAnGzDvIQq19WOeF4jmhHCkNQAR/I//Os
U9a9uIinXOqbErAkw9FgXZHhFv95Xp5OiCseNVI8nZFx6A6lqgENVqsnpeYxtTZ8uEngNqKbgomR
kGJJ8LEWf/k/RLRhviSxtQ/XZjC2nMtsjpwW7D4I0FbL33DtEAM/Fpjz+BnwL2Nfn1nJMbBmhrXW
Aa8I1gwA9k3dbxsF8nhtmvzLaPLHzNuS41bovlpMGgGJwf6JubvsnOb1UsRkD7W+fWnu0t5EXL/j
nrbtzKFOMIZZWhw1cNdshNsdHSXM/VpXnPfjuOkka7rOtYO7qY/W8bIyIcbp+OsezHb6fGwJeEIL
hZBDves2KBd5B8sOCfdJUFnrRmQpi2SwSLS6ratqLpZCCHD8jXDm6q3KfjZDL7LaC+B4mMkOE+2X
lT8INULC6m3jRAK0SYfMAHYzv882zAUrqIgYSJTiLuFhf3fnKiAvxUI1huMXlnBHvq/3Oc8IqASW
9WjhQVry/FgtJnntUkuj4isymiqT1q0DMSO7op5RrNu/a5Yix4Puv4xsyQjuRAh0RXxIv8trPBiu
OaNzzuz13U3ZVYjU3Sz5tJR/2TwyxCPgBIcPZH/VzZVoJ4Idwmf+vBEnnTvspzrVlRxbgUuSqgPS
DOc3aSUedi89TSM/g/o04/NnSrsHD8PbakjSsa5DLi4ImHrPlr79qVRwFdB2qTGGOo7VD0PlXJBs
5jplKK4gfb/d5hgD2MkhEFDSASYB9OMp/awQZ+O9WT57enbW/TrIdfwf7ypFP9AnWlvSB3qIWAdJ
NYaT22LVPySgttK19YaMIM9Q8uJmXGq+/3WnLK/Moevmc0io4xDdzIztFHWKoCey/jGcdDYkQOIu
KAuDO1rIhJUnOseP347BjmHdU1QMbkZ2pR6xh+4ERR5v79WE4RxA+7GmaR3UdgJRjbLacdAuO544
Np5pxu1rTlOh1K86Oyv2ddxqdYjD5sGe148jE8qdFdxAS3ZVgJ9NMeV2098TarauvnVIPLCeA8Qo
/YK3fE94IsMEnjcvkPM/Pe0bcmt97V+REOWg0MTUp5g5EjCUhtRbz+psTWJ4zFuhcsejRdvqUyjC
/Lyl7ztFhUJJs3tc2tKyOYEYZqLnJv51Li4sZd1+Lf+aTzb2eHI0qq925IjpTIN8y8rkho7cq+hO
1f3lcHAGtAqkxk3P08H/4smK66JTUCnvE7f8ZMTR9PgQqrjSgCAajOItJg3aiNX5DClpaQDD4ezR
P0UczhjX8wbaDJHcnRCXH9ksLCP3V1sUrKe5YtyWK6cH9BqmkN2QcKfhLVfwXiRfodMIIPjvenOS
aYsOWRutaKLW448zqbtDZrMzJYOxm+PjAEt0WMJh7BZx17+Yj5qXDx/JHBTV/OXX4k/D8gsXiOoS
aCxpy/iWiBpFfZSEmVycDaF4LnSv20SvPfqoK3PHAIXFJskUD5iNxs8+Y5650ai9JRLZHS4IvrlO
fKT+yntex8mx9I2yt+eDIcVYcL7sAkNBtn3bszoK1K9ToMC7hvdTv/s2rteHlVoZgHY9SDMElHi9
eTUbxEaQHb9oQ/N4DaVzwbHyPLTY8FvoB4UHl82JGo9kmGQIgxt+VR96L56Z6pcrORjG5O5fJChU
aB+FH1NCdkW9eBVcxOV8vr+qkBRfCHbDYI3rkBkJnThEv2ph7tFytTebHBLy4MJzl1TDoM8fFh9f
AVHHVmwhsBbok5vlMrDW0+hZ3xgqArxEi7BGaT8h2PgGvyW4oqhgImGFe8VnFGNMuf2zE0Pfo5Yw
vN0FSSFKd7wtxJ14hDnQFzBcSH7wxbBI1CsacVW47axJ71gcSQn+J+AeJg+jZlNxE8p14N+PBRm8
9KMvMMZWwUCK1hQvIqhAZcYlvwD7ofQfJ9m27c72dYuRHulDYplSRvnu+NFtUOIHO3egRAps1vBf
4fAPmCF8sIU54IMsms2A/ZqK8OvdG0XRHlBY07nnFh/KrWd675NLuNBfyXSzgtQa3ioV8A0GWs56
A3wLSMKzcLpVDPvFCwGL3wy4bplaP5A83kqtbecs+kkhdNt7rlx7Qm8Kc0Znbt3VEMz0Zpe7EVrz
GVz6L/+DoPvNH3SoiQ8TIHmJl2X0wdau9bw/aO5/Bzbine0ynnOQ6Zs5ZnfnUnTtHdE33XXqCe13
Krfto5IZUqtolhkKkR0G+0jWybt2wQkb/kq6ib/Fi2gKGrfdF+4FvaQiJHEBSpZMLB1JkP5ESCAW
FmXY9YQeHdJC4C58LkbmR0mWF0un/lP5j70bc1Z/Tmmm2gHOX+KHFW38gwQEyYvNGm7u8sIpw9xL
FUvGxzk4/aEg+RTqYH87s5S10a7GoDg5enizGqsZNV0Ra4Hgd8UIEclq9TuNeImv4rdD9qN2Z7Y9
lrxJo5vEGaT4eUOXkYnzNcsbXak90WJF8d1Kpjml86fZrSYPE+o6bwrywMIqNVOVZSyTTXV1CWjU
SScjxJb9/bxcxVS53+FXQ8R3nIlSgJOSzpeBTdTVk7i8/+7MaxboJNMfx5pag5LegPz6nX2kwKuQ
Wes+jblSyHQQGz1oCuyf97P3USMWNKlbDR9m4sHgDGDHw7EaZL+aNO08Eky8TufSulc3RUWxEWpn
X7z3h8vHsYq+jWtDNuWuGSZIcP6y6e2AuyxcyTDXzT8qfZN+joWP+VfNBmlxVbNdSbkvO0rmUOtb
LBg45Gsy7BZs2YMF+zJaECY5roGK8QsoCJKWk844wtfzI94CP23BurhrrZ2KLJKV8XE2SXIZkDvN
tgbDEIdzJbtpa/6a0jBlrd9N5nnZlv4LCvbXVQ9cvCFQwl72MRcH0psG48XPCgH0e9Tb9TR7rRD3
zkZ3ZPA/gsweEqxQ+IE3tzuBM9j0BqZaEv9ml0ipa9WEv9qik0KDsuyeR3VwoxSxbH3kqYF7Hfbj
FE/Mi+Ct2A+/gmJv9eqe0zbdCDiG4zodHbFrCyweuPof44RWz+mm9rloYk30yolS9Ig4WfcCC+IG
6FXG8zahJ8B6PVul+PkORQTSPgAFVZ08Xh3czv23Kekp9RvEXjOCj/6atM/Lua+Zu4GEEa/SGJxn
bfUCSCw8VGWcrUK+FvwBrtvEThCM+aa7LVbEHUYIq4ybQVF/Q2G0EjOs1ZcTMXB7lOtIYkzP/vUn
bj1JLUkGVNEch3FrK8ln+AAyZiH4DBh/j638Ads16RxDZajSxl9CNrDaut1qs5siBkFhBaszJFkA
xwAJpwWKH+TFl9eBML6maTebswjV+qDq2/cb3zNTHXTly8iVmx1yAdarUujkJIbJa7zjSeBpMdv4
jndpRZb08xvrV/ZQSocpak5kvan67Dx7A1CFHrPoINMYdoB8bhQ+M0Q6gCS9+M373YZTPAdC9Z9t
PQPfhER7vm8cPH/kPWYk3mRXk++xhlbI2J5+tT6NPsXOFdZtqpieCf/4ZtvypYwweVblxkBj5AU/
WmD4CxOJsMs5/+7+acpW8yOP+PoCbywkr0Xm/WnfSBOfQ/VYfrMWY4icwQtu0lQ07XahwtPbJ7uQ
+QnedE59Bj3Qd1Xg92XHvOb2+wKVXMNQC/IYNSQ6402fw5zqOEH8zEueWk1VHLAMqFjx34j68MK9
viMqGxfS1JtyvS+u5DpI+TRCX0drpCC5aDyTAUAkezufnrMS2MjRjk7tiZrwM1k1c52Pjq3iB7/i
c/5MPQDShGddic/9oYXbIpC9c+FB0C/Q04nJqg7oJgenCkhu5D861crglCQwRkmNCoyujUV3ph5x
f8PthkMuJl2cWWRgMyPaOETdC6x8FmyxxUwqeWlF0/7yKHP1C48+551jmNWTSGT4O+Z06dWv+BJ2
pyUf2SODvKjHoFMSvaQRJzb8dqUkvdzSMRXSdYazlTN3GUPxdTCJUOVj5aguY+/qXeCnOR3mNP7E
iBqB6SqGZWDcKPCeGxDSrG76o0x2PoewZtKuLp4x5BD840oIOMRg7pvpGA003EYFdHvz3kmQLXw0
2jjR2rgmzqo0IMKJN0iI7OuItsN4HwOPiAn2wmeceRWZu+KILIYmdw84nxUZIGRR3nvtpUv2QH82
4dkRE8XsM51PvlmzFm6CmB4q9g9U2fNA5suY45PaHDq5PK7CnqJAqrg2iuMFhcXWQPTW4NTcetHI
zWAwitYb9jGznpSuEi+ZoINnWajqrCTZEq8j/75A8+468/93d6mD5qTgh119Yn4ELUqh0km9pyg3
txyHqtG9z3rXaoFwGzkvaeephanFeCPXYiyxU+/rjiX7cICUwgM2HV5RyCfhi1HFR9dehgtoDSVN
EVDeJGVjd/bCxMPYPifNZp5PBwamVNRHLjSQCakrhTch8ZyRziQfMEc6pBS9xrQFdGbgZ4M5e9op
yzLl4E5vgy0EUX9gvXO/kBgLGj/8qh/sYevHtQ8cpwRptU8DsK9x4cAYbN6tUMbqCvJPSx7ZqbCX
sOAIwSMZqAJn80gFlMcwPHXCSHGH4Iz5p5HGrJTueT3CbFnRLHwHjbnghnIQPzVV9bcYZmEscPb+
US6NoK4Q7b0/vi3jfN0zf1GsdBem9kFiIyhiEq89++tCw2HKzGY07KjTIEk+ezwGhbfONNrn/l4e
jXpZul3btbCdOlO6d6et+ZxiIET0AE/zXR8QNpYvbWNUx+MmtlPIdUIzHv9ukc1h2Mc197VJ4tEK
LLbb2FHGtzT1+TUJSzQ03F0fhM0gp0X+nEEHnxKdoMc2gL23Z2DF6mVN+KiDLoAC1KEI4lSkwgs1
vtt/Axt/IMuDXEv4ufOEEbx4LnawUaHoLUjYcjol4x8VBN8t0UEH7EJsZeNnXP+pQSRM6LdatOl8
njSa26D8HyFiyGp6mu1mnGM4AxRm7D+lPd/7z0P8dlHBak4Q7m96YKGGLqo9FzICGNfZ0NF8G3Y5
fP2Yq3JVVu33i9IGhqc6dgqD9Vmhqw3O11UWBgiww6kzfMco3sq0L/DGYo1UhFsythtVH67RI57w
EwQLYTXw5TnC0uofzl/kVNWCPbzo4zEFXkEtz08f2sjO/DAsaY3zpBmaWIk0C0koa8NdeN1gcoV6
BiTsVTshfR7KYaHe4fwLfeT519kTMiVXfHz2P9yUktIx7kTcTMFyIi64OH4fxJBsvkaK+fEoUbrx
FmdLNEq2T8yq25iTcgXCn0xFpuBt9IGJw35P0kjhKVzvsnsLbX3Bqt6vL08DBqPXWjiDevpHN3OZ
xyzwA6ZmY8xvCFdEDdMosR2mBbnvaRhwY3oiKTKnHYrx3coYAQlg7Uz5V8b3oklT+l6YClwvumkD
Vqly/OjlvuJX9LBeC22adbPQ1NuvXO9F0oO20147aSdfBbUhIhAS6dHqqs71HlQHs6vONbShjV9f
tA7/Pd7nBjtwA+ir+LPNXqFb/0Ql0VSJbsdrpDaVNuYAg8STZhaQC51RRR23mU5wI8xp6dg0K5b3
IuzVl15cVutUXQ4cyXvyROLNauxiyf2uq8hOD8AXdIpoA7nWVT8ua+yBfNJQz1Y8jjt7ibKI7ZOp
tqGdZEppPZcGF0hnc0CwjC4gse+52AcWSrLJ4pZqXUayxC78zH67/6T5dqnfzuKS4HqjtpriKOvg
6iesdUcuQeX1oZdmZpSX5jqKILntK4Fw/37sF4RsjVhHdjF7+YJhvxs3+jW1kTQmxgQC3aORLncb
E3SGavytZuzQPX3RauH26Yte13Pa+1lIi+vUwxMCX+ArtBZiwE8iXpUBcAF+ZtqHJyxrZiinXVsH
GIqOFmjRAbWPRUj69AYUrQG/QlDJ8S05ETcysleaMW2F7NygIOeLDEncB6T47nihC7+v5DXA8dKg
TzzB0kLeWlhWhMGr1bPRCJ36/StvMTrpt8CkvF2CLpQId5jsUfLbYt8aRXDXtwZOD2PZEBefXQQ3
kTstTIEiQgGW3strTRxA1yKUDdFhQaji6x13CvDxcLLRLtE5MoUlcURLjfhSZ0GpMV0h4X7AdC87
puiGObzbyJ4xMTtanaP9T51plW/DrBSrZK4ihFchX5eWtXsLyN6iMNzx8UY/bCM4iz7W62kIiemV
zbGmUZoijtgMY5xeh/UtNoY1PFTtfriUi+eY2SrABBDWSl/yhnoX7MC0GT9YWTNF3TQNMbolQRSB
OqhxTmnxfX7rjo0ZWTsEPy0C2R2VQ6dQe6gWRMwziuFB8Wou981z2XGw7CXuf6smrBtGZp8+QcJT
AnEJlLL733KzgAn+PAow9IMrW89V9PR86Vt2pL6A1Ad7BsK17O3D2cQ3B48pBeD9YGogWe2hsRo7
ecCCxaSRm0ooWCkPT1Y7nFLfHjjIbf2rDnwGRtyKOFlBT8fHvwQ9pycLHQisq7rFzJyGaWPNKulR
sjIxMXU594PPmgSqef0sy+eULyLsRj0jBweoHPtUZxacmK9cFRdYoA2A8k9dD4e6lJYDrrwE/MAP
F1/vhEawYHP+p4G8+ppKwRgocGQt7QJflQvE7P212uYZy0IXK8nOiQI+DQku0EquNnAEgascmgKT
zCv5liUejSd8wbj7SzihvLa8OaHn668rw/trhCDgkfbzUyn9oaSQqwessaW8HS99yv1RZ+0v8E7j
i45y7ztp3CXLLkdsQ6zQ375/eZHzYBFc2fUP2x0xYdgEPSd3jaL7m3Z8BeOZpCZoPT1+wtr63JIj
bklzDFlaDrdHVnzfSrdZ87yImbKR+OhquSzjQrH4u0gfnPi9cEPbL+QyO4YIk3ik8CG/7zCWtfyV
6Xc7bbzH3qbYjlHAM9Bn5jvbgIlNsy3y0n3K3uq+mSNs+bae6tRhW2+TCd9s7JXiT2/Gs+xa9JmO
HVsP9RPuyal75X9EKQELtB5+4x/Z+2dgOGkrqXM7uQKbtjmmPky0SgaGqZHLzQFXZos6VAV271Vz
nA8uXGFcWNorDHQ8DGOZ9crcrjOh1r2MIWVWzq1qzCVfDbB9kZCSiSFPosrZml4pKJP6XYawbhzJ
p6uWl+6OChwjahKbZjhqeDyN74MCZ0BI+L/omeXQ/SvBry67Hhcj0Js64Zmw/2am7XlzaZGHuEC1
jvZJf8pH7VeHbBMq5nHuHjakP1dhfbOcYYuHDbuGYik9oI5SHDEEVBQqJjtKT1jvCpZ7YS/kYQN6
sMKkBHdRObSHYypxmJteX/aFaWziAs40Va9v0eBcIyH4qdXHyXhM2RXy7uT7roGXxl93nj7qln34
NnZpGR8nnXQq1QGKXlhqlxyaEGuGpk5t5OMK1chK4IEmuQs/GSN3TJpd9hN4cm6N4Tn/WN8ZAfuw
6E4c4m8PXiDZfU84GxpW5yBy/s0ygzKt4blI/1aWVf9Tosd0r4VOVtKWo9Z2z24g/OtF5QmyKriq
l+TsPHBtwRyTUlR7yVKVkSL+jeH75AMZ1rdvOWS09VSoR6f+ZWh6rCSxwqeNVdmejHrglwR3OvB5
yqPdtcgHG0F5fjuEEcfdpW3vaowJUoRu9iKV7pDlYLZTBe7NjVlQx4mHMoPEIwx2uxWp6tHEScFi
hqLXr05giFRkMsrFfLzUYukRpZ8Prh4VDBc/Lr3yTAGxnX7W/9bLPffOgX9yCiCO0nGxgJ0QIka/
VEmOAIS+WHK6leQYfhSM/4Ah7mH/ViKG9jJLfWxGRA0y8CA7j9f+YEmLWT1qoUqeZALMjicGutSa
gTt2ZoSpoxHwPLcwjWTkZw2LE2xcxxFTsHLe/nWtWfXjzr/UqhcrEWIb/qmFNWpsZrT9jPb5s1jB
VXruVQTTdf8ztGEYFuCpbMKcmEF9RfV8FeG7i7TcaWGHwIeZOfzOVe/QHWFTUXBe45ZlRDC/JLhK
ymiSemQgJJzGZ+s4mNbMPi5EO6XGy7JrHKFFB2u3XXkwqmaDL2ZNTZf5FMZ4OUMWHcmoZWnKfziJ
bmr4wl0Pa9NgW8Pj4jkJLN8uO9DL1egSSRPyUuXt3ireHUTmteEiDMSKoAoi1nVuCBElwJzgxEt0
O05Upf5VK+fU6KxhXlNcpoNtqHMtLBPHozsXdzEmVUthnHFOkaFVtvOGvyVu1b4lJ42kQN+wzfZW
6x2VtqnR3t3F9RDuTBgf7RxyRlEX9K6KnRHgj7cH+IdGNh9zApfwdJwcJYY0kL+YMkNC/sl7rV3L
V2PHZAuzWj9wWKo+/7eiTIf8sA6r32v8Y9EtTCYiuec/B2PZNxvzeLloHDQviTyaBv/waHy70s2u
8fI4Enn80pmTCQ1dUBmXp1z87XEwXJ138NqvAEpWKzA4RTZNjQCu1vnHdW5VUtrrGMjIWVn6pSDi
REtO6KrgE99OBntjjPQD1rEldSzkfmWcAfiE+w2XVJBM5NqT3tEL7VMi/8CrMbXmd1JOK8YF4jF6
NIPX1b+GJJAU/+pRl7SN0aONywNuv13Gqqjrn9cX2mOrcYoDJAsEnw2PL89bofUmd7ACH5dbuk6U
JwEzawU92bfqHTangoRTH5XZzqX5APdL3RjAcdMZVBQnkBXgJJ6f0eYJR5Ab9OpQTjoOViUYFBu7
2sazF/ntNfqkQIfR7akU9UyJ1HPX5Rq51Em14b0bgo4t8pq6qN3Khm89G+zK/eEjpGOaA+Ohh4pS
pvxw1bpnSjxV4Zt+WJ9KJ2OFz/ecA0xkCaaIYfLcMIGDCwTww2P2uiyjYXSRk6po/ZRBhmDC6Tq3
i8T451uj5e/dsdakLhgQtP7nHtnnveHK/QFNatXvdukUdkKXg2d/F9DOSS9LPIjUmt+HtHtF8SsH
VrBHEVe5Buy9196ZvDREgK1c8xGSCgZh8byQUddBuK5/bpDNMZSq5HOD9PCSLPIo7xQjCSYZUCEI
xHu3582MjjaCltgU1c9wKfdKLcII5ZCizm9gEG1/l8vOARrF9np/VGoT1B3zPVf1C6ALqkmTd8f1
WWPbIK/EyX1tiRnT53V1UYC/lHzkvtVRw90ZaP/KtQuiu+SxrY4etwjYqen7T35f0vnMcM5zdf68
y/GoG7vXC2KompsbTv/FMmaH2BCyQZG+9tHVY/U043a8/p0XNfrMcsvAEncvxmbvGzrsDTsS/50l
ZCtffpabOY+al/WFMU5E/jNZZ1RnmHM44DzpTxIko6wZcWW88cAasXKvYh5wIRf6dNChksObB/Yo
vasuqBa4ynMwfB3ggBHbEjg4cDkVTgzkeZOyIehvvFZCxefh+PxsAVc3tPorHAcDVPh+GSs1LkS3
Q8utrUfg/5hnXRYmXr0BIBhQ/o8zYvsiQBRuBc1DF0OsfF36U0qTd7GlBEr/c1THiNhUAaQgiY/Q
SerOD6owuD2j+4Lq0Moz4XAbWPTmPWcxXbyLAkdbpdJF7aJFZJNCrfH2luCSFq0r+SJ3XYF2PEdo
OafSzLkxn/qOVvPK+VM0q8lZUB9pC0HQCjIvzQQYIS3tDOcJEsgllquR+9reCMxyWqHEtkrQvLRg
nxauKhChir77zkQ02i5Qe8IiXyEKhHgPBH0OkqQ5rqBjvHQXHZq1fRQqe/UJ0+atTJ7DUjpTHGvY
eOjvqOL/negTYCihrdzaamXipQImkccotZzSB8aJhVeIVbSsnTK9FjH4V3eA1pPH8ivrNB/btaIr
aDzAkCRRJO7xFjTnUiOvwWxHVSEZ/oxTVlp0ZBa1ZG2xDRQJbUw08ntxBbvXhbu0gwWdSPhJbN+6
M12lP/3nd8cGFowriHAnnIJ3CVJ1tiw/ewOJmY15YUFZKhc8GhsvQf3STrpt+6sAVdXeEHlDxf2h
a9/7KEb3HmE7DniZh93pfHLew7Uvq8NjRXgLh+vQURl8xn+piR+OCUtUxIU+FZkIWMDnikzjthTE
dIPIvXpmCRWUuw7ZtrjiaLlm/k4rvZUuFK0I3Gj51UJ9fG9O28eGGK28gpTmZ70yX7g84E+exbFS
854MhBnlXJTCY6zR5TPT7a3L6nXR4QuINk8FFPzJCohTmFonC7NE8wBmSpIfeejUDf6xuvqyQmUE
prmjyLG08sbZNr/c6lAKq4R335N0hBQW4pCBLgOyWUZ2FLCznf/gq/Ce6EU55+OihJslUNQN7d8h
WzviWQfTEpfET4Oob5+kYpU5cmFZoj3zVFxOQZSY0BFMJhYxgYzGskOd7wsB2JCwCHlehHnR1KE1
ae8brCmL94Thlv3tt48bTwSu8iPK0caPbjI44XH1QC8l7bIPR195nxeNfAWsvRQc/ADPGFUltdmu
7uI2yD2W+BKUY42gNnvs9PtgxL5UopXpSqHOAaGcFKpjqPTUROCsuZ/6f7yuttqiaDQb3FdqDnmv
5j9IruLP+gt1GZWIRW100JDTCAR32sVu/meFVTR2pFotoGmKF9LiQtX0f3ydd6fPhC3t6uerL1/Q
PPiiR6s1tTj+P9NG5Ub3ghEmvw0kvg7Mr7hdk9Z9cDtr+XSCFCZ4CxcFE9pxyOVZ22RAHvuGTyHX
ladc9z1sziDGCFDh9W0VRSwyLkiV+uoW2aM4mrOJxxT3TDTyavZs8jMt4cJsGxRVeksnUuHAfc4v
oUNIoQff+1c6eIqUBY/eWlYgpTyrUg8+s9DgNMiGfNy/lCZh8SzY4RctsqOQ0Y6sRetjUrRcJ7xo
BtxJd3vSkwaftyUaaklOHfyxiQMRyeUP40y+gzCQSJZPjiTLGFi4rbWx6Ext8TeAtWjOeXTeIEzy
ElUdAmh1QkhuSkCmjL4gHbJWZGEFYJ/CBqFPvhafwCzVMp+of4DUlkPuF7knJUuRfMDfxmNl3+6n
qhzDU/Ekhn8bNwYNgRHSEOdlNCH5GTb6Qzki9sA8NNM5T7ysgotrpKBdo3yqbJt1ihD9eux1FDsP
nIt+B1tP6ozvLqv916tFK2XlTOB5m3cZ5Qu3LhTBUY6UlG9N0u2Q7YyOo8E+4bgBcj54N85nc1QF
9XuQFaJ7lVEIlGSzbBxSgmLFi5DpCxixEoLmLZr8zaghqc7bQmdoEadx/HttG52SzRJa24pzYXQc
mXSn0w3HcnE3zHKvTxL7G07sdg5ahMvbuKxOyXSozrMGH1Zb7HUrbif66e6sYaxf/YAjpMYB+0G6
HwLmRLflL0bUR5Fphdf/6kqAGTP97aC/o4hon1hb35LWDNjMFRXqsG5gdQgiJh/z3A7jaTP7BUjo
VCFr/vjCMX3bReZuA4Gcp7+WrUzxmJ5G7FOW+wahOqFMppfQ7ZkVG6o6rrxgroZUFWK827LSo8uC
+GzNRG6zjn2+JSVIxkCZIJu+LFvP5zCWSgJubUBPnzYyFQIpkI9lwS4xH6Fh52LaLOO3g71K64Lk
uyKirfCBGR7dROsrCVqUIdn01MaIfyK18i/I2afGkWxjqiGid6YNA2aoN+1tnYBLZ/j9RvbJTPqp
6SMaZpNjAss2RaoU/0tHMvqcZ6REB3MhBvkWtDsq57JI3HkfD/CTcGI055D15wDNOaRvC5BO4jeO
b1y5gLxee2rlijlF2VVSWZKIa856josKCGgVZD+4BUNXR+8W3j699DohVHWARFaYh6jrnuJGBwtr
Bt8MdJzqFXIxg1/gOAFIg2kVg5p1xeBRexTV0v2T/zD9JazkO8V8xopBUJtGjIwy2hqUHn+IHUrg
QvJH8l7YF/5PP6/P0n21jWun6lLT3jHLQpI4Bev+We+mqfLIgz/atWI9H49e6ENfnnODuOrrw1WC
tNTX86lmVIBo9fXok3pvLUuh65OdGOR6H1eoGMeGVXEmhqEUej4Xn6Zn9YVX2uqnjUks0TtS94Hq
sF6c0Fu3z1Uy3uXt2JnbgY9x6vPnSNeVxC8gS+9kadZKugklaQ7a7AjoU+JJ0pGfeg101jK7Czzw
s5vmOiCfQDq6ScbuiZ6KJjZ0CYwFM7Cue180wNhwbww4Sm8TZh5VckGmECPz85jVv4fp8PKuAUqw
7Mh1oBbsuKGdPTur6Usz/UIsw7Ih+AMfaW/QxQ1KQ3Qt2dCrnVUe6UMfTj3y8W23cE3mSE6kxc1c
0xLVoyDilMqgdHnN9FpQEfsXn8nUNO9qM6/ESESg5SRd2B9szrO2slr4PR/d2FfWcsTTT0qawjRq
anU9SDauqvm32NWOxLarzxABxJt+N9RqVuSBl8V8m39wcuOhr9+TsdNdbzyC8qfYLwik+BFIl4Dj
WAXhOzCpZnuwR9/fKjCFb/ZbwwfNd0KzeBB5axYTcziN39Ck7DG4LjjjXcY1XSpuOeIyucHf150X
JhsFlDpw3H1w3lvRMBzOBciX5GwomjBntF2KrhilqlR++6L/SE4YmXZ7Kq9WLQGo8RJKVlvwXjYY
w3/E2ue4hd06BbTvgf1oJ9FDh8USLmViPWjCJoNrQPKTDwJOhuE9+sLMPV/tTl+GOcKuRMUShhg6
O1PK2G1HRmYe4YsxDZoov1FcCGH5ey1g9pTlFHZX8ehlvJGezXdKFLk9xus//J1FzZshq9ET/VAP
C3b8NMacYG0f59F35ACty+DleHm/QipXFCsO6kAVrRCsKuQpbeXv94xd+fGIHB2xjLwQJj30dkJl
3mq6Qiq5jdO6I4Tk77SOO2xwSQXKCUKJb4qU5U8S9rey5YQXE4Damkv/ki4mTCLcy0IrGG8xTEpg
dSc24MulvfJEInKU8dTAl9wmAOS4emlZKIEDOz5jPfT4T45AoVOFFjKN8+zfqbIrj5Tn10Rg6kzi
YQvtmJx7CoztSwRtjzyRdOV1jOJw8VyK6jgUIfwRB48XYCGWwyiBJE9sEGsYxWjL5fln1HmGmdhq
I+irH7w5uSRYvJG/eq7qEQj2oNk7yCkZWSdLTaK1HYkCYyJkms98mDNBr1nKFyPNAg2vbKdNv2LN
odRSWP5HTilguAFVQfdA02PnfoCZj1u8mVzjTjmr+SGgOLzXo16NDAMhgWmX4sCjf9cqAXv71Vts
uWnXRI4Y3IRLlaiFSLPKe5UH7vnDMMcx4pcuQpjnoglc9GN52YbrFl/AhEoCYWNVCpCi/DUtnk0Q
tW7+/cUH0mTPEFnnRbo52zd6+C3yGpHa6cTQsc0c04ZJ113oXEBY9h36RyYhAi9He/bKivGNxnqO
mPYp9fY6cI+RZdL9Ih9NECv3zMlb8DVGdQGLPInBb1GiiFAJ97CHWmLN7acuplXVUCcYM61nS5C/
ieT+2ijsr0Z1eqpmi3rh+6y6IuNNzjhY5uHcTok+Al0EU77858ieHYCUNz7k1X1JQytkpHL473+y
oNBCmd6r+EAzIwBJacJni+QhxFILU1+tiDYhLOu5EY6X0wPjZx+0A9qynKsf2JkIeWp/M+rX4Nw+
jOr3z30WV0oEMp4zbeim5ChLy+12AFxvBkAe+czA/ngFAgquWNQ/dZ8l/i4xVGl5cy/jwVAg4aAH
FAIUj4gXF5d3PhvRu919t1mzCBcOs2CxIozU8Uvc5rablEKBPRJvuBDpK3G6++iTgRiUXDtZVn9D
AJA+W49Jzj6+SQ6kIlvYWDtYOalTyGqZEymz2IncZDUD6DhFxDBQOe30npbDwWvxHDs/Qd0rEweg
P74yAbDTHDTU4r0OGO7QJdOIyT99qPnwFNWf2Dy020Z9FLV/EL1IW8qHKovY51JMEE2HglvcGotg
+mlaqvApN7on1Itbzeo4Jr5jpIRMtgQf7fN+Y5iEy/pGDB1qiPfTlsiGaWYYRfbGayXxL/pqJo0v
6h1Dd1Dy/SxmYyk7sQndCH0xAoCwRh1PDOpP2ZyWwhcbrh9X1a1/qIx9DReTfYks0FPeTgHSw95r
KYhh70AEy4fJG7UXGYqIDnvNdx8U9JaaSif2Gu51/dxEA4iXkIrVmWYtUeOjFM11D2phfvgHHLcK
9A7c4fX2kMn8evycr9Ug/ufW4Y1G7md3d36eHSTtWq71IdUcGuDPcj5CLK3ATuxf2b6jhbtTkgcX
nXgnRHFoJaGXvTZbsfbpwPVOZUPfsMTduvX32FsMStczojBwgQFVr+bc11hCAGGaK7ozgLhl/Gvq
bmUaeRKcpzvoahXtnOZJblzQpit4pVFyFRUT5ppAzkjXAHe4N6UtPCorIJBmsBhvBgcXc4rPBR4W
0FYarDu/ccNOAHspZOdyjk1awrNGekZa4J+HnDb6ML+ayHF8IaggfXaiMZeRsuW+fBFiB6b30Tmw
5LhNAuFt7pAlexuKXHpuiOunlFAq406Cxkv3bDSm+6CeUCS5igfFpixOXZN6cD6c3y8WqfjYFdQv
5BxjBZJ7XhKTvISBVsPVxG9O0O7fUbx1m3muJiuzWwB+kw7jfRixXJD28Towip87zONreyx/Jsrf
nU5avdYIUvHXrwGR7mEgcGYvUOC+3Ezx4TIad8b//TL1cY1OLdx+7Ou176ReGI9BFtRiW+1emy8X
bMUd6d5k+k7Xn0S7qv8q6QrwU2vcJpyM9bElzf/fZNFjBtJKnrILCN+DGbCMWeBBBVCXr9GiAYvI
MrN7eDWhpPBlwLch0g7qjEgUeNE+FygZxI16jTNMn7labT4aPkWenS82pf/nvRkBKYjTLkH4rQH7
NVwJeQ0cOvCs2ue10JoO8axzX00Vk0mgK7fVi3vIGiteoTyqGn1+fPy7CuLERIzeCIvsSBiWF0wi
G5eOm+Oah5PqTe/0qoPRV9741IzRpki/ie+v3nxtZ1uYYuO5doDIOYAyUoZ2UE5kQgDF/4qBO35a
sy/17PEDlZZObXvwhJKAtp16hz6O8PTiOP36QW8wlvMIYRrD6GEWKcRLhqNIfMcMmyvQYF8gs4Qe
mN7a7Avu+2wUl7NSYykEImAeTQ300rNqW/6QCl51q1YCwyBwb3pfVZD/utUgNGz72IFXDrXdQii1
fC/1B2v71H53ug7YoVpZFZ4nrYsYcveeXCHbCO+t1R2K8NuURJxkPlEavgE5RbFfAE3RfCmUbq78
i1cchnaXk0QxoRkf+QF948DTEu7pdGqD4NCls1JlYR+yg/J1wJFp4F/twk1IKcsH/c2aKBxBGaV9
bhjh12Z9H2HX6gt9RsKc8BakpmTIGxTVkFuXxDWU08zaECffukAJ50LW7/mUiVk68/AfpMfp0DqN
kq/xPr+4MswBzvI0rjT9WgYrtSVFHwic1eF5cxDYMW6ySn4AbRY18AJp8KdvVdC1nfrKYXLLtxA2
EA1t7OWwXxVRzM2F3jgj5UCoyEqYFu5fyAMAhss5D16kV6/WbJMjb245Pv3KPeVlZ12p61lLr1b8
oZ+M+3ENx4LjChzUClrhjI5fLLrVSnbT6wb6ybO3gDEknehsFxdiULJ0wT4pB5rlNYTfJVXRrQTx
zzXSGf9+3kRjqCKdGUpkqfpHHZOuQq+nt2GjxoFA1SCPFCYW/jdsA0QurX7PU7PVEynna+i/lpHs
tGvRWMgxxVXY9T+D8rium8j69aC5XgIrU4pulcfL5mqPFwPLhgG7Oy6RXZJuvH+0IE3hCGkoNWcc
/waLflQHVshtkYD0tyVMTBaqZMwPFmTgU9OpBn5hxTIPS4AqtZNUFC6xr8aSZxV2UGsmLJ2OT4/0
86q2BtHBrdsBfy1morlPsz3LoqG0tuhOFs9KrxTG3y5YVJ9wiV5MRxijlhcmTjRpQ4yMmoasWW/Z
dvfYBkQgQC9rowRZsA6jYC7m1b+YsKKHGj3qIv0q7W4sgtxH+czRRLfagO0IxAOuvVTR8tjnivuK
30t0oxq1k0XSfjf8EqoqgeMxsBSqH0KFVis/KTevrQDwyuPpVcDN1rTnq6+cH5dlD9K+OtPT187A
Qyr4xk7jIgMag1TLZEd39R9XCi+iGcDi1QIyTsgPnvc7KQsaYgm3pGM0pJlszVwRmRfROz1z6suE
KMsE/1hvkmdVxvIf2JUycai/oCudwaBAM+cSg0ozxrpvuOpBC9TmWbLRS0qOlXPgy7XGZ3AUN09h
OO3Urh0+sl6O4KV4zYShiMlD0v+qtxByX7DEY4Pux1QJWSa6lxYPDsqIJA9f1aURKCWkX6dVlqrS
VgXLFx6xWjVTvD5uJA7kD3AKTRvbAr/I9QxKuLx48Hqr7fFjFrNZJwMH0sgjptONAipg43YXA3x4
KzpzXJEGS5eEFiWJKuZmNznaMlC47OchPB3zaDbkkZuwQ4ynwC38Q0jmFGGwchrJfLciM3+4bwb2
WveXGFj7lSJmwNb/MoO73LHYLAvML6HI2UDRSuWaPL5tF9ultJFtyVAOzrpPo3svIgItOO5lgmE6
rDRx863vNc4w4J7qAAsnNBEdZtsyKCIO4tm9RTemIgJO0lz2ceyvow07XNS9Rdj44K1RxHrpusHl
vPl8IQu+Yrtoav80bnh8HwGWe+Z6ZAW0FXWZ1dhwDJKaSl6d4p9SXYCOgx7ydSf9Ouejpd99+0RO
rX8mAY+AvEZQ1B7nME2svBYj0/IDNKL21iwB7Pyl33nIfb9ubT1gTLvgo5OY38TA3vRSgHhg7R4W
3Dd2I1wXs/BuJxcgbctzu2hLym19CwcMNAciWbDxxVLzBCDrAW/AvMEZ2s7BJOcMg6igRPtXGKiU
nLplHfAeb84TNNA5WnCXivu5b3XwTcIV/XBD6ZcgiAQB+TwbOhTfg4LxStfTHMyghGH6aANez2el
rlvter1Evlg/gBymzSaed8ssBJbdlETHGnrjq2LNlb9nYmpwNlrE4yYEcFJ3ZKNwfRPLiqqYW2M+
K0Ua0X8TroXwC530bEShfnN8xoOvC+4qfMH9EO1DCQlaXZdzLqoYJvVqMN8Ikmn+d5hygPnuxxxl
Lt05WWx9YJJM43iZBiosY2YNi9Xya8JkX2cGKezxVFDCxLKMmmHrR3lqpF0fYCHw2sSPDbOwkS9m
4TX2TPwlr0F/Gr1+Yjbv1KjrQnc7dcAafZa9rki4o6wkaksdu+3kxnh7fZrQs8hW4BdH3qaE59Rg
Tdx8v3pyvGQEbJ9BQk0zgfMQcj56iX+3S2X8pOns4/pqdY2VTzEnVBBLPD9Y+zfeZ8dh/tZWtONa
U32iPY19mlEq0IPdGuhiUb91bAnQssQW9cTSNZd/QZ8T2GtputEpYSe9NqDl4ePpIv40FHX1ls4+
F2pWqMwuGR7U2dQk8d7YaR3cgx4Gulc7OyMUScUOxZ2I5H40O9dDc4mFexm79WR8MxTub9hWBH4f
/aauZurL2lzRfOo9YDtOak+4xiFnKdAypr6cEdCMqjZv4mizSerFRg8wUv6mGMwfVHqjVUMjjcFF
gzbMlwEXu3AV26u7iyYRy0CnecbkDyCfBJ++uWxNa7nqlO7hE5HnueemYGtm0kqqGqZ38s/1hkO+
n3zxUzap29MXDDsep7nl0bxPvY2f0X0TMKyAiO8nHGI4GJXPvjlUm4svPxBEBv4kv0hGqG02qk/c
pevBweR5W8YaoqvbgaLUGB6s49CYdFvqvWQBx2Zj9obWTTnDRdYXji88OMjmEb/2JGdEjcPJ1V5B
TpxbkW6qjkF89OYsi+0lN5zk8Xl++SK/fF43156EuwnoNktCsWD/zS59czj+aa0oLJVwrZJZpQur
0MAgdaGCOzGlmUbT2BE7UmeXW8Ca/xlCZv4KSEf+1jHVndQ38N4rSHxqHpkng4T528wOOee1PjFR
1PHiBBVSZQr4GERIShcOTSLRGIxiznvEqVUXlxN5hNv7Shhe4Fx46Dtss5BnTUWn2bDBrEKw2L6P
MxFiiQ8dhdmmqFX2tlUX0eP1CtI713bhIGm3lhrZPoxW4DX1/YG6Xu4b95Au6eMU38xQsvxYZA/3
iTvVCP1D1bmoKpAr4myZkD5fiVl5scSPCeWVvI8Ss+ozer3oqVVrnn9Yxj+wW62deHmp++6rbjdE
sropLEU7pQvifUlO8vQw7hHAaTTrC/pl+s6UEBnQqzEFBwgy7eIQu2elPvysAYL2z9lO/CSRSv1x
Hd61wZfGxlGKIprTMgV3HMK8XsX2lbsLjOIR10huplkILI62H91m+hzFkGQJFRnwneZoArZoXmdh
+IVwdtRMTYcWG+j5JyQS6tNhTKYjWj7v+hO0L5ouGIGzZ+IGjIEQ91pU10LUWXrE23BsBJ+e0wnt
mnzRKOUPzfWR6gw+n+0wFIrnenOHQBd91TuhbPHJWQ1OVugCxagl76Bs5fdir7Cta4kNrrLQ2kwd
YkYkWJyrBRwIdFFC2uE6CGcueRMGbHmxt2HmItFThpaG1S6tkdyxbWwfgVk1EgNFS9SaIXz0B2nY
zv28Cge9P/uV8bWYWcSOBPPTCkXf2Q0EYVqutli8FzNftL8pmIxCQiCzJUiAjV6dR1XU/nhG4Wlg
W2WfkhrY2SzkaxI8U3B/htmDYylrIifiEC8Ix7M/S+YD57KZ7+0u33Ccwy0AgGfASDYRts7j6tpq
vVFsFQGWgKcFZXnPgZzCXebr1r9VrK6fmdExbqi8FKTRLeYX1Vn5dQS/4s2vriZE+l6GNP9JWy5X
XOjOhuMsShCmK71WmfFXVQWGgKY+jjWMMovsKNYEt6KlcE82H1GBqhD7QHOlz1agrhYklKwQSR6P
6CBmbuhpPPOlYFtUD1jlBfOi4ND1hc00Ed/x2KybircfGfH0ysAPxePCqKoh3+dVUiwwxDwze+Wd
EJIrtH/ps0PIdBJW1kRuvA+clJ3sJuKNz1L1MQZqC545B5/35kwB4hFZ5Ao4/KpcD1bdpRKxCYts
QgqICeysWWZZDYb6+pvLQjanL/vv79w7W0mmF9kwzxYvPU9kSxrd17BIfPW7+zawYsE35zLnO7aj
O73/eLp5i6QbtQAlHaTzC9aEhtcfP8aMdxKVg4GZ+ubmd8MjIBm/3LP7gZutVyq5V72BhJItCxNS
hwYSKf8qGKgn8OCVwre7j66W8n5x1XI6Hz31DDkO1knJFrgWbILIfiZyJctpRajhDlcj8tf5zKRT
1HOVy81MDqOTAOXQJLfXkNB4FmQ0Zaj0bzoPdFDzrFTW09KVB3lLCJR1Eg5TffXGCBFTLZ1TYoIp
TeQTOKiL4piaqpYARXGpZCRkcaAl0KLEAODG8vODBj+sj5u+rzMmZvgaiXbEPSg9cfU1j4T4FEpE
zCKfh5Z3NkEo0MwHbLb89vZzi10ZRMn+cDUwMX3QdKWfTcq95Pj7Z9+DLeABHIQkhoDVUgNRNo8R
ztDuKPBXUUrGaqasRS0YzWJyqulDfBTBW0HcY99pCIyN0EsZPMd2QpR8yKBFhPoziRzRcIpJlOi5
gmqDcV7K1yfD/nEyIhqaflZ0mcoYmzB/Rs8NY4wfyBedN0IfwOdL99yzXJh8JIIXP31gWic1h2Wx
VhuLnNs3S9gDLyJJLBfNhqiZLUcLPP+kzHFZnn0TFx89PIbS1OIl+eg+i8kwlfibwe4kQknzx4Nf
ft7pG1gyWUHO033wmY8/FgyOcMTZ2T3OetVpDbglJ7go/yEK5VOysJgRdEjRrFKgGAR+86gREn4x
phtR1lj0yoo7NMYlAqXY9/cc/fucqFJB4dUtqkWGt3X5t+0lmmTJSAYPlp7nsARrsa79PZkHnibx
5SXuXVnRKeQygOCX/cPVCu+I7KZUPDd37vawg073pCu9xjgZyp/DLGgnpefM9Wr2SdNIrZdwgsEj
MBACZZ++g4GXfigEMCmHKcSNIP5x9ga66hQk2K4S2N3CXmD9zUUntpbwHHOa44L+TN26GfWFayNw
ZVOIDOdRIErOs3YLomCsZiLDZG0fZMipEv58MS12ASXoR0v8UP8zh0WwZVJIOqrIIvp8oFWe03bv
5S3X6wwLnBRH5u88r/Ut9+sELuU89QuUl3GvzJ/Ua4fLLPBNxJVLqPh5UQ7w8XGXzX2F4ghkgDRO
03601rrvXY0GxmISZL4whyTxmbBhf8TvyaeQteQIif7RAQM9aNmqsyP1AVC7DWmjS0L0DSMxl+CI
ds4ZtDZHBo8kr1B15MGem402L0cNAfpLwMvaFZuyQ0QE9clMXVY5fFb7PZNsqTbxtOoc+G4FPGgI
6+lIjubD0Oje09PHKxfpjv0edLOgWUj1IBI7aM87esuuwqQfni9wn67uefi6RjgMEsptxUMHfu1k
RCiktKHSpJvD50S9MudLolZBRn9AeYQ8vTdfSvUDS9WzWgRMdD9VELSI2uhawFCiIvkDtZ1H+aiq
U+HJF4noUGFN2iM3pEhphtPjnuGeXLoIFc50tbH0dJNK1TXu7USy/437tHdiruFU9GVndWXBwSwf
tOkdKBnqxxTp2+5DQqyNC+XB10plTt/7N+8jR7g1ZbhkFj9zyXpRl7vFM50g+mavX0Je/MouPr0w
0QsQEbLWNdxjfd6vwSwhIQR3uvczv7l6C/79v4S+Xu9v6kHI1py6k6Ih8t7PDGwECjgM1UjhhKsM
Vhtw1sIsBXblvI5pJmozzPthLgAWW7y6HqD6J5/I/XbtgPaAHgs/Cz5rvQUaniX6jcTtocslBZ4I
o2aAZ44oIDZ7MFvktyuNqUDWlygaNXEh1mB6Y4ZfaBY0UQV9rqomkvRQtotQHYi50edfsURAliqS
Q49qEEqoCKLFTb4rL4v6VpseIH6oQzaMY6tGUybDljR4q+Noe1jtVZlF3jiXJ0jpUDhTebBDvvKX
vFDmHk4tSxzhoV83voJJnre5tne3m8Cu+acGa1RtjBHdKl06DN2WBsFZHW6h3VaRWJ6VPPlYYw8P
yB5Oa7sMgnpBcppQx7eYRmU3ejUnIyO3ADEJeIQguaJbP2ZwjVfdJp2KArWN0CTU1H0pu1bfVOFv
yTo6s0RmsIOTG/ynnlN79iG8T3qBRh8QSUbZXMh6LTwF4d+ExSalSgB3ZfjgLDVJ1jjOdd9k5vDq
8UOEvlD0Neo0vUsiGhY5L+kdYDmfljhNHqax2Liz16hIEiIomAr2qMV97ZJy7ewUsnQcZZVnbidi
rC8f3O5mw9xF76a7gWOMESXUkqpLsm+oqD3oUJbtfIsHqUphRQWXdvv8Arj4oDJd7yU+xpYyxADP
+YyC4GySZqHhFh6yuZlf0pc86A495//GAYuM1FAsBr1yZJuqIodTWLdU6ra153hX4Sbod1ipQ4Nl
7M2g0vrCi7uORudP0sSRyVl5YUUGjNkt61G9rzfnb39jwZ3q9xiySJbW3uX1jMhgbg1fPr783HGa
d3eq7V2EdXbmZRDKalf9dbful0EddJoDROJG1vomcR7byIpFE/6Y6PZwQeXHDHZalHJ4WIhwJVDz
I4M1Osmg8ntPV9maQ5Lw4AFvKzfuD462lX5dNteeB0mIs+anfVZ+dHpcVkq88eZo6elobR9KuOvt
D4AiJDldDqOQ94T9VBKak2RD3hVn8oRwgz6OKUY+XM8gqtahng3HIlM+ETrpvIsGReb9botKy54l
5qCpyywaHaZapdFTgDoTyv1AIo0c1JFBU2wIMGsVi6KtTw5gvJSl2COUMLdQi9ztQrviX5h36UpZ
TokAS2AloCZ8X8pfIqDJ2q0o1Nv9iLPeLMphH1yWvXDX7AHHe1MBBR4Q3XLGFV59IEilK9MtQCEF
phBB6Ac/2EzCCpxSiUFnzIW+YE4J6iygyJiJ9Wh1Hg+cCf+YLgxeVHK2qz4HFjNaothn0U502vti
UgF+eCWRlmmgK/F2GRLzm3kk0ZnBQD87uhocsM2Re+VTM6x+TSKxqWSjvRbAnAzY0rQgaTNhOKj1
S8X7mwfYMw7XgrfKqcDadrw0hqcfeWU043VcTmWzrwFSbbeatSFLUW+unRMk7hqg3/+v9K5Fcgmy
4DECO5wsQA9SzIcB+EXJtGbQjUmmA78z5BaXOUs4YBOAixo1O2pCiJFa8TT/dGFRdMINvhJummYC
/3639moIK8FeONjc1//oaFKuwr7YCCBmamn/SrWY83NynQty0zFmggaKgHoiTa5F6YHJIrLAtqOP
oMWsdq4FYF9v2kNdzH/uQjISMictN3R5ZTW0HIiOohagq9slNWzSo6etkJ0mKDZPu4fe4EFvMMml
gGDvDDajrgXjDAc2HYSbJupBkyT3X1BBq/q4wlvGceb0x2LxWcDHj8kvWmaY3TJmnrhPCyS20aVx
EjXXgoZZbMMQ02u+EhC/HAJjA1r45TDi98DjjotxFFAGyJYxIstOMub/FzIyKL4gEcbkVcGixOaV
qvqvmRqp26lj1HO8U8n0UV6tdhxrZ3gebZEPWXMmNrOnB66yjCHU67cNaaig8TSzwEZdAiWdiZBn
mp54A9T/7tN5LSYPmI6ND0UeEfQpH9yDN6b9ZOHdxgo13EXaOHkLhr4PcYffalgZmUKI3/9HcMgm
eHivzbfFoMyOgtLGfFOBy9wP+51/sQSmvssvCvW+pGT4ek659P17CnELkFxhK5naTdFZhLEWR69C
ge50n8xCcKm2iC/Z6qU/5o2rdTJVMiY2Y0zi2ynWcDkfFvf+yZsiS38MM5ZvZSPYe1qiNk4Nwyho
5YS2fOAEihDwH5PbbES/AfY8O9uftrIKFRSVoX6ROgBGf3ubqDxyZPFeImXgPtGsz1kS2PtataDc
XIdw9b23LKcKgUPn97BnHMHUiwBxY90qcRtIAbFqt759g2kQM4TehYtRYQSuZ75NGUZqRrzy9IMA
SB+NM2uavYfDCkTmSkrG9QOpOrqL/SSXVGxguI8p7wJdT9I+2uQXK+y/xX6BP0IlL+Pwz16uY6zF
VOmidpneNJAv1v2Wgx9B5uLITwwas572gwAHlFB/Gt9r9IsUv/gG1wAP2WPzBvIcVu1YvEeZZhSr
m4GehurwE5Ea1Q3xOfxO7KKm8A1JzvaMsvfMWvOP7LTTfrzNM1QFVri5+6hVK9qmwvCCcUJYwxeL
e4Qg5c0wkFX7B1qRxZdUuLWI67Pj6fpVYvwZZYUJZiwhxarS0RirXKZJdOgrANucmqwmfWNzzKcs
2TOy5x7gJsoe9N4wk49QJrJmeK69xRNFwNTYq7r15hjp6Rr0NRDpBbZ7o1TwbyVq75BW2LVbrAeU
W6GZOqjja+7aHKPgtvHoQ5r7MkFCJCAM1BTduYhyeL8MnobzMlCP51IFk0D7lSgNMvhtVLzuXcId
dfySj8ga+iQCkanZu4P95/B6NAEyNsUDeLRwgZNHfWIn7c7miI74cB1beb5HdpaopYzHw5H+v6PB
+wbUQGTdZQ1NoUOQs6uolc3V0LFp2o8IDLXlhjrBofMlgqCCdrxwc6Umd1REJ2yD0FuQm7H76Jed
hTFGNnH3ktMhmVeyQpvyvs//+UM/j/EMg0elC1GpxhNQ7dDPK6dfpH0le1vjSCgVg4ByocHugwg9
/UhQINL/JRRTapdOJlFvJCfMFXb55uCRlpbN4gQlt1gt6JJojucIE/ERvO5UMRxpvmMZTjHWAFHI
zNO6/r5L/4guuXz8jYGu6tZTCloPGUEObd2DrS9UpaIjZ2LZq8+BCaZh2rhYtSZnRG7hXml6ESme
O2QBVM6gBWaJS5MBINsFPU38kfKJaWFh905Ag3YdXJLQdPFJBnaJ/nvPBBdWwUu/yzcCUN60vKJm
AdipeN5Cq0namRrDHPdNKD3sr4I33t5a+HKd7i5WACZmq7NT6LxWmsUsTDDPvXijygsrQRsQi6we
Z3uWKWjxmt+VPQtdoBKtUZ/iea8uYC9hDPLRZpqZm4veupCQhYdnBJF0oRE3ZRgNOH9lV8CwZXsk
wqhYSznznyOX9t9WwJa/ilD6dhekRRQ1kLCMrQkFG1Uv8GSisSNKSyAorF7WPgdQOSHAzgLVo6/X
n272cHRCNWpktZv8/lUsb6gjHXhKYk/pRm5Vi/eJRb9svIaYwYWXeOmMF4IYcROca0/xnADfo1xW
XrB7vKpNnNmJjmcUY/4zA6uAZHZV7gIGLEy4JZvykqWsR0oUz8a/FV0f1a8F9Xn6XFkyHj+wL9Zx
EB5rCWKb4eUA6PaZPcOfcZIehJy4NFNtMYDM/QaOafkIL1I66KroUJ5dpKlPkhbmSVn0Dp3YhW53
Ii7qXGJ+l6rclczWBarFgLVfivJo+0k0edZOpoWj220y/Wnnv328vhJ/aiYUr529137H9oW6EBVG
Y1SAT7pdaQDBDTebwEFrpq0KZXijdsGmqCyfQ5UDmdosyl30fNRfU+3Z2jBqUcBuksTArY8P2SI/
l34BM0LvzXrGtRvIUKscDjKQEPjpPVsiArigmXNF2XFesaz6psDWs8oqnKHVSVaeXffqvLvmcwA7
yvmBTyksJbpoaKndlS0G+LJ5HjGzYaK+mXhvs4+a7FRc3Yv7UiltwS2j6XzdqJesXmHoTwY2Fov0
Nyrup5zGZpBGAZugeE1gj0+Y38L9jhUt6/7Soav+FuKnnPN28NacxybWjmZ1loYBbFKlkl0zgjAp
V6njMpoz0acOwzXNc2TWE39vkh4xgJe+zDXQDHMSb+OuQHCqSUHFylY5dZ6wLI21HxsKk0m8VKl/
Z99JDqn4SRs5fgJVQHAt8yxInrfT4UYyPoUGZ9wx7gnbHl1CjjgfkPJ5cC9jiKlphCzIQbjmT4EM
y7s2PLqkEYnt1UEGzt6VWiKs3e8VO+6vL39mwusr3f1A4uopKG2mAzTyeYiEHp7P+SsRZBlD1UOa
DnLUQK5yBF/2CxvtAW1MA8oz1fJ5VK/xS6nifHPWu+RGVLY+tMC8DDTpMoHH51vCZA65zOCErkUD
UQd0lwN0lqXAGItThXP/wEDpVkJiDBBCwv2zTiAJgrn0rabgfGpnzMSOoD3cmfRs+bA43//na7nS
Zxnp+GTpYDaqzT3vM8om9baEZtSMl+MZvgHz3BdbCkpyLUrjAw7NaGR0hyr5E+4ThZ5l7h8/72qi
1cJxvYIBpry5qIQ0XrFP8m5zqy3mp87JOzXvd4iBAW4/KtZWoLSY5mkmCxIM2wDF3kK/z99/vu8R
a1vGGtSszSrouPvsVXPUseFkTQqJShs2U0cuBCr0L4XzgyMyu7d57EztpDn0SqQTDX7dEkh/cRsX
9ylJDYRIpm3YP1+E7o70gxIa8yCSrakQsgffdyATBBmf4dLicPW38eOZcMA48y3koT+Z/EAtLgw6
hqN60HOIzut7LwpweL37ewzkrHwLPqNhLyuWSU4rmFCKm0widWdMyNESTN1mT2umWLmhJgfsgPJ4
9WX7QKrDgbSEswTxR5/wCSs9IYPflcPlEa5BDKALv3LzN/j43GmeNZQA7QXwSvStbsbn+zZFtCvW
dxUfWV7UtfepEH0Bsa3L6nukqtBU1ygWi5RbYMH17sk/GoQGSqV4oIjpeGoC5hHba3bgXHmICKUH
ZtvJLaOBERjo8pL8m29sFE5LFP1Fuac7K63swDCrKakuaEKun2xXkNkrZlkX43JgdbmWyy05cu/P
bVB9w1aWWWU746hRMAf72938nDy0vmuyX42ERUfCf3B0OsZ50BKk/7Bjf3ByVwo9XnJQJsPrEHox
EN+RtUev15zxwvWnlTLtwd0imwDhoiDYfiVFrfAhwyzLTGNLFXcXDLC5S9MtmT8fSqAF0vG9y8c+
/Lx+o9hVcAdPYOiaMyGQAGPe21A8TI/apEqLgjFeebVPfcd5NMS7IpZy8QpVfH0J4peLKDKFR90I
i6xATFMYABs3sT2Bu9xaRn9pYdruWgfQd9rmyMJzReSu5hh6Nr3V5a72Y+HKeUMUs3J1RBt++k0c
K0TrqoKJyuTP4niZGNnxEqKhuGQk+McUwcKfTgcvRnE12bkeP2ofqYsjgkH920K9+m2WTCJ/UKD5
nVj2DdsghK/SicqYRFY6I54IMxdtYK+ENYu356N1ANLTTg+kMEPif+TgXNxC6FeeMk9/X8EcYvei
IC9vGMpkVM7huRrWw3yIiQIxD/R8I+58OcTxB8fMgqeibFwAdDBqmtwCfbZczptAKTHWkdveibih
znCAPgBaWR6PzQ3j35D0QRPH+pMwzzvASwlW1veh8L6CIUl07ASfHd7h1vgEc9ZHXNV0Rt/81OLC
ASqrasfYNxn14I0TgglkLKIQLHtbj8yp3G/kVJLOAXhBQU4pcrlk/5wkBdUbYxLBwaKbFwx9t0iF
roEv+vs0QF37LeFZ//DQzCoV8MlcyRaptjXywL6d9CSiJSH8ytA2b5k8WAZJLT1Y7DZmm5ZY3f4N
MA+OcQeaxjkcQIEH3S0HAybvyAK+rC7Cy8HPv8Vqa5Do8wDV1FqyIh8fcadIq1RHzFj/A4/y9cKf
S2RxgbiSG3HenLFaoDHmsTdEq4P3lOKdHpI72qNe1uy2tH6qstauHOgu4b9kutGi6icBmHQ7pYW+
bTWktoNZdgTu+LfJlJbLezHvs2j+PjBzB+fjT7q4saMkH3zPqW3EfbmSN+Ha6yfravs9Hd6EXXzR
Y9mK9ZLq7Pijd/bMIW5IrcVB1EGp6+FTdlB2qyaUSy3fXs8KuZBoLrSykjt9eduSkQ9RpBdpabfj
R+DithV6rXXm0iVrhC/equL8yi4SVI3y4a7ePrKgAn87e4k7pVQzTahAALqucYWIvYUYzzX45MS5
aTJRdihPDkC65fg3pk1pSJoWJbwpCEBc+lyGipt1yCSwurJtjq5/wCvH11Ixe/24byOjpXy7bSPU
IUnUEI8tHbjQQohkOKrNzLpXuRCvtYwVswbUZ6elOfdArlShU83duLxJapGTkjvzo70sSMfDnVAL
AwT24fLWlEpep5GjYxH87+2fhdOWyx+nC/D79xW1HVnNikZZPTLRvjowoe1eveTvYeNT4C+V2LbV
FF++7CKlHBP0qWH3Wn9PCOU4wrGI3rSf4s7TkWHXj0/Pbg7TYSuJQHLOdWlkBnAmWRT8bmgDl2/p
LR4vZrJFAyEncnO1QDGuYKpMGSHEeXv6mw7N/ZnnxpZMVJRGuduI8l4QusFwvn9D7hi3dQefEQfy
ApVLndcctXmVfe0s5tDGlQTajQRNYOrBbDoJ9pHedWadkCdOr9wc2TI77mz3COTC1SO/pCmZYsVR
4Njt5HNQ8mYfs0uPxKRYFme+m+fSE6lgLeBE7Fp1eWG9THRa93jKFW1WDYZDV7rf7S+MV2r+tw+c
kgD4KY0iBy4uIy4gSa09VnfxtwXPcbEItnrcHRCwNZYqUBjubx9lJYIcsZ8ZYzly74loxT7Q3V/A
i3KaWowuKJaJrde3hs50gD3sbUlRZvIWzfNgX1y7ZAQVfhdsE0i0GcHyxl+7pfTavruqi/MOpS3E
jW3CyMD31w/+4md3L71/wc8WS65neip0Y3Fz1F2Hf/33lf2PP5ubndfbt3A/3LLWXuj3PesheK/9
+M3MlwFCZ3VGGNJJ3k5WO6ip/ZI3f5PTgbo91A3XtY3a7QhRx5FtBuoQNCkI7gO/i7DOiIcm3bzY
XaRFMNU+fLexU2SbO1/iILn66VLuqwIUtOI9ijSqZK6ladRZvL2P/9GHZwv3ocJYmAoZxekvws8k
QPLpzqCoZfHJSRtBL923xkvT4xb63Iohtec8Jz3wW0W5a1SpK0zwy6U+cANZ9+a8eky8Su9dYJQq
wcSMBg0mQM89DaPYm3xK0I8aSVR7JKoFWb1S6HR5BLvylLm3ANJ/BVJWSty29FYTYpMWqNZiiTO2
shvJ2U13rsO7N1M3iFXfNQaFzr9crVSCDqwjyiOHAN+3s3bMZAaG51+rXJveB0cSTU85efwz9Iux
nSNiqJxoTlPG70UsVf0AGn/CY6Q/rXZiR+7iBrMJBSIYOYNq8vJQ5Pc4IcIsdlEXiqRYi/aCNO+l
FoG+niKfKHVDjFfEzUgczDoHHX3dLRTPj4wYnbwQCcs+xht8jwdVRZZH1LYOyvC8O92OQiGlhYV8
V+d2RFLZlPvyqwd5yKel+ml6EexmN0k7xujptHFL7+ogWykBdh5g2C3T4yTIGcXoL7P1XeNQUxM/
w8vr3NCdi7PdXzRvHdUP+x6lxI7AxjC4za4dSJ2cOTyWHhbWPTBjsX5eWh0yciEKGoXgcAuAyHEf
MiPDHQDKfQr+VPPmSdShhBREmmcPk2kqGzNBxEeZJRUebYV6bxyxp98tU7N+lcZETvteXMeyF5IV
XOtqV80dH9ftrKOcMK9pePwX+av5M/tQrb3DsUDDkIpRZ2IAlHHG5w9HMQ5mIpyLQnbsJ5xold5c
IcWmlWNaMWPF38QbeMfjxnsH2EawxIfHCtyGdse8mz/ii8ak/ATjhlb36J2UKooplNBeox8OR3aO
uiSlfU4oIXY0g89zeqzxF8jNBAXeVMmekSlQJWBsYt94fGWBFONC+Z0Ri6gzjClu77zylz9/88gu
IBLUSmAnscXDaYVEoO1ddN+vHLDaX3ewZAcZkJzml8zISo+RPTtBymGhe35iwDnnAcgGKnJo337H
EYpI0ar4tzm00PjFmG0uIRrlYV90efWDDwiwQoGIuSJCBtxVxGDWD/oMQHgQTDHuNgHk8G+dL4nU
Tx+8CfnEIq+ab8ssWQQ7CDUZ3PQ5iGvzEESurk9FmbQZCFIU3iNE+cw0h5JnigTaKnUL1440WSDU
qshPJ5ILFwtd+uWOZEcatGcPh0ugqrHHkL+b6TbVt7pkVzjfCs80yI0tmaEHRQuWdOdFO8qCy5/g
pPdlPvVI9029RSGKDB13c7R6QvwmLiiBJRad8l4OwnF0Swj4kipeX1m4mTjVzAb1hLAqb7bR/vBE
H69lELQohRGAXyNiXki4H5Zjyiwq8p+7qE9ni8BMg17ay0JXSQ7KU2oCeHTdqfhP9PP2WoeESZeq
bFwnqIDJt+IKX8ogOEaua/nDFsf+tK/Gf7ituAr6zLo+ZmCzEiOV69AM6jmppO7pj6ASAirCvwlH
4jLKs0k7gCHzl3sCa1yWA5d2gyvrtc9yLIByGJFPWATrQ3cDZfAJml6HrL/f964A5FTwXiixK0c5
IeDHt2EwwneVP7/lTAEylSR3ZAt4K4uXUYmXAi50/fvHoIHk1AnacD2onFyJIR4Yo93/llbNpPWV
wXXdxJDqlsQVK+ADXNanUMIDOW66PNONnbcztlSpsocXS0pe/ooRscyA31fx0tGyDmWvVCW1d7u5
bYsU+ag3IZC7H6f1JGIn9it2cXu0Z6QOsLDFBj0aGt5JnodBqfcPwZLsYFBjVlzattvl/f4vw8Kp
EvzYDgrclPvUG+t3+gNZm4A+8AG74mHoJgS8c3lPqyOC7usehLHFEF5aoE8KgdRpM3yl3hBlbMlj
1li0GjUv4tY0/NAn2hFygqAB0UFCQqMpjAaJZj/Ic9AWm3Kve0O+5/8BARfqJH3MH8ysafHtxbrg
Cn2f68tPlKgA0hq62HKToRX4PoKOFFKB8wmBA6ydl9HBA5rZ5U6yZCT7wrfxVM67Jg0ZMOySdYiX
yVkYqQPsr3lcWVyI4dtbBpOZ7nXAJ+3qsykW4MrSxWdIJZCtpAk/YfVEEIcUAxJDpaABxLOHs2zw
qsVAqrmK+3w4TNla6w60qc7y+fI3+/7j3HWKKT6Za/QlwXqb4nk7YlUa3pSdthD91s6Uaj3C7nrF
D40vmurl3a6Ocny6daVF92YhZejGOENlOGoM2QCDcuSQ+0Y29MkmY1rs9gKOpdtb6ZspmFAC8sLV
Xhf+wJ4qg8gkqJc+rPBzFZqihcNFlGryL3hUl+UjTOrVAiP/pGKEFPYhxOTftkmh6q9gXWFN9H+U
btOvh/JyNihjwUR+NPJ4/pLMR6fdwgJHIHE5VhTKYplu1VQPIYrVGjSE9vJd/pLHQCDKMh23ee8u
/gYCITWUDZAECB4ysi97lcY4fjI6vky50kFJKH/3pXhh8lnYNYIpcTrK/A7EsRxwfLxVqNQyyeH6
onVgvi79hNtaxNde+r54CPXKSnHyiWAxzB/b/+6Cw9gL9cOucqcKApW8cIcyg0ZJ8qV+MDcFSvea
09nJaLcW9svwzsQazOQRzvVQV7//ukSIBPnm+AWeisYAUSp/d52Kk5uHVYJ/m4xJoL1YRPEobPy/
N8hopFh+uJmk2qlvHATYmIVrjn+uG0Iu8QkaRE7iHErNzMHNxsL3ijw15sVIYRT057RPCbbDStqz
saosmw8zUNlclUH3EdS5PKc7fyiPrrup8jq+vPgaml6QAx4yVILCEI7PNLqcgf5JHOqKcHinlupP
u2VCui8wLSmanHsk7mwh77HOz6fGrIGjd7EWF2C/vE8vp0/9443ogeKYnIb1N+15tTV4BM8WGQOd
QAKYakVUxYRetgNPXW9p9EUaCFe8semcRqwRQ/bw+jXM/GP6Y1V4uyM/8XU9Uc4sBlbDhQoLQzQC
PEfREZvZQHPg8Ydc2RxvZHumIJLHRG7XKH7XzLO5UAHpqs41avpKIPxt8zsCodX5WPa+LbYSg+NV
Mbt49hhqJ4pydmNDk7sdTN1oyv/yayxD7878T37AetErelOL0jORlZN6lU5TifYPME5eIt+pmi0u
Zjl0NoLxFW17rY2ysNECg2HYIIXLF3mgWY6PfbtQNYvHVPEp/uOCiUhPcYZxtSTmmXKrKOWCoHHb
aFTW0Jei30D69FMYjo4uJK63CuiqiSVfRHjXlYgbmkfdQ2NMu+kCOrpAtIscKIakCuREV9+P1UaO
h1kUUniAWvlOV1uxLpvFA7jPNOgKwSy4KhAIL3wHxibUsyH0vv10NideJW2AD9Aobct4fkBIjcSm
GKSDHWBYtlJ4QNOexqtPqgyHsrZTOxbYRfR/pxZyiGVbljp2E1+PUfIHs/iOG/vbzRuJVmUm6Git
fUxuRERRrS0jYE/Ydd2vZZGMBEUo8LV+i0+IyAbCWUDOq3V6TQ6nf/VVcNOKjpEAxYx+VFYxfvLv
D4SPaJf5pkdfxe2tXucU7OPbJM+I7uAlTvGCrC5SQ5q5SolbycUuBY4Uw97HMwPdAfuXtjqfYWoC
xuA6bbCK2S6gu8HZr+ssibJbPj1bA2TBDD5vQ3l+tEt59qov+UnxQYHTckE4ILHX/u3SGaDsHv7/
TU7/Ta9jIuTgqgT+CyZpKLkGQa/Cw84BQjyVzEvPxXqGWo2w2bxblq3IUJIriLMfgQQfhQDcDYrX
+cXjGzkYixktHT+eseU91ox01EHGmjslLKUdJQeJWtMTE3+RXPHLBmPvfJhxOWIYV2SWX1ILkMIn
2dnVFCqbc7H0MgYQuxku4wVL+gtSusLfY8/D4cKstVzzGz7IkDbOMxSelsL9EeVdONo7tz9qT/Uf
xQVdQu0NSFl8F2tkD2EME1q2FMwOh/AjpaVwoK02zZr2H7q1nTh3Y2OfkCPiDJYThMaHJNT3eQrv
91Mn0l+oEzE3FsPjsI0JCBEFXD6WUzV5T5+i8epjMaTb2LOFzu3r27XK2QDlVI4HvkkVvmT/iGnN
HmLu7Cd7lQQZDN8o6qnsvuhO694hlKr9+EoRi+j/+jn+qHc0SCyoYIXbhezM30H8E7SfEDw6aGb8
YWx9q7cNN61UPuJPaLqXQHYyP4FssRxhzdb9SZQOd98lFvV6PEB5SEhEKWdd9ObkVmfImRrW8eo8
QM/cC0nHMqmb0TCBBRLLN8t3KLdfHxxK53uR9TlHBWp+6hHoIMHo5BKhMc9s5F2kPMTPmZUxpAR1
xk0QKHraErac92IwlVxbLzIlLxCcneR1JSW1PmJtyycm971f1AbzUBLYxC6JrMciOrouOK1kMTzS
z6JY0C0p6yuxGiPGPmJVTOGcOMkYSZV9dTmJv/SVOZk+1KX3InriFmxXMTgo74SAY7WiMsH6a8ge
U6vWDM1L8Wei12zEgVTrd4gDw7fg9S7o92Xl1FWcBLXSxgKUQSL4XkbWG7Nb805aFghKvZkE9WwY
ML2loDImiZ+l4Qixy+hIPCV6qQuPKZ3ncPkT70KfnEkG8jQIeV5e+9+8elOollQZIAXHdzaviQ9K
J4/Wxhz7OhblOmXdHVsQJBPsCS+wuAofClPYuSkusxMDf6kg8KcgCAIMIIXzkt4T8l8gxnrgjo2j
lLz3OdTy4TaBhNFRyd4GaHuWhiV01DDqV3TtSGe07+oF58DTd4oZRhIAU13Y44B8umdnVSGQD115
E+Xknh8ESQIX9wp2rib73FRPXLmHVXlU96mcIYQWX+oKGi9soTVdoZY6XjY2xj+x4Nwy+mfW0xG9
6Ivdn7VpGnaKzTY2Ibc8DEzk3DAlJGl1mICmaJIKZewozxSR1XcvJgPZEIuCX3rgVsi/DIOep3uO
kRr149Umg1ImtkYc1Abo9BHNI39zbrCKSzq+lQxyzIL4z1KQL6+l2iAukv4zlq26A2KIi0tmucoR
qBdpy9HfgJTkEg09MmKNPBvmXALrWY9efhY2d6ScmR8DQSY33swERHzRIcbculrecKo6YzVhrMk+
OjK2mIT3zcXlvtlwnmpcGF3TlCKJ5iBnhswW6WJjnyhaF8SseA1Y2wJmt+msWqlZFGy8mEGNriTv
Q8To865817JWUBkh7o5ENq2+DFBDX8nVtk2QUiUjdevPnnA3Tho4bOyLkOMn/zPXPvJyXSEBa195
l873GU1PA5ZdSwW1ysMAUCEQuMpjuBJnP1f+LQV8T3NpeNkllOLw2XGIMtkx74Sz3/kKMBSlJTJB
0gGUvsPuAw0bd1Xv+MmevEDzCuzQoS3aCqxY0XFn6R+fqnDANqHFScqeOIFgSB0mMQDJ4pW2R8Gu
CJvkrVvmx2ltfrAzCh7fdupD6szeb5FFJ4tg3UovmFcJhGvqU3Hq8Igp4X3eOSOG+4f8EkN3w/si
DwFa6RQss4ZlmQied0oLhoFkBeXj2yf5T5DUUtzs3htx9oDSY1fl/a232YZhdjCvlfpaPe9rlRjY
G3jge8mJdgoD5svY8d2AM6FuwivSP9iTWfwhYwn2TjTUiSoRv4m7Q148mTV5NqlXe/EOMR+UPMEK
mWEsDFkE4iDNGTAqoVkbVq9FthFELytRxZoaXwRppIjKU9r01RfOFvd1c58ITU+YAqAlDrrSUTa3
9e2nDGv5i9SWp1lv0lh2quUAq4hxFowtf1U5w8u7vxRWsbgKzYZ8GWMTgLagUWZ/nb9moUnd0FI3
/XG073nFy/iRU9BQEPqxUKyAzTbn7bk01ekucV/OpiBxbkE6/ABPjZSb4x7mraEUtHJT5crFSz1o
Qak59hdiKqeIzwCu+Hj7iavc1+x67hcpQnCZdaIcL4+b6T0pyWUQg4UBfWwABqrnkL3w+TMu7EbT
sgtvtDnUYDlNDD8FQuvEYG3CXNHtaCaPTb18ec5OlwJGLpn6WMPgnXUsIGEV16avTVm4OG8k+i3V
JkySVcZhaGWyGVireR1k67fXV0CSNj1ETSNNzEI2GFjmNb9YuFdqsuVMbFQOdldCQFzmgjWW51r1
9c6FutNapY/nLjOo+npGXZu3LMs78kbcMOdJtfaR97zrUc3oNU9bcmFUVG5GXXWrSR9WCY7YrIDN
G9+nOiv2t3XPb/d/o+aw8AEU4T6GvT7+vxsacEgkC3yhWOGfzKye2qwJaKjBeF9A39izfNfBpPc7
pqHk94pksogfViy3fbEPiofQOLWdB5ZRMxH5TbUzaTrC5QgJ3CO2yTQsCobp5XnJNb2hDbglwjPh
daqurjsjI81UQ5z+bNc2La7xGCSoX/k7w4ASPyHUJZ2+RZWB7Em+/prv8nsD2thM+YZKvYOyuDlz
eqBqLni+ooN7/ndwJGdltJhhVrpNmNDWCt2fy9oSEjXujin6zFHxyCWUeEA4BT1bigjCRmkHRqYp
vr3Z5eR5jDR7uNNyc915Mh+P0NL2eqzgtZsYz637pxaxJ3JWYQkUn3277sAcp1kAco4FqbQ17hl8
WA4uwT5dImK3xIh6hJpAUuE0Ppn3R/Oii96Lx3H/ZKXyZGkvQmuYo6DlbA2lZjpo78c0nM9kqvGT
dSk4/OyjTbkqnytUzDGUVI79OAbHByc0Qnm0dzIhxAHYBtUuE+VJRf1UPp3YcdeSWl4r9xZ5J9yV
YA421d9mhrPiDnx4e07J29u0O3qLUu6rZHihqM4mdrn/waKWAY5SQzDZyrqAjych09hpeLLXiQLz
piItloFUoiWPwkZ0gPn8yfWCrCQxN5g9mpeS+bxAZuKzCEUuRGc+GniaaqC8zDCTQ5OTv9bZ1FZx
byVw3Ji0t9N11Nx2zL+RWjjqMXkfDLRDwsLF4mMZsQQcRbrJ3TiL3Zqny3xsRZbde3DhyZKrecuW
OB30FUOuxCdGT3crSvNMJ8TGqDrpdTVMA4PG92GWJuDLH5c9beNv+bQoNKiQccDCicYiYLu7yVVo
+oFlcF2qCGNWc5eScWyxuHyHlS/QQW3yqorVeTvKPVPEMkM9tHGyeujCRFVz+Q02ibXv2Sl0maae
9IB1icKgO95Y3sS0o4L77lv58i1SPgbEkgNZq0ovr97WqoJ3ybry50vg1M3aoCxpARSRlzKTL8rK
AGOFCD/3EhmwkeH+fShZSSkq2jOlXrUEYPAaIoqE7bImaIY9UBWODrnPXfST6Phtmy2MaJh/5dM9
d3cuI8cAEAdoi4gbEml/tHHMaG/z6XxPBUhR4teLCpy+F4uKrLwvXMPHf6VDbOgqWoocYvLxltfg
N+1UzDrqj+dnsod4MPm1AlHp+FmFTe1nicDb0NEWZ3TuZNx5XAwY9KcGSYvFB0+ia5tN4CEjnCfu
7GlK7CJrVKfd6y/HkZNbB0K+fd7ztpdqe69hl3pWjzQBXhGUZgMQ8+F2Zh5XKVpGvWdS6dn6TONZ
LxtJJz2ZfxGEgyU6vFooBKRBe+xlNrADRBiHs3OmuwLpng6/QZZ0R74RnWtUl5LICewLNxwzatHc
dGSzoYu0iYlcadIIDtt+fGhSkwubOBw1CiRtuMy5YFPE2Xi134K/9QSjsmGkV7/E4T9h13ec8iRd
tELEdFlor+r3ydvjFUoEHtWfdPoe+LpE+THls9bQ1dztBK/uNZRcsNUfUqFViNJbxdJ2tAWg3j4V
WYTahVBKefwGNuczEQ2akdXYn6FWQ/MYxWDSt0Tc/jmHGH9m4Kd2ELXGzw5JA9C9Zk2td9HarIxH
o9qM0nyHCWCNhfi7/0N4LV5ddUkR7Y+jn/3gV2sAhEK0kmve1k9O2PkOEBs3G142AE2xgsuTL9k7
7QLcCiL1xHI5nnVkOWdzW/5XT45LOQGc2qNwzB0j3LdJ8hjEsm1/8l4f1UMYEVQQzE0AStazDRY8
9s06uZai09eYvL+za4GLt9U/M98tdW4bTgwm1e8AAcLOaEfZ1NJHzl2Hr+AfdqA6FfbYgb7onCqS
ms5ZapBzPaSSSaZbsb29wjhU5OiuPgD+QBpmY7uOvbbM0wp2gBUFEUfRWVhGFwFu/AlStRkdok11
26CSRSBo270EoPVwHxZ1fONBHPgBeQsEEePPE2oJeCxII7baNPgDWLYbntiZWfojegc0HxHiks2M
+46S7AfDWyvLTZTWQcJx3kxS17ku8/eyE/QJdyxgnOl3JN/uOeoNeuJnEbCuaT3M1IU6RY88IUkz
ndStpOKO/lUIJIQNJS1ziPSRNjw8iY1uTT5zqFZXoQbTMi3bB53wMiuIHUih011hshjotHqihFRT
QIsua/z6XtXsddCDsBL2DI4XZgTh3h1LAo+8+oRFPRjcRqFHUsTYIdFYa8/BNi2ftq1UI9zvjIoS
7TMppJUK4b2v3ozJkENOaQ1R0d8ophExR6OP1bjGW+LTLHFtwkKdY5m661ICaLsnjUds4c7wptF+
WVsjBORIm1i9CGHRfQKnBTsfMNIINNQm6YpF/CdvVTLn8R1gu2kcDz+4Y0+nJVBluc45CEjZfSke
WLJlCnnQY+AE8ibamB5N/rxZRzddxxprIKzLFPLzRXyhH7w5V73jM5qNcR8KsfsS80bGKDxk0oTz
AFTxMbxlMkdRIQ5W1eMGKxZ3exXoXFfjYQXj8/qGn+v6JyA+vB2BFzBfTcy8vz64dqh6azpmyq3p
5oJNJKTBP60c4fUiCVYUxUBkWT2cXCL7lJpKwFWHIuNEGGN7auGEq9haMavALwLPTeSX3pkt6iCZ
adEBxHxrGLOz9tymXCfezttTHazCppMDFr0wAqBP0Zs+4YwZtUug9bNz0YQbfE/+9rsbJLmI/psP
yvyfYdmqDjB4d61yP/rFlxVzRIk2Se6nyoX8/0k+l5LGy7hPkuZd+xKsF7+v9yG3ZS8sgRMjd5Le
xmy4AFS+XLN746JHJZPmOZxyBYKKEeO/BA9jMREJHXOu6SueqyCMkvTmozwJ0UMZLvVsnmbyfF5N
4j1/FQeix4gbx//qUYUAtRMN+h1GOTxbGH1FRt/a+CkI+QpBsJFqeRPKC2TQIxMoLrHQVL3B7R7d
SSpxDHF995qPBSW/tbk0PkRio/uqpR29wWF+GSpk0cBpdsnidRoDw8uTNFUHSmNdZXGItpUUT6bf
YBLPwkegvv8lNSKruWpM7qoWK3EGhonidyS6tOicRRKMgy9LMM4nWvV3w0B3h6Wkit0Xs9ckWYI+
f07W3IGKf358GSSXg91HpdZ9nMsp8BoJeMxhND1KVutrRpV1Y5kfVXVpVWRvlwQj+/ScBgEWptrf
uheIynnpCCyztV4f2IVj59gStS5a13uM8qxP79nrqil7CC/T7jHXJGff9RHeskoh2156R8NggvSQ
D7DVpMMQw6TsL+eeOZN19fLfMvLUDPeC4hAMs7CD86/gSpydUFCP8HbpsLZ8mAqW1mdIFdtBP8Df
3SBnMuOxrRm63SqEyW9GTTreR0qt41Wi9u5ifa3Lkyb8yvvfX5SXsmnFCugO4oyoMbu/uAvvV2gg
SWvwIFijeQuHaLLUzv72Uwme6cE0zyKDOawzXNjUVun4GxgEr0liQstcACRicJyXSBmYgRvlp3Uf
u/3LcxbiQQvtHCP6lLucc1g/lDuuzOB5qg5Am1AZIV79wQQRmUsVeDCXxAXk97DQcTEZwTBeIPWz
CXkaP1+Ive9Sey7PwPsbcN1l0b1KhJLfgbdqyrPXlcLDzOXcXNKHL9FwpNZZkPXW/xohJwMm0h/y
d5w68kAbagpgKdFemFz5Naa4IazW01iGj0wp9Ob3kjP3t5wVd0GmWwCfIUeKEG7dUb3vAWdJi7wK
qhqYfHd2MCuCfOM+0b7BYAohmcjwzpPVWfW0rZRYDIDmmNmYh9DeLz/pMZW5z/ro6qlErk3mhm5N
dM0zAwzhokt364SdhnTMcFRMXUa4nEfjW4Cf/1/5qUTSZG21nsBfHTZMs9BLzSa94MKVCa/9sFJr
PpxSjldO5Ooct+Oq9XkLMJZHMWg6Dv2CoWNZ+op3/C0CYSeYLP+90bIancF0npPCwJLcIILEHgCI
K0LCXCAtGjIv/JTEIK/4+lUCxV6K9MQtbhHK5CM/sJ5NAkF36PFq1Y/uZisUEMMsTpvVycfnhEw2
gzcYcJGO4a0n9DrQ6DQ1x6zsSfK3bImnsVSvLDhjCAOH5jTduZVWmpnuM/ec1rLGjOW3Sp5EW++u
ZIfBuW6TJlA0LUnqM7S2k/ChKWQJ+mdhaiiNB0RYU5iyyEL1sqM6P+uW8k3D7JagDU6tvNzfp2MK
4FK5fh488LCL11/nZzgC/Lup/vLO8Sb/pxewmdyQp+3SVYbUG2cOuVTdlJDaMTXjVm9kcscDsjt5
yBrMNMKw9ITm5Qo142DsMMOGL/8JgwLpw1oQbGHvtSiVKvrbJd+y0PrHG7b5Ws/86UQ2FtFYMUHP
G2dr4NdqwqaqYkYw4IqFyVI/iaoD+VWYay1QFAZ9fiulAw8AMC/P8b7jUC/67gRmLTR1xc0ACrFm
hgmx9NF7aAOCVEinzERRlORoT5NfR55gWjw6aMO9bJiFLrXOCj0jCYUGpBdwR9HZxhhELho6cXvN
ijbYCxCbE+Tz3UyXP0uVzhtoae6rIwFzHVYVTzeF2Yb7PzSuGkZFkEzXtrZ9vhqcKIXo1SVoDJb9
d60+Z4IfNKCT7FGUKfqYj2F6ta07JMyeqXUSWexuhs8EamJ/XYhwDy3DmJSUDJTqDY0q8xt4hK3X
b12zyloowQd+gKsAJvf8VmMxznLdVZLC6J4eFEa4ev4JydjNI9sKI/KNOKY3HXNdu7UZEI2hClWf
aR+P4jh4qJYKYgy0ldT2/ev5o818E/ZLAATGkUPsDR8uINGosS8O3v3uQwbrj9FZvT9vCvFlMzum
UoUEArqS7DvCiaR8l5HVJeCkg3QK7+/f0ZhzHFQr4dcAZYjaHeblYBuUVr91ssucwna8KGcuEBZq
CfNQh7UBQvAoHwN6vAIUt56Z6W4Iva9dMleY9asiM6mT9/RHuYL/iK4hriVNh5R7eT58NZZnTtqP
WIqOCbtqrmw2+tu6VbTr919FL62V7nSG3FUlsq/d4UcGOCV91p9L5PejupON2rPgduxlo2Uwp0cP
cl0/UYzqBXBS3bJKu7uuHcgeLctQRlRfjeTYUDJmk9SAHkpnvXJyIxNJtPuI+mmsB239W9gHIlwL
cZBdmMHCxb7MV7CMJO8/zWRr8E3WaVKUfueNoZNQTigl06Kb2MZo1NEsZNiV7fNSjZ/gWl9A32Ep
08+BeAICQC9Smc2YNcwQBhuMMxZtPksiY3nTTKcjiToTEyNAZbyp8MPFfZ9eUwd3u7f4wVGrD+VJ
umSozmcaPV3vAw1WAV/wUcNQ7Oovcs+e4gFnV94zQcLS0PZ6RrRC+1Zj+FwieRL3qsTo0V/jvyFD
hx3Nw+2tTybEl3FzcNtfZBlk4Pto/2YZcg/Ua9m0Qi0UGIV9skC2yo0c1DS0lD2hH7qnhhehsCFn
ApeguC8FLZw9AxDlw861A+Pisl29Z+/GmxIbaoP6lXjGtaTwfW6NrDjKIG45A1x92tTkxYfKA9Pw
29RPh/OiqECuxpDfqYkfmLG6HmQ/TbPWQ+oY3KncbhenshZc/FG3k1BAv/eDMMSyI6sj9WzoPGkl
EEtZBx+xFvB5/IxY9JHfvOOw6PI6R6lQlpKHn2qVNj52zJwJhQvJ0k6XXT8Ber9cbON4IKfS65Ur
N/1d8e8FtpZMjYyEk50vblWnZ83KeAurXj/8Obklj1QFPUPu4hxnl8RWA58QLV4VB9VCJP3szif4
+8mctuFGzalRzyTDqw6a0Z52+wHZ9zy3TwD1L+vM6xm4SBfiqMe8SRzrh6xD+m9n2V8pNTBT2tvG
3PoxlWozCALlULZYtXW1WGjAgbpwcGswBLc28mngaND/F38vstchS+J31VdAqQ3Ft7yOWwEOb0l7
dJsn1IAL9qtqfUmTf9ApJ8+3RQkwV+V1GLJ/pESf01EKvjwAqQd5UDn12jhGXORIlWh+7b4ZU0Bl
RrBx9HkxztstNM1XFklxxEJaNHg24p+c4cwCuxP1mODT9SNPSbWO6s1JAmO5OfhuZzid9eJdsimx
ni5ZmO2WtTCkFlHhBAAc3vEosMQwKLrd2UiduoUlPWaYrLADdv2wtZKdHVdbzq+fIT/6ZG4tMZT8
XxpAp+efiqWyh1DmOIqnFxBUijHO9CebBCFCcmc6/qHRQ4L8WUwqPdmW5eI1XELFOVOGPJ0UlrT2
YTi1a3SyFnXfH87YHbT/ikeEQb3Gb6hWNIinZy3LEp5XIoj2obHCtuk7X2mrPQuWK9J8/iDRMXtQ
9ys2IwnwQbpAadvrF8BIk2LDmONXB2iXrt6l74I+S7uXIhXD2tQiRHw21rnZY3kadEIzGb+qA1Fx
aWCgtXREG8p2MHvVVlmiPA2VMBK+d+B4/sLj9DICxsCd2Toho4RRd0wDFBDyJQchxG1it5tTtSjl
LXlkxPnphwTk97crzyBR+eS0ACo6jHCShUxojU0oHqrdJHJVhezanAkTX+csjAiU6aIw5L7IXtZt
mi9u5X58c94nzo7eUM4W1XkfLEnS24ZSzMl5ZEOWI3O1RCxWXanpjeFf34Xxm8Br7eCZa//5Y5Dr
oAmfRul2tlk7mqrFgHLlmIEZ/qpKxyHqS8nyDo+0tAp1M9N3Aj39/h5Ozp8JmQtsgyj4AoDBTf/d
Sda9ZmFI7GlzQ8vSm8OZppQgbmwyZPo8OPyIe/5OSU+RIH2sK2h1M+dqD4HIvgNV1eam2Sw99QAP
PX5MZ05XbU5B2+6ppgFeDPhtmEK5UJ6wZCIQrJC5Prw9Z62p0C2MUn+UscCiB47bsGbwnctAK+Uu
DnQhmwKbacI9T5zviedWb80iB4do37XkknS95LWmOKpvfVG6kcDJNeNpqqEAk4AYy2H5107jVPSs
k3G9LiF82mTSL6DqBm0gGdu/cfhmw6WSL/k92gCcia7Z6otJTgFqx93Cfg9rtR42OcTfVgqbxww2
PfHseyxoGJsxMck2pn/DQzmtKPuaz+31QqnixU5HDib+ATAADh7i/OGiRZtkrqo8UABdXAVTLf7P
2WFCGd2PqYShM3Fea441PZzW3F2/kA2X3CR6038LfXFdRwdJGKfMQ4kvW4v6dEAFEbluITdowQpD
fI4E73XoVvo6ZYzRiEIuCqX6qHrxEzrkvu2PIUgAQoVSK9zqrv5dlQSLLukvdeJGC386klGS93gm
jInpUCwQlCaYzAdDy+OfBzHh+C9cA4WjIliP3HqTdG1b1DM4zBRjXyEPtsu7m/EBS0cOUC/duF8r
jL/M+dr877S/MZKr/mY5ZySziqHY1fNsnWoc1azD3y10QwmCEBkL3RDXsgVqlgCOoKQqaOX9wFaM
s/QtGxVgB2xtJixoMlea1dGfpZXOhmPO9pr6ddpOoji2Koigmq7YEIKd6jiLMRHoYODm6/j0bvy8
lnntMf/+FzdhxveTXOnLyqeVv3W70r2DIZYP2UVBqPFl7mkFgffGlzC9uXUatXbKi4jTrlRoN9dH
c8dtSueIGMPq2VHB+1CyLxllPMTniEy/LTeHY2UxkJUXj6P85Wcq8yP+IUkUkFpQgDKEoPmGgMvc
AR2fcr2W94R8H40YXjmSI2LRy/8aoT834GW0fkpYCtan0tPHoGiQ13fhk4SrFvBKXfzSJfg4O9+D
7qxeS+w15nxysh/0bWBsThJ5fONxMTdEqVZFRRyepz2WfmNav4IPC5u83CGuOMZNRmMG1xkMJxhv
QpZW7PtbKDpx7blAwJ3VvaQ5+7SAJ/L2i0k7j5AS31fRAW1pTIt27ThckBhjApgBvy7QFbyjr6X2
1ZoIBc5Um0z2M25YFPhSUg2QBguqx8BRAquURbFASmRMapsmZG9SY7BV5OX9OUXN1dWOaATJpB4L
jNcGj44TpGQXNF2DxfkrTyVBMkS4EO/4WesHiz9ONLh2Q+nElpFwhhA5SUSGbnKXlP/cq/024V5s
oh4Rt7P+4EAfWrcmkyjXwG7Cy4uWN+QAvWLa3tOR9qGhKOdziSmvHpbtBB0wYI4+yhTPxIcKxoXQ
KIGhUQ8O+ckUrHm08qi63uicTHGiqiX9Eke1iiaKSrf1YG0Fjj37PpPGgL1juTs+DwsWxUnQAoW8
VZ9DBP4NFKJfWYvzqBVBM7Z+FAD+QrmX52ZC+Dm2d75FdvdCpa0a1w5AwsPqUmWaPEZb+uX+Y4uw
GkasummAF84IiKIUVVfeDdC1DjCIQPgoy5yURRBzqUrKacGADY6CgrplJZMwGU0A5m9qhOifaZTG
+M4xbX/rDk6FmNP9FXyb6BAJFrRONRGoO5eA5SG0gJf1UVf91lXmOueq/y3tBdWaD959ox2BfjDY
e/y5BIlEA7+s8vChVHAzXJSsqmQ6nXnhOVWK3XAYr7G7oUnGSYXzclRkLgakkxO25XYnDe3tZrMK
WDGkLp7YnyhRYHyKLxgQ5BLLlnnPMEZJQG9g5mhDYN/5Vpheo+t2BIZ/tqzbeon1wbdl9vFAkE/4
Sjbdse+iRzDQ6rlJgjtvxkuRouLEEkJtgCrMm+PR7KSuFbuTmDK+nJSDhab8pkhIqIlH2oQQMBxA
+fDkWNcxyvFbodEBQS9HTOBbWLE0jPiyTKjnESUhfOtPxf88DRxkNjEgmuRKQ3enO0l27gw98ThV
2yztyBkn/HMQUbW2c8Xo+MovMhl0UHcUkEr9qjn51gqgHgMe1wmiLhom8mXyQv8tpSREieqPZB3O
N5b+asHyzA9boiqkIzxehlkBQmVitoBbYzA8wl7G1XSMEBGorUjBRjWPOyHYywXc0136p+6hga5A
r7hDafUf01VjAXtAri3MIfGYK6Kl809/XjKNVZsleavgkyRTeQ/clCxqjzSdtQ7totHSDD9whq9l
qnaBTeinqDj+moUZVFJ5MFspeKUm0xGhSYL8KZczKrx1EwT77Y7nHzFmyrvrxLmXmUB/4ArwOoPh
ufTc3uV/s06IS9aUxIo1kgcXLidEn9XDE5IZDOQD9EkC8i8gFynlCL5iB7kTZywJvn4LY6k9LYnY
uZzIBV4wlGCynbjTGJCwR795+EQJ/34f1cPXhF+mxzNL75xRudbHJlx5FQWxz8QCJhMTd0q6bxJw
VZ/3xpmZhEAXbyen5SksOv27uwSi7/zRwk7R0+WR0rP0MnQp7sTZMb2cOte7jBF4k4qrhqt+og8/
oDlMNgHRjVv7ziJgZxacQcyI/KwRxd3su8wGwGNXaMLf+btMpwVCRHoHtBQQdUO7VgVp7C9eC2j8
Z8/lXOjrKm7NNRht/sfLnjqkGDFCu/QTbqA+BPMGRw7rmYYo87Z+UqKpUa5HBaXIERBRlOpsYElG
MQkN8dLcqavpgEg9GqOENHPRwVpjm7Wx7Vgbh4RIku/IuZiVVrVhrZOxAJxZfg+IM4krnQb0pdft
FUeHQWuk9OUH1a4dXSxp7xD4DDxwholZNH3NmqwJDZIAzK5h4XYQ50tUzPR6HanWrLzOW4Ze99UI
v+yS4SLvEafwQhb4Z6s1gtJ+xC8aa7Szh8/JhqSiygT8KzauSuNJNmcgyMsGJPLufRXvtt0ra3qs
v1mzAUf7sUt3bdutM9gz+DlwARsy4UAbclQO0+GQ6eeONrrUw67bTzEl990ZhvgXCQHlFvLxUeje
HYZXNx/oHD7U6rTfLcgYJTgZ4YhDNKyHRSXEJmRzvqOgVwBgP1ae5xbuAAFZAON22wB+4InjKLMP
BQvcf6/PlSd1xg3wvfjA/rUMVVsALq4nIPAxdEYJl1wRAhZKLqkrI77zzySjy0EyrvRxqTJ+B5UU
IqIZ77hrDYryeZlV9BFSP6YCydu8enMNm0HhdtL4hxMxlJNlOd3KmtBbptlzbNyP4lZNBp3tX5Kf
2PYvOfgTmUGGQNRd0hbOxCeLQ/nOcLXl2fAQO4ntdOCXLL0OEeCgAFo5Ljn/fW727zW0ImBfhM+s
BmDEWdpoLOyScZbVlWs/X1kykZ909Rsi3DCXRZobXpgJAVp1/NIGiSqldfkonCCq86wiyghlLdl7
AK4YjlGsxoFyJkyTRYSWjl2q00q6tHidmKkRa2wuD+/9CZGu4xQkPVb651e/OlYObwodCgdoB29R
SBlEIBGp0tzj5GdOb1+o0nHKu46pQ6C8RApI03G9HOm6ZNYRMW71iQPmVnDblYXC1XI0gEyWdXrP
qvuFG3HY2Yc+jxR4VutBz6Ce+mK5hhuLubtG5R5MJTcZP82FDy//8808uSOlNcOethr9nSv0czdJ
1s86va87Ts4xiqPF1yPlRTWboKe3tR3sCg6i+T2LA7bjLU5KwSIZ/yYGbbt7CSVEp8OL0mUbXCb6
NN3zMMOgoNLqOAGDRoBiv11eEdKw+Tk/tEoH1OUQsGwQIb0bl4AeSJzXouiZrZYX/65m8Ijg3VxJ
yzqTTr1O0slKZGJh8J6dT32pZV7Yv8h2qShoHagiNJIu3PL0qnfCAfoZ+lSZrZaVZIl7QGFmVv8R
DL80OU6ZJGZfcvf8R+dWyia1mwmBXR0bJNICISz0DEu0YuetPanZA1ZdF5zEJOJr+Pdnxl7xU/y2
pQJAjHWFQAykecJ2ww+foL0gDkLghtfohgyz1oG4WvvqH9AizOq8BJmplIwN10DJ9mgLdQdDMiUH
J9J0/R8955ORnaQfWERcTDSgsdogHyPfl9HKryG7uCZU43WAOhhdJIHnjERXRALW8ewN0inHJobX
Tc3tVupG+EMWR1GFnrCW7cyrGTus3+fxMYw+XT8EaytpL6UDBeWhx+TTxbAdjfAqbmuWMjsyrl0O
7wDfsknC3qQA891F5lnnWv3bp44DA6J+7yyW3S3vFWZ9EWh0HG91es/R6S/Xr6BAfzqaknUImcH1
Nw+tOjl99Hk+EcJTGP/2HzsWTt57V33mCp8yMibZGG6PAqVY2whAKl19EKplo9Lm6wtxqPzaHAo1
7LsJHBa/zAShjm5plBJkabrnGNzg6gjoQxsYp6mmdsTUajfGCMKtD64wGni/tEEosY3iXFccUiTF
zQlx7U3ZLZcjYArbKhYFaf9ElpYqqjCNG2UkjEfXqcqQoOA1IpEBnBYy7hd3vltPBwuCNkLaA412
fHR/UQ8uB7DwUMlbE1VVF6/znQrm4O8BEelNv1CfjTDFHxhUbdBf/ZssijT+PbpFKFDihas0ZZGe
lnjFVSRaVG3yzzmJ0XngFtpWgAE7BuuCU5nMJ73DQQMybofBO4SnZADgWHIJhfprV22MnSr8TgWz
Et1BizXVBbx0/l1MUCVFSxeI9As9zd8H4a93SnbziiXyHh3IQVo0M+7ZL7cRy/eQ3MlW1GNOvkCd
GcO830kduaU62h8VWGVUrAgEDOP+ki0obRaTngl9NklLmgh6Ume7iNHc3SxyYmIPG0gcRL1hynPc
HzyYFkE6RHwQGVOGTgnlnVYOLHdYbHK7stMF5SAqn0ppH5AN/BSuwVAv8a7ISEwJMkgJ9RMYcTAP
+ahEUiLX4TyZJ7WWKHxSyVJck36SY1Lz9xNV13ZcYGGSHkh3hwWIZ8oRcYux/UusVj7bJr/lA9TX
XN8a7a/ssxvSuI8mCSJ5nzk3yQBr5dSUx2K+ASLkVcXculWx2aGte58Vt7a5b11H/juL/U539tNR
BzsvKbbWmZP+BT28KYvT0jmHKqEtW/S8XD8Z7/jUmrLC/C1MightZU6EhvM7SugBxiFBbq9YyupI
MI306diL35Q+D4Co4ZanUl53v1AAamKlAc0ztEnJyRit3oEXF/c8F0b84r3AWJ6PkVSBvj8f5e7s
yIJOjp6hb6Zi+jSSBZ7bF7ka1RVnia7IGzmKEI9Zh7HRgDmkVfNqeVb79fsatNTCTrJiPU3ZzV56
RhWAWUeeF/T6ysBfNd1eANaEM6O2AKhZy+ZLPVEqBWhmZiuUWQFmVPfwYQ3UB4UABoJ2EMwWUBvT
kUAehk/QR9S/HFj8vVkrkf/tW/cjCR9tw8nXZWNbNJWSn39qrktt2IgcTLK441HykafzZyHlAOCe
8G2jBsFfkZC78eg2W+MypKDBkmAPDEzNq7OMyftpr1NfzTpH6TLrwQ7lipsgLeogUix05fFGP1B0
og6RPML4GboXBVdMCe5pxjWkFBCgVqVJWKNpZUQcyGBdftyqLRmoLBumjnRVhZ8OFmyYRdtaJ7hS
B/+3OOXTEKpsXWZJ9vmmUb40BwJgeVsGLKs1yUTGWzSrYh9FifI3XbmuAZkpH31v7irtKqEZbOpq
Bo/7lu0dUXXcz1TxlMlb2qTHX9r3eG+m7MmD95J11v4khMtQJgFvWrAVwWDhx6jkg7UKwZ2k4id0
Uo40ms9bgDS0i6krxlUoTJl8tTL8azegZEfdwsJ4oyIJ4PCnPnRjOf6eYcCX+aGVSdYx3W+1VurN
ctFioGfq0HTXhe8xyj4HTfwiL1JBITeqa8zTMH3qK/e8tx98ml1DiHonCza7e26rmt7gn2D7obRE
uKuzJhEfCxNQBP36fBsHUmMxa4wA47B5o9pNo+ePyqTIKp0vzZlTVWAe7ejcINZ1cv/UutQam1GS
/5i7/Caidh2h3BmdE1fxkRQsuwu8TOMa3/vSEjECtkNrxMbsinGAYaRihYKdlFO7wjVeH8bG1zYT
eYaW1m+xJbxenUeIaG8GDxnR6udZL90g+KdH4WPlIozcsNAGbq5atnbJoKr1q99KLPfaSn3Fhu4D
u1PXIUCcIdHb/RecUlkhAZOfGyG/6DX1jr0no9KBgukr3JsYfjMSj8gUPXyz5SMZUvhND3yug1IW
FJCYDj+vkRWYRjDisgcAieutBIDPHSbBPKq5lXJZXtljTQNfU4yIbVxiEeDwmDpSBLM5QpSOudrp
GS3/xWcdMfx1d9OEXw5nzSI+6lSjDyViBbEjQD6qZhm0dQszdfT4n2Xsn6nclcBgTY0UHNRP5R4o
FXlkM9ZhlcblO9MpYAeXvEVYeOkzJmbKwnQPH5flIMrxQyfsp7QqtMs61IhNTi2xv6vQCavWDiy7
2TXzYpdlV5lkqWzFEGPgqArd/K5fSvrmpswZgHbj94V/5+gM30Mc7boQ/bfbOTThT71u04DGEc1z
B1s21FqOUiyAPsNR6CeDQCu4E1EVGAma110S2JzNKrbLB0iFUT7TPv9kgpZ6x1mh7GQOyjHybvGE
p/9eN81l0C4BtsSjx3MfwIt01TOkAOFf8EpNF0EAyQ4sY69MAQBwUwck+0KZTrdQQtym8KydDvW/
+/uJ99dYyZh8K68rrl4eo5oKlf5HMcCTgxr66t1+jfemgnNi3IbmjScooC+wr+zehVYcCfZbp1qw
FahrxPGPnleKJrc1X3jN33gW9Twc7wQcNoHjFb+DnfY/NUFCcSmOoIkO65pRiGYwSbzjfD5zeMyt
NwUEBzMNVVrdCpdnKstkIl8NvP77/rX6XwD6ltCeDrWbFN9RQuzzPusRCqV1RZO1mFBw8xK50ORj
6iiuwr08sXaFSAHJfvNjvGdJzWSV7NMXcafXzas4kgId2n9As7YwjzXB4t4v/qUUcMmWOIQPG531
OWOVGu9OAqwhNYjwwM3Fj49KQwIQRpVkXZurmhRH8ROi4XFago3ZKmgzNtc72Ja65dlAKgj9BPsB
Xzv4eboN3X4Cd2LCBbEQjI43t+0JISpQ5co62NYaUKYIu8epqn7kSKMS66xzpI9T2U6nC4ViYyL1
NKHo2AnyTxZn//pXac0rTdfwv5qoiE8rG95EsMIXbnT1mzvfZrKR7SZPjMvuQgedTezhtKlbafx2
fbwaI7xWsLPACv4bR3mUIwkc12DYT5NOCZvYqifD1Cfx+ik7PIkGbWKHk+pUfO6o2hYgBNMV5J2y
n9Hf/HKi3FsfaRxUG265qWdDMPj+FVbIfEeGpzHeyjrXaoC4se3x2SYfrxqUVsuJJsJL4nydk2Md
rOFESGjFqKXHryjfQgGm9n3j2XWXP+NzMDG1D1vuC1I3czZ77V5huTa987BT0ENST1e7JRwMF+Ef
AEnW58KDXwVeclJAyB3TSJAaKEfQzkbwsIKmQuqOuBV88MgAaIywczjLDwVEWkHsfKUtBBXfwP3p
HmGGX5tAVVDn5d9Lj3j3IryNU5Eanb5XmB49IXBJekAfEIIegTtMVhS2muDv2T1Zet9Vu9aFbKpZ
Mguq1CmKsaGWH4IRJBv3XWy2iDLyJoBKVXancenIckAxLPGvIFytIh+AcKEC6yJxFYK/z7JIRkDE
2hZE1Yrgw+AhGdEI6Tp36rRkCSiZYs2lipj1X4VHbXeyfV7HFA5yoke0uMqEO3ToZhYq0iQmBmSZ
gTMAAbgJH1eohPCxjGV+poYaD4pEFVgus+w+gyaeiJoEUEWcEnpIZD46qcXpRGnhi445m4q6jvYa
k/Ofqqvyb/he4ouXKJGZvI3cqUEDlBsjkhOsLuHo2iVE4mzjlFp0ihte+qM6h4jw7+KauSKCm2bM
YE/PEq4TnhlllsTAMmZYcuT5n5ykEux6fzu2GRvyoxGZeyU3jE2V4m13mNAvM1Y6M34eirpPG4aZ
2gNgHH9qMDdCURQ+nYuSK/vRqaJkvFX0FE3v8fu0B2qYtWqOvKTz3L8ZDO6DRw/LSXNnwDu3NiIN
KP2ybe/6JLirB6Jv83PnLmq0DVlDfYxqqUsy0UtJed/aelaUd1lHn0DkohxO3vqC9WUZPpAxVLS1
HcQvdtsptc7Eej8Rk/eGN1L1qwenw3Uoju5C68z/I0MNL2vBJKGV+OBqgFApDH5EpblADYm2eZVK
qOu/1LVHXkkw83h9ISkK0ysqDqUBMe4yPTVmRRzX/6RX3pUYcSkfWsaV6/VALAUpQ9MI5cIwPX5I
oyWl4xYUwHxZx6kTpiwDER2BRq+cy8OcLjPO0ONf3Ewf4Z4d090ckZWsC9wI7jrdr4yMxpoda5ui
E156zgijojgmy+xSaehUzMCn7TNti5aj+sfINgnb9sa5jKLIfHUgCdqTYkiZaJuh9NL4f2hoArvQ
KZ4V7Ao0JFLTOI7nAUKs2qQ2lpig4Otsa+HmD+RDdZPKXDRgt2B3NSQHe18IZRTLXrN5V4UR3iqV
BDjsuC8BdqEUqbSfqe7q/03qMYiBVV3vXGgQDE2TDX+TrTQ9fH956HjwtysYo4x1hBLusWXtpGrX
5kdu6w35dAi0g3qSgatj2PIcM6j12tkTzhsvi96rq0juOKRHwHn6VvnDatpm7uZVfM+abf9gokQC
1DGPoibayzIdFz6oRadpzAIrdr/abBShEOWlOB1PBUnWImnewhFv5/lKTfbqwAi+EAGChmuUvKBf
sOOCxd80BORCpUnAc8Qmj95ZGPCnei/nldCmlXk5HQRwQzspeP0gTSi2oo2l94yiwQ8Irbw/Jpzr
5sPdF7YWgcSekrHL1FistkkxXHodSsGmd3K1qXsovitT47F7Wm/nrdmnC4mxkYAcCLquCpyrX7nG
V+JLQzrCrftU6JHa5ZCnHUAwaviruVmxmqtLN/jXtCZ2OmeqW4yvoaY51tXxJn59ooRkwzF+9VtO
dUC+Jhmc6hMVAxH2Gsj0feF6XRHA99jJEovOxH0V4bB67FCcbE+jRdVjKu8fTMmdXZC7HKxuguPr
rw4otct8N8ZNstIXWptcclCmSEgGyUGPXWflP5FRWcl+TaNwufOwJjE4YNiycX2HhpIbKkG2vnY7
hJ/m2XE1q/lBpJOwS/9uzjokBWS8JFU8dIThc9Tj+R2gXGRnPWWOyO3vGb+kTzupbNzWki6to/4o
YUFITjm0ScBoWlFRo/bZ1dzeYarEbEv9dMd31ltk23MCsR3rUyIDsxbhLGKyxdeyu6G9l0E71Rk8
wIGHK11rsau0uQwCVkiRYazSv2Sk03oSICcNH96VeFMN1BQecPnthSB3QMwYS8EJP1aCdKEree8e
7OQkMOFSanqExkNLDNtZubLJ97RiLYFns1YzG6yFBC9m5NMPlkXwJk3fyT80mNGZ70bas7ezTXpW
+2UPPIeD3dCHfnpH933kdNB50rYeS+dXTaxDCJFwuLCxsxrmeD52PJiZuZB/V/WKt7Xz1ndRpC87
fqkv8eYvkxDdbcFin9rSSxnDpG9FLxcawApqj2qfPRALNs3ooHP5PGbNVTlWTZM17OH9bfd9yKPX
mA0Y4wQhekJMQv+hTOf6LtgI1c4iTd+CcCKptRa4fVKm9AdMjzVkLYQ3qH1r+BNjh46x6kJhZBml
YJYZfTEzK0zXAxwcIjKiBocsTQnabZdiCgHJG2p+1+OeW0ckFTWRFZfhDhC2LZoxm2v1/S8K8YB0
f00pzVXHFwD/TMKvGTDwlvNzYcSVxad6S88EIohnq13HYQSptSgKbKpuFvt6yNWqdcQYroLa+KSS
LUKonGbTFL3/7H3jAMACU+Pb7ZaD3H/m54bbwRpX8alvnTEzwmp0tNEWP5iaREIYvEdiCU2Qn4yr
54pcYBbTeFI6UYHg6h+roxdjx0uYSXIGRmnZ1mu69ZLRsJh9RpOlAqQsCP1q62iklfXNL17YHRhv
M1jqg6Mx/7W+iu7O4bUb3sSYGrAmm1kMoPm1Qhqzcx9Fc5PN9MIe4xzHBpAxZ7KhkArJxSgvHsmy
7NE6MG8jQSJkWWxuB4Bm5zI+h3/jUG4YnKiMghluvZ5sYuceX0pNbQHMDl73roold7zloYedK979
Kl8OxXF/pRHLC/D89gFIfQktF+Kd4F/M2qbm+gyPvofetYl/3LgUF3a44ASB71i5mcC7czdtkpeV
P1O81Cjt24AD7umLzkX2YzblCQpvegOgbuoOtYSigu9jrJwlNw50AjbecT3d5X34Dk1Sm9zn3tOo
JcLUmuCanWtT93lQK6ZmMTqNBJrx/mXbnQGCpU2RPV4c4CmTIydEtfVUf8hLgVh9K2Sbg1If8Em3
JRaQ2PFL3zuQRKzhLU0E/ztPnwdXPv8yLV6ukI+nrBi3sQ1X1ApZkNYe6Ayz4HeNA4fqISsTyrN4
T8jY70BORuhOKFEu0Oo92lneoj14BLCHAJ6aFqMlUyiuQPKoVGD9TVKt13D31p7a/QbpTs5mUb99
rsQXc+vW8kvynjaowICi+4nHs4DtPPudVDp0mgK8VsVmr/nMceSyS3sQuzWEqSDEEkVGccv62Fdy
IKj0R1rynmr+gEmunWk/pTejzIhfVtmZ5MFKL3UqTbkOCqjikoVueZNL6OvDia1UtsS5SaoFJMCU
yLPs4Bk+wC+qoBOyc+aSr710sNLMa30Xv2j4D0YJm8E0uokOnTJ3S1TwyHwkmu9LdHGbVcBKiplQ
iGBfgP5Zb4Qq/h9afwhlLzEpaBzizY7UzvGijotwOXj1yaQp0zjU+ckIxKFFb7vl//GT+Ai45gKE
2LOBEKZ3InNIcssEcKniJLTLY3g0icAQGkrXLipCUTkUbsQI4Q7PaoRxmlWYiZFZNqdQU5xfck0m
2oKIQT7pmrRPErCOm2RJUVa9K0tdJulycOWmrzzxqRgpt9x5CveAVrws7yvL4wx5awrPCi772Yr4
hXi24Yczm5G/rWCuslrMdxvidN1DTLZnKccANl+ys1JuGceUSbRg/Hc+W5YLbKJFWV2W71BlbTEo
6y08RcM4y51Q6sZJgr7wc3T2uM28kcdiID+nLgKx7AB3q6f1YZv2iX7CZta/X6247joUpjLAVuds
kikPyeAwp8UQSo9AwIRZ475C38hnmnK6fiftSz5UQBPfj2jWfM//JF8WhTIlXkIBQJmPWJLMjV63
lzY4cP5uHbiWG46gbvTn3A7PELjcfUt+cSZtUpk4jTrvyJBH//tNxVVbYvwLS6em/5ldl32dBwpW
4+AbjW1yM0bvqYgBTOPLUzkYDI7xj8lhRhRD2qNRxYB+ed5otokEPCObY0C11F7gQv+VIz1WQ0dT
JVL9kw0DE1qWkVcuzOIrilVU7k1USZhf7nlj8asRn/iftj8GxjmwfLMl4WySt75EF+SpmhLR+xX0
JHtu4g4ip+H5ScbZuem7zmMhEH0ZAwFZicsHHC8zF4a1mZNM419ljK/JCfn/KjG7BqbqyCbS7m91
g0eOvdxOQ6U6ZpA5/NDY9Bry8NG2kkTPbccMyqD/tygsTSSLC4ZIHBLWaYB+FaloKltgh1JIyJz7
DOXnGxAKRwJA6BHHwqE17SXjqIlcQIrGYVB9RBfoTRQj0mhkD3F59NgB66qdMgjNlTJg2HIhYTYV
jhD4z/4lH4pZKDI/LpDx/K6aW1GNkfVcJTFCIuCjqlMdIvNpUDEiBW/ZKMMqoQJcWOomw7dAjPvb
EvKNtYkmqFOaQniMYpvep8sZE/eaKmFUl0hWPdpb0XtpvJbTdD3FuHH8bvWkVMNM7EFH3uRQnK18
PlAVrx4jZQDI1pnF8iCx8v4/SwYMyDNrBEAJps54XHfAprlpzzTzfymX+o4JrsrjtQHX+0VyrSQH
4rVacAQzjAPxuZdijuRba6sks5mTuC2ycyfijfglW9BER8YD5EEJR6jPDT+iScfjs4+vpivOYDtD
X+36myr2MQN+v9iDfKkTjAgabTDxG+Jpe6pm4mBUPcFzJCvGmtvzoHCgN1sQJJMcNdnam1CKHQaS
qjWusLCRqrXPU2qABGCGTcAiR6NiIBTbSU7O5uOPfTHpdn5/Db7f61Xzg2fENUe0FZ0E1VlvAeb3
yjOYfQW1MhlY3pVW8xvQ0oRlECWNyir+HLS7Syl2BfB4h0xluW7dDRDXIDIb8U5uZ1rcPvBPB/H8
Hs4MBKFGix5B92iifgKy3/K2k1Q6MqLLHc/TDi8ldz8yoSS4G+vPhup36ysocZVSb8sT8bPYuRva
pAByKHJLXZzI0h6iAE7cXbPSzhLtrMJNY+LUwe6/TlUV+hFRCzTSy3t0EOMKuke8D/Hg6ew6gBjA
i7/xECJ5ClO+1Lcu6GXEUlYRlN8qrFFO50AWR9yfgqWu/9nfDoyPigGkJs7qYTsWXaReE2lKYxxG
qu+56kr5BZuVq66YN0fBVLFGoKwkaL1EoleCY9D3+tBQnJa/6uVJwM98s66P5XOMltSljCU4jF33
s2C0YaCqQH33cAfIiODlq9dmvDZ9qukVGgRqEnx5HL5b0ykgxgvBjCdEvQsvpBssiprk3zdvqzFF
8temadFTRQEKBZZvL96wrHTCcAFUMHtr0rZW3k828TZ0vtklKDleHauWk0VDtipb3CYfPRLUBGo1
8UydKLP94Uq9u4NdM0r448IcE/tgICNSx7HZpxY+zy6cXy3Z+kz/HqCmvfOWfzQglAymwMIRCTY4
T/2pb3geOtojgts8C+dsyHKI08NaPkoG23Z8BWtdnM20g6D8foHnNVhXhjKz4Imd29EFngQYeGjg
NyUVw+ZB/wKDqO+pCnGfqynprfpPaTDUcssuZ97sOTdKIvlubs1DAuehxrurhkg2v7qp68dBpdMn
OcIEG1eF5Pz+9jwj09ir0BC9UGCu16uL8TJ+mgrROCBKWYyhWWTFfi5KIsJUk9gEahxme+dSD5A6
8hWyPFjAokNPqjtATV/OvEqO2f3GPe68X98L4jZykJObIXiZRDhPz+PRzBj8mVtVb4Tm2pNb94MN
yyRamxYw6BzGyKDeFRZ6GfnDWQhqU8A6gPY1GQVubNa1NLesBVNGUKZxXQay1hJ2TYVuemPhQiZR
AtPLlV3CDdId7XCTdxj7PBD4eiz94lU87N7FHDpA0+u5V5wrUY6ZYuYKeWquHdZJdRIi0UGvBz4v
dia9V4LEjWpfklO1k2bZm6bmkuqHVTqDHhsOEPz2vMN/DSEGsX6pl5I6Vl4WuUOO2JCWVAnrpyNe
JMfrYtKHO5Sk6N3wqQeY7B09yMPC2WOglxhYKo5gUonXx37kbVvUUuyEfPP8O5d/JprtvpKbXEiJ
FBtJcnw4ya13KVBp7s1ZpRwZGEg5XSazngrTKcUiqDArx0RIRfnq4OJxOsYL/w3XVCCMJD5t5MwU
hPZxQUGSSB/+njeSc2vgmul2XUSbRwDU8jzyfD1QkBO3YpFnoLVaPFqeByoUldBRTz2U09D9QHqp
gEmyd2ShCjZ/UQJG8g/OZuLD6oNoOVUaUhgjhglPhOLS+zFJjzAM2L73NlqaXrKN4rxqfUEPh2Tj
+pQoFE77X2jPjI6/6hgWwh+lOgLCzJMoYD0wdauq+nigiphoNMQro36hne9M2a8ZKvbwD1GEdi3W
PzA2STgNeHvh6gPyX6obHYZW/h2vfQSTpmOn95Q4uS4TqJpeZ3YTRU5OIqzCSleMfJVuzbc8/rI7
+yTUaeUQ8YQUmCKVHbwT2iriPDzhADG+BMWnJ550H7ioe8lLaZLL5zx4CmlYLy5OY1rhHxkjw30F
nPf7QCscHjTgjK+BBJJdXPiLXKi6oUzO4tUnUx2np3O1cYmDKMvsYjMkTJ8n/T41nopfnNB0Frpm
bj7X5VItkVYuebCiKWctFFzy6MGPScyGrxGsC7YuO/acEw+f9/VGyBSNZDDI0zwZuf0bwohWWCil
6hdCzByOJMHyxPqzcGojcT4bFTdzSByjp8YYsJBtFXnw/S05/XzvILRFS2gr7FeCDdKzfcZwb0Bc
WBhW302IsvQdRiMXfnv9azuxH6jiYG5kFC2D7I/RCRmks+h0PyMwWD+U94+YAtK1zKxYx9mGbRdO
pT9+PSdOL1SBTwjF4aEPF4kYw/ZXtL3c9bsoUg2a/Q+TXxJO2NcEMo8S40lRNsYug/EolxQBlrhf
p+9M+66qKsWosBGEBVHbuQdoPP5KTi7YddUGXEj5dUV/XY6lnDC7+rxkfmdJ72JrFFAdLPbWCLgF
2dqRFbKL4QVDJdEUrHs9uc3V/K/MVJqJbd44+G/X+yKrpnQ7kTfypGqJdpBI5TqD1giQlK6mb110
bty0ebJYdz8PLVzwv0vKyzjJVDsn9C4SbxQhcJ3XXbpbWYv0+9DhantmMF20dgDzj+RfXb4ekZ5n
K5ODt0hHyrh6iX0K1wkcfxJ47bNtBvsq6WL55Ve/Z9XRRQMXyNvZfyYpZibjJXTbCbA/VYt0APqq
gJaLJEq7JU1JveIAwm/C9wfJQIvlfGrlgJQnmvlpdEZhwbV6YSXKl/+0Sucht8pc7xpmB6LSXjsr
LMkKirNzmnpZXQBetIuT2nHvAcy0VM6pFTf2nOuPzQy5VAZIM2AX2CxfG4gV/leHsajoiMIdRlk0
VkOHlMXVxIzbw/47pMGvanNoFAsFvzk2yEfL9RHofi/kYrssnadjm0m45qoT7qsMjBaP/IBXL61V
sXb1gRW2gZRimvGjc3M5CN/QLbFAq/fqrV1uBQtGNrkS+hvbU1WEEx6/StrwjUAq6Ks212Bs57fs
qlWXVExwDITIYTuzeEL7fxdfeUlim4ULzB1DY8UGBSLS4wZEWy4XCs5iZ6yWMNydqjfqCXNclAHM
zbwGW66kqy9Z3B5xxJGx7Wq4AION4C0UefgTzFL5abpIHc0Sb0ga7xm/e2QlMZtx6221ADru8eN/
Tuo5yzC01tMs2byYfWdmGuLtaTJ9ihxcE6889epMOCB5Q8i3BjE87dx689aqH9OlF9HPwRZf2bQq
z7fv629zqAIcXu9N/wVrTiQzxhdqmXDdEk6zXbIWQrw8yzMsA+5T2/q/u3v9X53piTZ30+8qL4sG
j3+XqKcUT8CQ0j3NoYeX2PiSS0qpqs/Y/C4hx5ukmyIWrxHC4biq6nD+skZC/zet03IEu8KOETHJ
aZo5g2gLEw/ZTzXobO383aKOwNiY+2Yl1kH4D9FdJXHByA6L/curow/90DiSXyJgR2v7U3HTYOG/
8yoiv7VA0jKxJ4KsnacppxiYOgZ3PL9rcbldeJ0VlyF0hVHCWclP5/h13A+AB6aG93DMF8dFoaht
GbphnZYzPh16LtY7z/CiI35vHaZJ/sBrNWqtImLYSjVGWsKnScoGRSGXCvUPFP1ctD9CGrsiG4aN
nEGgAsyGMcRhK5jFM1jyyYnKpip91AxbnfxVRgw/Aq2KdIMJe9nFHJBuX0M8l/vjT8TF0cvnihJO
xK4zJ8OkClBZSA1RkBpjjZ9zKuFfqCpaqtbtOObOR+0aRZwTqLDz5mMUYbAhzJYnabSHXXovmRqd
UTTXl40vAIXv0g+rCNroMk+cm4cYMyOV26OA4M7Ifw8OZ6H1eZdka9XHSpU8hmbVM9mLVMUOTpIp
63oGHffrZ11wSWq6KyfjOfAJKadvsmj/V/8FUybHjQYwqeao8mmtrk/sTRVghrUfZE09YNCXji8s
5nx5AylYEonvC6N/sAYkPHJglzrvIe00tRyNToiaReh0cdhpwv91lAJjkYaobKIp7WtzAQ3VCO2o
qtXI8knnijsdz2Y3synL8EEvnGgXMmLTPkKMudNcBDKay36VmRz40o+vRn9p6tAtEZ/Or6ilNIMl
teEtQgjE2fbpIQBuZxdgk3jU/b3ZkT//9p/0+ydngr5HE9VfHbqQhd6P4MJF/nSIp77U47uhRv42
d7gOZuKmXaF/l59sjN55xEJeq7OXF0Tt+UX325KUlAhAiWTPn4ydGh0p0VXcvYw3cRne//Oi2FeT
I8nXmA20i5C/r1uA1qy5SrTAK5JdYiAaizy25+ig6GImKNA1nWqBNcq8D/1cpUYlSMdz17RvgPQr
VRv/MYb25LWZyIM3EAN9mqBYI6Y09yCIfsvPWQl4kU0mtmSyRt/SaTLQf9KVV5oY8+nua10sP6yL
yjkpP/EMcCH0AbqiN2lxSLiE4PDeJfOP/FyQm39yXayhiGLbTqUEb76xnlciROJowzHxhe/EsdEd
VEt39HqlM+1u4pq1L4wD94jGiIZ2H+x3xRAikmOUP1mAOt74ORgHdLVS+EcP6LS6rXVYnnGY/9tE
MlGDbBzDpxT/Kh3u/o8PJB4xiqqjBP5HpoE4sjLC3WavmA0S2Wuf5/UbbjOsTviVBy8rGHevP3mi
oGOuPMl7dK7SNxdeAsi5kqBefJoDWXu8u8OFSh4zgDM82ajngkfm43KjH/PCnOkpz043/bIM2xrz
grtB/DS9DKSNPzkFslmDz6j920Bl1WGK2NzDSmWxCP6V1Zdw5WcwDi4n3VDLIouhoQ9LW5Lfgkyq
Q+YbZEoyDRA/DPQ9QwehcHugb9Dp/gOZXvF0mJLHFzj6P4OG1TNqF1NdlTtNRYLB1TnqDDWrVp/V
BxCi7PMDk+d405JCof/KzMpCfxjQ8Gk41aDEXRZ1I3ILNMqXf45P4NBqTXG6WFOk6b4Xom2G5K3c
yH85kvcgJDeZQ9dHCSUhJ6GwJkpgpNrJSTJKfP1JSJte0TKjPDQ4hkcfxO2rbJ6mWWoSnYWDNi+6
i+YGHlZAvOVGXs1RQy1XPlFYWdff4DRjsFxpsPcVfLV1Tn1pefzIYWu0VeufbL3Z1fy75Si1vMVW
xVayYUIkElpcDPHILuOuwhf7nRAKZZCEO0796RhVBqlfKMdw0JU6AlSdLHbFUBYi2C+5FVbgrfQM
NuNr9890pbdnhZqRH91T8h+kbGTnJqAUZje/H3+696aN4M6tzsCXzViDZlu7Urt0FOFbjtNktksJ
+o7D/jEf10jL9BOqYfW4vtUPDpjYl3zPJgGPqx++mMhNXKFdJbbnbMtgeqgwow22qE5Yr+5kB+vc
NbdVr5JY75hfKxcMz4tLzs8M6dI52a8qLANryrYiUBrqY78mSPwxYATbAlf5xHg1lASFcL7SNin7
u5fNIe5E/dn1biqQTbRFOfHssiU9nGzKVAmJCX0u16oxu4DKr3tteCPEh5iIGKbZanslYjNNGPit
74YOZDzUc+7/ry1Zr190Xftk539lj+tz6czDWHcKvrMoO7udm8+11BKoVBIc0YBYNejKqUB26dZ1
UXb7oGRFjZoVJa+yXNkkKFFwpQtHtl76GQAk2gpgC5LoPfaQShessergF3Jlpc5K7eIovyHtkKCZ
yOUknpBhCEcSoXhK+S2fmCLqbyf84n9y9kmUu/F0XRz6TnO5JH+4MO3SBjXgkCZ8dn4pRRftlZdf
euwcAzHlijTUPtZP1Wec7ITzvSeE5inuUM7PPk5XVW7o8vFedimGqp1kbgSEVoC5DiaktS9gOv+k
zSLd18ffT2XDVvoNvOZwlAJvisA1qdszi88hSnjlETyf32yIS57M3A7C4SDG3K/48cXo+wDov0lJ
ibL9Pzmfgwc5y03d1OF/JWfd+3X9kXDc/Mh1iRuva8ECKbDcbSDwIvKSJatWPSETw7mz3cC7tKQu
xYLyJ6nBdmjPwJAXb7WLQPambBiMnniU5PAA0tcKI5qXcqVdOV0iO99czUbUsUXuDowixGfrUSAa
4tlQfvNmc3Cx68Q4+d9lkzXy6l598/zNqa4Ak1n9CppMycTVrWW/Dc48yJhZBLyeEa5s/EQo8YX9
vbaFxiiyNhaIlOND8BUiCbfBdIMVImA2gkgwFwPiM6NSYWDbe4smqXSB7V5LgwOV04h6xN//fy2G
NbI0QJhrsab52fifqoVRR4sD2cIov4VDUd3xBwhADiQfuWYm1XPyKoezea0IHa5LHE6EjDFck6v/
L8NRDjrCn2KXRTs9D+V1hsvcaSlTFyMXKn/0W5ZdQgFPfhHPr02vcNBOgi576KjTIeWiuXHRPWqw
rxmmpvG8A5z16Hpxr1l9M12uz564heO7pm1ZkM6c/FzY0sWJXJI2p7s7/AnrfVa1kBggbbxRaa+c
mVkvfZ+sa0KRrhrAL4ixTOPlEmliMOXXSddvcEuiFHjSxa3rejJrHw1+spt6t3UEi1a/qTCfwSFh
gGKps8Abt2OqXszmEbF6wyI9dM2Aj7pibUOmvrcM//5Y6QWJRvyVlXVtjxo259xll7JXO/KsvL0v
IauWX69DBW3v/phq3C4rI6Y/ZgYJFNY27VRjlZXOfZcD7Vth6ulgjaoxZvfulfb7FZA3rVdtAAwt
5MgD8+fD/HcU5py6ja37De9EVX6dFoGQvxuAbuui6pnPCZvN6cdAEhBi0gfYSDcgUsT7tcPEQExy
6qtsV9U5HK5/k4GVHLCFU6PMgTdYJpSRdMCC4USGLihEGVxBdWO2uXw7lDChjnBlEWVnYz6sk5bb
dONpvcpB3FwNVeq7QfSMRKK7q1L299cLhjqZuCoCv6jr8NEkWQlJEdp+f7VtgkqOumnkiFkx7iTH
n2OzeofR9Mv8uWQKtApZ/guI3GQ4YqDvMjGp4yiUmKrzwRpdV6a5X1gspYSTZRnwKdGHmoCa4vmp
9+L1g8b6OSKqF8RyWOuSOfy2IqwDO5foTIdM37+rB1Ej1pXSuyVWOmB/qw0gsqwnXyV5EOx8vqnN
Hvz+JP0SrXhoNXS7bycwLLVjfe8N2QNvt4xm1wPnvAsIdHVL2JpNNUbk2GknxEjQUfscMrOZ2her
smieTLPX8nhgF8PLtbTqhgjoAzH4GWhq+iaTYtJg8KIIAif+QLKXNukwTTLvJZJNjMGrdcK1ESmv
i2nXcs33RmtJ5JGpu2PRChC0wsl1IpCi9gJAQRJqlr7tMn6MmAPgZSSUFyA9oiZ/Krf705ZvB+Ev
sazTWZOQRRMnqhQYOkMxwNygJ6x2OgPyusEZf+1WoApqvpFJz8Ho7sUuBKc33WPfdXbWFQ8giHjc
wBHujF9qYJm4NZ37FNE6HC3po+VlUph6hveXrJPLTbDP9UAGXwM6t5EE79rzWSQ3FHftFWJp9BMM
8sltpIodXFWyIB0yXfHmtU7fXkAmvo7SMwYfBVz3t0UBlSXEbIe3mUqaG3FQIz/qR2FbeJXhBQND
6q6E1ALbmv4rrXfpYT6ujpkeqMQV50uS3h0nclhfrr5JnVVYrtavanw0kmW6JuN+kWHHaPuhM+vH
k3bhIgEaLSlC17i5B0PkGfe1JFKl5AkAKWJN0/TUsD8HSfMg8uyhJG6OtFpg91v596TN0CBJvYjc
T7zGfLqYSEVigiFzqPDwFnItUynFNY7ektHUJGSvz2zu/peYhBdVb5qRloemVK5DaArFHq4x/jLx
eSaQr9TAiY/dR6Pp9mxvtB83J3MJq4phmGS+feUufzyMvcWI5bons4fPeQP405nqpWYKGP0PJg1G
GDtJx7FQmbPphhACYwxIeVdc9fGqzZMeM1N5qygl/TG1sHQGdveSVQGWRx4DcLLsm6KC84QqWCtU
POVZ/5c8aI1pimdOuqp5iKfgnCYXo1Tt6N7R8TE3+qCFVE3QCo4CoYEJNddfjvzsBEQ01qMr0BjZ
78GVr+uTtp2xkdAixd2/GLCD7PmeRt4EAPEl0pul2O6ql09+Nnq5M68Wk9JQQjzZm2ADI3FJP0ay
4XWudDnIKbGnw2TzH3a375hcr+sKaWPEMah/B0RoOs11TYAFp4M/NKI+7+zKAoOz2sLu5qudTca1
UAlxFS001SPrLD73BV5qESFIGwC9nyynAkEEa+w1ImxkYu/bJ6xyb7/z8MV5UcPo3bZU6cAtmRX+
2pyNfW6DwVL4ABqEkYzZgm3S8tme8F0UZOCB3GBX3plGRK8b0tshiM8l0FjeMtfpYcr93OZRanv2
nRuc33r0yJeFGy+/7y+Mm0nkZDJOsk6PGDXOMuT3dlj3zTu8zCsKDDslPzBOiTUUWi2bVwN6lSy1
inA+bY+Yhl3EWusmCmsNVAksRcVkMDQU+m8BLG7Jumb5lE/m2rgWRWO0jAJYmKFoLAIPrPoEQlJf
/9E5ILRMo8wGEPFXZKEUXJk5P0omrdP1PQm8Fok2LZM85e3mU1s+Y7COhGGrFTYcmF0vGjyowAMY
xU7z0U4xKO8sABBkx/tkqIv8Hg0VRj8AgtAZRWjRwRCYTKQXLX/cmPC/W7X6IDQ48Em/UHmPEMax
6Z9C0/b3qkKZD3BSVqikt3E9EGr+JjLahAFKiRNauYOqy5wxAs1/MK5eiXq4bEzB+wsmHQ1cGnUc
ZvW3adJ036ovXeN5Ev13D8EWpHQxN/jsrpEpMdp8UEx0zdWBaEbbDoBgByeT3SoTP0R4csrjn7/s
kEZzOz2JVBjo45RuVb3AWVbzrS2PKU9VMUtIqyMG5dv07LdJZZZAyKGPgefapKazz1Napo2xMwvv
o1q4yFByAeO4oxNVX7xthIwRDSNIrQ2/8J5JaTABhVLn9noD32XnesB/sfvKcibZvxcWwJeNcSin
/B1SsuAYxy1yzH/gtl7WPHi6ZkBryxAACf9hI9OxBMlfs51BGvkR+3zDvA3U17ao7HISy0lOptke
zc1AZwD6NYHsCXs810JS80pNddMB8006uBFI9q1Z+kPCBZQe2uiOGxHbmKwc1C/QfgFuTva+Viha
PZtTxbJWyVJqtJYH+sulVBRiAukYn+fkqcYO/cxIBGM3Fy848EeXU20h8i/yl8GsQbfXVjT0y2mB
mfJ+7vRO08weo9BsluuoG8zLmxzRTpakDg3W5jTsl1ZQ7SjRu7kPHaeFNgjvzovzvVw6HHKQovO2
7UEbllWgTkJQ8z/C1eBX2sm2l32MdgZJ558uuE77oHKrHpr7aDMZY0Z4AWZ0bnu2Bn/pPf2Whj96
l2+fcb8lth2UIih0TOEyoxrmoTbuUfJ7GtXX3JhzC3sog8NS8lma9IvoQ175NZ5RY7EfyYEfdx43
Kgm4v+fmA+mhvZo5HKvWEqzKx+NFaBQHxFD4jwTVIKDrhKxiiZKdpBxyBOxJjB6z7LBw/EFCrnqZ
POg/xI6jK62rT9BQT9YTl6XUL0Ky4foxp175Cfe8dlVm/ETcBEK3q6tlJYE79BecAU9FRiwimf0A
bhmjRIoJa9RkRmckRHOuG2PpmIePNvcPkHafAFyX9r2ujVNiTxctGcK/mjMg3tnjRsMjIjXqBDVP
rEZbf/7DvAgdcPKoxsGachFkh1rZ29x2LA3222lJSXBXoMCGHgQQw7rdkCTYlB/2PxfSlmO6H5Ge
rLcKdSWWJvj9uUJ4/lqBvyAMXIJoC6eF1wXYMc4z3P5tYvYuF6LqlUL2SV6QZKWHC+YR3W07JsQS
XZKkhB9rv7gxoPDUvy4eNJmqJZdTZIMTwfVIyIGN7aBimd/xRUaiM2lcuYxmokSHEMVvilW0B01+
yvAEgrfwtWWU6AtXC8U7ogY8tYu7QyQsZQOITND23+Npc4gT7v+SbLNc05j+FfRT/IKV0iD5ShlW
aqaV2HYSZ+QKHLQSo0VDwsWEHb6+Q32u+0IdbGWOGXgY4sdgoOKB1FO4hK3ldVSmxrHLG1jygUcM
SDl3dy6xF/22nHKQabk/OWaf8vASuDfFIVQNcV4E2nH61z/LMr0qspYskHUT9VFbrZlV7YHxr2SU
EpnHJunDi5e7gP5j0ld/rI6dBtYSPm9cZJgM8Q+dfQK+gW7NHrc7pTlRATx70k1t+EHFcLXiJXA1
ehnZFiLf0aRVqoMp4W+VG4npSv/C8lwZKOVdRLROPipY5TJdfMAuJa7OlPimuDYaqhMRN4fA/nKj
GdB0YSKSJzUL4KqG4usBQ47f208nkqIIXHQAxGxOrUCz4s+vm35xjQ5ODimTNe5h94H/BEqORTB3
DdDBFpSTkk91/jtbAd1dGL8i4ua/p8h41h0jA6pkMJeq6CdXgHxH9OAH0Ege3UbaTJOgahgRAAoC
U94fwX5eFdA86T17QJt1yG+A0gAgDkArPD42L8qfPYwWlBHrZ+hkD3MZbtia7WJtRt2M61ufNPZh
20gxa90fn4SmAi6n4tM1RAWF9FLKUtHK/KPL5FmEIFLu9dsTTuFWmlgYyzaubfLee1yRz+gV9zLL
V4H4qL3cj4SecAnMJgkk7QRiNFl7uu5O9xVeBxIYJI6bWefO1voTvYNKp0gtz36AGSz+vSu4b5LX
uOsGrVp5fuBGuqCnG6+PsUNjruwVmnDKQvdru9z4oXu3c7u2usjUXREv/hBJEDKLgi1q4yXQqA0p
8F07mglhgNGhPpkZjzbv+fOrje6fjLJEv7D9HAgFO86hpkdeacHtr7647ZCnzx44iRlD3mgb/NOR
UVNln/79g947PKNx1FfcxprOShb60ohJdtFJazv2alYLzfXRHYB/u7OHg40sTbF5jXT2IUbWlPqG
/6UQYLSUbU6W1N9u/j2k6+OjFN01lG/DHgY8iUcjJgAlfvRIu9yFK61heKJDnUIM1hMyDvjDIAkh
IMP5FzXuxc30ldFONSkysoEp1hiYcylktueNzNHne6Ma67roU0ILBrioI20YsnAOgFfhqo/bkqeK
EGnZMVfRBgRHraoJ9ysX27CtddCn4/1I5yyt3RT26ySCtqV0YaM94n3ny0GrhW2ezFbr720TkukU
G3kIxQrOjtXUsyiH3aHmtU5VhPKh+xVmvhAg23tfGVCEIZffheDbC7/bC3n13NY3MMJBxSzIIusQ
LTlto6T5VhtC8C7dYbAcM4HjCx4rLdUimXV33BgauWy58CYUhJeD3UsMC4YKjCaHugC+V6wzQ3Q2
YcRoniwlL1qoz9pI/J3R9EwA7Tfm8qZgoTvNjbcJV6ZbQAKZyhsRGEq4OOXaJFtNrwhSBB1HZXOq
VkY76qA/QLx0Y5G/V0ocC2JrrRQ/8qiHB4l5g5JFk/v02yv0H53nCY2kCPrGUWwLOyJziDQN7+t/
YLORcwXzfyDmIB9BK0U/YxmqxQ9yD0/zZ29GD0rfrsMbUE0roy0Lef4l1rmLe6dYsWUnwD8xjBdi
olnD2AwT3oL3D3mRRaliFPNCikLdI/cmr7IdNzmsSQY9pZpnSkPHIxAIQAf9x92s0aBq3AFkz4/d
E38GLorDxCBQE+7TerJ5NxS2JAthsYsb+BCey4ov30zej9GIumupMNVp6rGSe6za2eadfW5h8MTI
JwTABw4fBuJVcMCD8MVLcGcIu5MlD64b7aNp2JC6O1wYppL5NZtbgafmkpDIpX8fE3G8QO/QgP12
BvkMT3Zx5F1aD5mCQwy4YYrVtwLO/G9RNHM5UihyR15/zuIQFiMuLVX/+O05KaD5eFeH2waBFf9+
MF5+vzRBck2hTeW1O9Ntp9BoDceLi7LGKHao9MD+zzWRZbmBK4k77VqdVW4/tjd02iwNLBzVwPgB
P7J4qtSbsxJKb++hhzf13Kxj0yEnfbyjnCdCRZqq9+oK3/zgUTnD51RjWJnSJJup7lq4476AYwmC
SQWCT8x52gORwDVCltPKDnPMQSSNS77kn8FOv08RQHBcJMklWYJ3vpIpdrTkSL9MjaNraufP5zKt
p8qmV8zcO9jRZiP7IBphq/ze5cip3hq4DEKn9SOd2PKhZ78u2vQPaTUXBWDgYjnkDzwa2qEI5EPF
+FTJ4TQc1BEbxNMxHseL7McLxq49rtBDxEneauRseXKFFLBfd8d92BznIpnbjFHi6GBCBqwGdVfY
pURQvsh9RtUV5o/a0EQfiUWze2POG5D8TzDbBhVlvK1BObhwh0KVS6tQhP+AvdjheX6niFor0Eso
W+drd4LcgD2lvsP4IrUclc9zBKd0Frt+LIkrlkN4qaTFUCeAk9XWPEcDC8ED3TzuYhDS1lGVJVzU
e793o622OdCWjMJe0lgBuz5u73LOuCZRBRJO8Sh+JZIP4FaSmDbaswmtKCHVnFy/GEMF+nWa74+T
LB1W0d98Xk/qTh0UBzD9RLlRfj8L9d2PusB7NGri+iyDK5WgTHCGWIWH3NmyyyX8d6jYIVbJvHi+
RWMLOy4qpMCXiymBZO/rPtQNpms3YvTaurCTaOvXNjX9QQ0MppAvUkDJ5s7ssVp+O2nbcjlUgoei
gbDPk0ABZhd5Yc0giS9BH5hI2PhxasVK6WvZNQVk4t5QUzk8FeQNLJMaQTQZB+DhRxA7x/lwwolR
OE20Odc+yeCQN8ujdJuqDlI5fw44F1MVVqNaWN2Oj+6URzs4WtDvUM3JlyV1yrTu9JZXOzxHlXY4
repWbx+kRqequsjHhvvoOMtMqpfIdU8J45/bjggxM2FupSrn/43mIxsPF2xE3zGyq+KCHlh2IXWP
Dc5Fwmfws+SEC1Kky2+h+Qw4bZu4qRR2zBenk8cT5MWsosgQexPbWWrx2FbSUFCpEo5plEE6ATHm
okz+bKyZi3nCIgDJALspONeJfDW1b5fqkEQReCqYXTgR9mDiGjlKyYM1J16wxxX9vZIB3vZckKdH
fmSufoL6geD2NfSVpj6KCrk0nKjaKDkrmH/F9PvLoDo2f1wC4cJOg2cD0RkDhOf+bDe2AXIej5mp
wIkmmguYAYhyVnc6iUIjX7JIoxE1zqOMeEKJjx7tX+JWMaRDKMv1K8m+uEoQYCvLV5zt9uJUXurx
n08MANhzuaa0h9vUaFQm9XMKDzZBG1Wb64+my9jm6M/ivWaFrxu3THuVFCLuKm/C/uia5CvV+2OD
PHbvI7f4CS6ZJ//5UP3sesXL1nNWCAw6yh+QvFrzqkOwQDjC05U+RBmJ7JG+k5HOunJbgj8BANwB
RkYuyDjcIoJoQTVhh3lfhoX6O5y0yedqSwauSVrV8y5RYNm6od7kt+kLznXTUQFcQE53xcklqJL+
AR4OAvGkSqiFUNP67Ev3E4LfD6kdehib1DCM3YxBQhD13Azd6lOtd1YX7u4c2azRr26eb6HCA+aK
ru+GRXRFk+jbVoIQo1f1Yd7CG2BZpUduh0+bWyqHTlawL9c7WMwyMjaTo77mQIvha19/h/2HNSm5
bED+jA7DREhvI9SLC7v1lDc4j5dUh9YgUIDBvmCII07TFLfGMR3CVwnoBuXbPwp3raHbH/67QXhK
jrudHJgnEUEIzidPI0nMIZhVtxFgxHG8+H38rgfxArYrmxNKqgqKQllqDW4kJvrQDt/wIdx184od
A/07atapXR+Cwk23pMfUY6WaCbq6wUIHq+gFB4nVLRd+yYblk6HeqCF0z8eevNKVKPAm9jPdL3mV
MaB5BL05TbhjMuHUXxRZfxceYyMKTMBfMeNtU72qJzX5qTAMkbPqVGpecXsQQ2sxXIx2BnWR88Kx
NdrnIpOooL47fe/fhzbTHkRz9NFEYam+c/G62ApHBl3HPI8BZdTDvDBg/Yp9dxQWnMoN7q+bvuV2
0hAohjGNeV8siKPlr2bQdbuF72jJ9Rfhnia1EmwkPUFru9VOgT/KpQLMu3W+H0mGufCNvvrRD/Po
Xtf72qTLzB1F5dt2+/Ki1clBONMKrdF27/WzmmUQ68QSxVRovWl6cFJk05taE4pg4zHN6yiDVfLY
m3p0+6bb80P/7dr6PKBRQoMbcGwAi2BmfSsaH8GpEgiJnweUGwJLNVopFZNXy+UqYaZ4PPV6aAMd
gkrnUmrJqs0thGbawaJjlYGbDNSTGMGYbLuYojLeLqkMBbN6SAfb1z6zclil7d51T6MITZwdmamA
XPUZ6Gdk7zIJi+qWSFBRr7Cftc02GoGaCf6FYGAE5olCRyoB2eIeb8AsdxBU3iEiVj0prx5iemF1
362I/opQgP6BL4QTGnMZSf+1oibO1AYF7Nqlt0IuWi3IFuPIh02C1YA/cubGyx2KtYCPeHjnPrcF
hjt8mms/9D/Er0Yxli6aqpSNJqM8ERSR7/qi3oLmQwd1u37/xc9k1cTifH2jIznmdnSe/8HY1b5z
jeIy9nwfKCa2wtqZ3S/Q2wQ9en8432dbm1d4OdQoZoDdN/s10EgOBp6+m1vpS6iL2dXf/9VNADPY
j9U9ky6GHc7Dd1aF/kW32r6Epwca/sMTRM5wqSBIxxzerfEpAe/Mujk4m+87h4I15aovU6eF4lxg
CvlyXJzVMBpQ3+3vd1+lce4KyQe8qEiCBcMd/VaR4mB9Tf65aco/HBeeTuHlzYTlATrVegMp73pj
Jbnl2ZfT/kebw7eGdZEBwtXOa3ulKoDW3PBtVXFnEDn9wU6S1V/J7ELEqgbbngsOLRwCe78NsWs2
JxHxmiA0oq9RBTU18d8Q5rTkbrvKA5RGdfpERWQ/laWw9Jizanf1574wN00h11d6njaWYVfxWl0x
xMzgzoHKKbPuePLYhDdNhQ3Ru/0N/KDftltHJnq83LsLk/LMgJ+cbZs4fvZkycBiL8RPW+beMvHb
xfGISfZ3TmrnRyVFPb2Mfu17LLd+mo2oTApfSWORxPJV2oXkW3O27dg7OqEAM50AwI5I7WzLe6xU
48Y7sCAywHIrueIwluunu8Ceatozgn9i+X9gYBtAQkJqaSLUJ7Irc2We+qK5TvJcMUfcTi3HJDUs
nUG+e30BKFHvaBYoXV3OAoayyORjouT4e39TF/IDjOWjEMBLsF+kvHUXPnzeZHIsRC353D2m2Hui
2LRPvZ3XOuU/JFz0tVsVpnIzq3NWgnS21qBgq54xX/EPV/qu/LQGl1XwAFO36X9wt7BSHQJ/XwQB
IS1i60too7h5aoDGeFI1p5jJl0lwLA418MwWqtg4AtcAoDaoDn9QewMdsUfqKIZezB99rtzZVT3Z
52U8OlRy3t6RpgP9Q/5t7etKMau5jkagw2OzjYNjEbTw8a0KH1FGqlK+aE4ys/cl5+9tT34OZOaW
qXjHjU+uvLUSNUnErc6ihMcLXEJ2azbcGkCEdKXNyTkzjk4zQhtZbuypUGbQJWs/+AwonGd0L3fI
Qxp9SYkQPX5xhp1RCf4k5KtVis9BuYmyRqF/gqPmul2mEkVjn+lYfGVNjwWBE4gYBD4LoNnuDxKu
L1SaoXxyZyqXtii31rCe4/iGdHhv7Kr2xhCN0BuuCf7D2hMfAwiBP9KNfzcr2mahNiqlSQXgf/ls
tiF4RDsuCEWNA6/NgtV/m1FXJhyJtbyELs3qnKtR3dHvcE/jmGx6wnS3FqBr/vDxtL6YaLgq/54L
AcNGSzhC7bDx3F/gX1oBoko5UFJAfveNqe3tPc0Xs044al8vf/tl2JACfDmWJZpXet1/h6BUmoJj
nGI0F9KJ4YggCjzpUZvP9lnzHRojVTcpr+vfWG1xqpKlZp4pgVJRK1iSa/wsISHF6vtTETv4i+hr
C1/SeZzwFGiDPqrZ8n3riRgvHhqZr57yQFqpNS65pnwYuDfTz2Vmk5F7f0e6mNC1mprOOC4enxVu
hALSD2KjImLkK7XWkuW/jEOYzL2beKi77PDxC5X26c7kOT2UXQPO4e+AckJc1xJPDnxazck8FTBX
VRQQ0TydmrzUXdjRlvMItPO9QgBk9uMYgnYJ9zUcXcJ9GEK07NRkEROjeApX+5xiFI7qYqISCL86
3fId9rc1YdbGfyKh69GOXvOTl6/5/XO5rrePJUiw8q/OwKA+GuWn72eRNZhwEFMg+0VeyxhqOQo4
tLKaymnNmOyx61VDtM004vaIdJB9J6qtnZOkjF4zVBkZ+jFdUuggHMi20uspb3W8o9aTccKQyxqI
t9HEq77AVEA+3bBPUq7WFTUTiwwUUBYT1l5WMTTMVOpQyCalfRLYOd9/6+4F1NY9AyxabzHzQQfg
jB7tiqbMpEr/KLcctKShexatlIAlN2MAMojXKzbUOk2rH5sChVYpJY5cWoVsqbqpf+trwA6Q/1/T
xdb1gK1XsW8ixtkpbC9MVbCWH0RAZQRRZ4XvGGxJO/f+O9Z33MPkDUyc6F0jxsTOZCAN37GAQrMA
gQhnnY+eRyb93cKBKzuHHLy4vQVRSIqQSZBKM+Mp2Ddazl4pRLSIUvpuEPSt3DMn30lCktWhqYMn
E7CcZnM1DMAHthFPeCzxqfunvlZ8liftigDoONI7MugNU+rUpIJzjvq707gASy4PGz+0LUzndpYJ
LUwyraWDi7aGZAifkqNTQYEDbE5yWvUj/CjZ11ocNQAhwoyNQ7CXam2dJRl4ejeFSfrKW6pefXi1
5wtjGNWYzsSh6/1iAywXJ/1Q3p45RLsgFBCI8+MJISKZPbDiJ3Uzk5HpuC3rk5JPheZRJ4XM/u/3
yTcBx87pR/ndjXdKvVepisOhTU1pCF0gG3Ytbi0g5bs4rF9schiM2/HsV4qreyhn12cSnJHTAKZw
NJWm3RC1Hybw6+tvziv9GSO6ucPeODlxsILXFeNM3sAlo6XtnKynNpXrc/jvMzK9uINc7WIYzpex
DQ8yFEOXRRpFglkPpsB5fMKWECygucFZpfLsdvcLBBaKzKw84w+v+Oi7l4en71w9EX2xswsrCdFU
fmnwq+/czH4xLBMZj8T1GWI1IBE3jgf/nFaJz/1FelnHYwhPK02My75lPqtDyDBO8fFArXR0ttY5
ZtGq3MWNVsPuR1TOBh3ingog6MHdA5M2bK6LwIsBUz92TPIdb1RggrsVipvEsOEli7/L0s3IENvA
o0VAMmNz3jAw0nVlnfOm4r2hnTo5yTP0+g5V4Yl4oLhnH5N+JegVslF6LgxaYg80xJbjDUX0HUVS
hzLdiFuBO/mZNjWyzsXw2eh1KX2xvjY1fUu6TL+5lO7037YZoJ5IpYMQNIbWvOcLK1QJNDRci2WO
64Xv5sD0Dhi+coObSDQfmMHvozgjTBILt2QDRHp7b6YcxRRwxc8S+rt4urWvPR6bwDCh+IYz9s2x
DnICSOjibMn0JI9a7n7aPr5O6lrWAeoDXGFsNrqQRcanwlnIa1gk6buV5j+nGqQQ4RKG5pYGb4Nx
KCvGAydRtYe1ik1s2TkoZLwAQVhJf+ZHXx62EMdatplr0wdEAcTzU2L7/RD+jRR6voYZdb0b3GC1
ByRThWYW/ouetKd/A/VUBeQVQJTKGkw4yLq08HG8eoFqLcpT8Qms4cX+rh0dy4NxtSsHBnVEYysE
n7pO31THAFSv5qvWCygL9jm9ZCDwSky2NBg/OLmo5IjJfq3tE9qqUng9hk2ld9DJsHHVT9EteNSz
57hCo+hCGJV2qT9O3DEDtJ0qbOz7AY4258rH9UX5y/cSL8D2es6VDHU6I4hmmtOPCt+gTOa7GCmU
T7ovqbdoan1EreSRoiClHoPfQeVMX2DvjD4oIZssZEL7e59q5745+EMnQ8ZWaR0wXeuXC4YcTeig
82oNCYvy67JqKJQHbOt6xLs2OKgDAEHBy0Bbhsv9/53SfgAGv7fZSArOWGifbq0Xd/xQJR0XWW1+
RvH/5zRWGtaCSWr5vyapEFYJvz9d755MBpLumTFLNC+JnXiSetmyrtxNmOb8lrxBnH34MwZIKFVI
zgYnIxdy8zb/rKyq0TszjdE3Ir45n+yLNHPwt1X7D6GkNC3tmYHo3KHcxXaGHsUBl5PObT/Tqtw1
mJzyDpuvAgD5p5SOsLToV+iaYZh//yRU2wunQDqNH+tLsMvwKI8lLnNXaWejRNAmC7+28cHUjuVT
JVYMLu5YklPnygApQ6TQg8chiPLN+b4U/8sCZzBddaZaIkUr8lhjA4mkAQg2Yu3qKrahnSsocY2/
ZoUPI/JLYfWVWmOcrfmaOkAGAnH2vXWUlPkwELiV4PA5T6PgxQOPSNc1G1G0aiTqcJiemVThVyzL
tkFdViFnKJy9yx+eJ0WINZRG3OQ5LyZlqPJkw0iEdbJWSKr+4YM04AudMJihMRKOlUw5SYrc1wKC
+agZF3JHqlfT/smH8EZc3c0kBNuHHuTsLTWl37zB4h23ucLskC7YqxOJeX4fKMiD/94HjJYa0SN3
arZo6A++zgzzbwXwdhelDFZpMiv6CqT/qT7Vfv+d2cE+wdCy1ZVnc30xWUb6YpOJBCq52mmbVmd7
E8N3UUJctgpc64syKvPQhSGJrhqgv7wY5g7/lXl3ofXVwHWcvHRwf8lPSObQlIMpaU+bAkNmKvm8
XKGB11+SrS4o9whbbQ19KVeqd7VmeS8/LHsrcSFwAkI9S4fpjMmwd5ph4/yF6pGljZzhO4wmiyR7
OoXzNGgV/Dd7y3drxsPuUuxVPSX2RYLNAmeCiRj9GM9htl9r3/YPvb8V+XX9qD5cW4w2h0moKQ2x
i+tg8kSEDywY2HBEu7RY0MTUBzzlAs9MA4mC5no5luB2r21L6WVyBXEiO1fQk5jJnxsB0TByhEvd
KjSyOTVwWw1MoMqWry4RF778wZk7kVZTMc2an1GT+FyeTR/tjZ9pRkFLBydR5wKrKRUHuCBJjSGP
SqYX6Qnk4rSb4AfeaFI76SBr2ZZJcVsWnkL9CJQvbmgOGWa6uB/EWMVAw6yKgkkcbg86lp52qs1L
S6yDeby/vpnndzklKieOc4hTQixNfBlSrpUHyfJsHuSVGdGgjvLoYhBOrjVw0Zn52yEQDmLiCn7H
4aTFO33SRojxUv8QeHyTdbNmuMdX8dLVok89Fso7++xPD4wjSNmmwRaDVA3AfKst7fn4zjwoSvqF
d8Xk/k47X4U0qHotS1Sr35szlGKcJLoNR6F1mrgwd++6+bFIdIM6l9/xqcswYSBYj8SeFLypcWxW
f1YHZaOfMXzKyp19JmyiT/yOYPWHWin8iGJ9LWpNKTY2VR+rn1MzvKFfVEt7Ykzi9KoNVoNpHaIc
N/fIUre8BB3xev6cloXJaU9w/M51SI5+d03qJv56rJR7JJdFpkqtEnO6BfFybQDXkFF3EQG8rlxH
KFwryGnFJ7GhSBxidbO3RZasaMpBuYCLbRnThZAdfeZ2tbfwwjNu5i8kJuYeVBIrXR3DZGn6gjbv
Z0Dmfpdnf5kUHuEGsG3VOe0p24N8pLkMioBr63Qx00AJT9lex527w30UZjsjHVHnMM4YN6tFOfxi
H68Xdzv6hQ+KuYObwlQCxpsaWwWLpiyLdHFlFPODHZU9wQ5hsCUnDhrO6V+E2iBfKy7dx2Q9z9rP
LsURwziFMT9ggZqEF6EwYW0HnPzT4Noq8VAJK5f4BOCzgFTuEwsoxeFp2XjVJuswrWnXT0c+S6Wz
cC6mNEGLTuC2c0INuG5+91yVDMOXqDopFG43Yge7rQzJiQhJ7dRDuirQk/qo7+vD5KB0deqgOB3B
zSPg9btlHuxaKVHWht1xuqHqY/gY6MmBtlQIEf+OTDCZ9wLiEUxcn1XN40Z7NGWb3mppDxwRQSmm
H/fLD3YN7xoA6De3bVEberCWOhVtr8phJh/kinbhm/nx7Rr3mavMQFXpUush0rLALqI7e1ZC1/8p
ZPUvoZAjPc0nXZtqc9hJpm89fXca1JkK1uRKRtj9Pd74nTONDs3uJGaFELy7Zu3Ii4jhy9O4cexZ
9ASZZeG65QZqIuvmbHPoRXStKjLVHA2cTu9SMPlHIXXM9PWrKoPKKsvSFTizs4XvJfTpAGxQVP1W
u/YZ7i5jdd1fEUi63VuHxrhTt0nMqtnaapcvmmMZCxOvviBg5dJXN5LxBQSRjTa4LUJ9PKl+7d60
Up7m1PnqvcK/KLzmxOW+38JiTL8mK5cRqZIxa1gSXCRmN9rocE3QMq0iESMkd70XcQAogQ3omJ3P
aGAVihYK8SA38UKtw4yioZzd2PpPAvCr9vjrByqJizDvK0B+/832mXKMjzknQkGrmlCViY2P3F/w
16WkRttOgcyxhhqjy7nub8y/7P59sb/SN3Cta+xc2SjuZ0YOVnn/Bc3dBibJAOztaKqihxtnhHv4
jzaqT9ANd5O7OogRfqNVVyVPmzy7inqZXHLblLRCIW96X1n8ys6qfSqxS8PymVQi/PZSEiOVKJso
PJfwOQAwFjkDAYhd4/9j4QUlW1kBKlGcmWUQzTjQHgCzMQf2CQvD8xInmkw4d3Ly+5B6M2nvNxCt
QMSB7WLZdglNFS+2dlxoQd4lwMpaNangYF6mdSeO7f64pA51bUfxtKJB7NG98mjscMovyqRVdZBI
oi507iEC+Te3uaZWDDd0ydH0+O9RqWj59cOBR0uDN9dz3Q3fBkA398YF86QcEMclaj99sZZMZ4es
dG290IcisLgvla0mS+ePIM5AUmAGsp5j5Kc1uWlXW9TZAM+H8Bzp84FLYI0/0p+FEahXS8IJSU5D
uoZag2LyCoAfQFjAp3ec5vBCKpMYeNGXkrfIV7rnQW95BbZJtu1//e12+1qyI+kWA/LqBExnwf+k
ewSIV54++QZVjGYuZuEPKqDY17NdCqgyEwx/HAx/hh+2gRgYmmoPe8WWJMCwg2QN+9LmRkNVjqjl
e5DPf+X5McY8PAvHovcHHoy77CEq5+TOanu35PJD8lZYnlOrBSZE7YRu4gkAOQ4gSsRfp3a8kavc
x0+RNyytHCdGht7w/ZVa27E1V1UPp/Q7/SUX8Uzy9fTtrUXp1pT3a687Fzl1A5vzggee3cJ3oP35
ydhTBQ3I4pzEiPXtEqqxZJAwG4zIpBH/hG1unRuSMjBOxhxOe9IYuRe7kB/GYsHDl9Cbagaovxpu
0C6m6Fv7IQxv6wvhmCdMyskmmvcsltU9XZEgOJht+Ycb2Y3ILt7/d30+Y7/o34216pxOXg0rUCPT
lwswZmXVALhuWmJnH7XEmGBNj/azDvQwMd4fkWUu7JgIobs59jxadCi+tToFIg6eF8iC6Z4FzP4G
TBs5udZtIbX5XJR3gShsXtuYyjAkI6NYIPTDw1Ly4W3oef884kv4dryMOa+GAnuRdQUltsVc80r5
cKdlpHUcEIsnt8cZQjDHsyzqg+XhnxoqKA0WMo/BxqWfQ1tP57GoLzED1J1vyL1iru2xkF1K4Ueb
rtNxdpTqypB+h6Q70lff8pIjCNK2I83goT3OGfJYL4KHE5vdNJgD4pK8WOOvF4U7XKbM1LR64IWT
kneOnRT2n+TutvROOF8K+AbQW4jiJMjfz+3AIyaIpGUIe3+w8C5pwDXPSLDB28f2nbkNiGA054e2
zku7zKRqJs3pJ2zilgeXMGmJlulkSDep0ItxwBfTvMY46FeD70oL69XAqV2O4ogUNZQqpyxh2giR
iSW9IPGoNlP4axUBr2EDQMjwIcp0QroSjxAWdrGh7F2z5274uNnMK7Sgjw9zdUD3l2FTlVSABIB2
9Xrhcjr2hfkPPF89sA0fJ7xWqHm2eqKNSdNaqwAShnr0mwPjpcLwsZ1/XaUenXf3xJdFtpjE1HpR
KH2Z/2dWERYh9dpY1TiaMUj+9gXoyMxCSsw+f+CiB21edkoSb98MnvglYNcTWd3XdUA8XcZNc3Ce
2nYJFFxhkFVZbBBDP0YjMOjczEdefjuCCqz0lwEjzfNehM1SBWUJK/2T4gh5z7BSX2Wn9BY/okk/
3Pu+t+wYOpK46GU37NB8sxIgl7FLYYeSkjsSKwlkI8rtNfC+n/Suz1xNAZ58j6XXfy3p/M3GXoEY
w545nyFZQDwz81rw/ED/4ndxNrcWCVztg5qMD0S71AB2ZjUmM0/fKxO4+xFjBMJrmUy80WNABv6/
usItucePJLPKOM2xdwQuC2JH3hvN/55WbvifVEXpLPBroUXwM2PDGa2AvOgLqPrCLsXv1/f4K51h
J8rstsi5vCvmS8mC2sMgjqkKEwNtr7Enz8KN/gAD9kgDWagXuj5jHK0C+VXTTYN+puMIutJz2zaN
B6lJWVfUx8sTQ+7ix01sWYUL1r2RqxJzsbHcWKxyeR7pKfZVhOMX7cs6/fOtNeiMcVfAgGgx84xr
x/uj5EQ4ziIHJHQH2p9opiQadV3kucflS6nuoqksf1AfyUYM72ZLbjVn1iA8bKMYoHU+Wy/CNuqA
SKyK05ts1gV//7Zy8/kvsZlWx+s7iMWqc7HWrIVoCCQtj4Po4rS4k6CfIqeMyXV8KmR39Hl1F30T
ZwOCuiN4purXJ8Pb0sdJO/TRA6DRP1lvhD8vY9AmoL4oDte0/sWZlu2j9GupndBJaWPIXwnR4exl
SaH19vj3qMS7H9ZOdJi8P2+IvysD7aD1z9MnozGhdVlpWVnUfhV4Ruk+7SKynrpYpYBr7fd1p+bB
9lrLrQVwgXIhPsZEYNy3b2VREdfKjCWzP0eIoGX7k3UFvBcPj4eWPQNRifTyQB4sjHGfIxsMRT+X
D4QHbp7tEl8Oiw3D5jQ1aJO8ofqu1SZ4lY7/LdMbsqmpgsuPsZh0Rq6r3aij6UScorIhofVJH4C4
K8nxHgIA3kWQ5yst+VdmLXMtD5B/awJ5XspGM3BeRC/sMY+bKzj6c5SAnERpEXelKX+k0nhSCz0h
U07yvEx1TKZotER/EtccLRZ6it/qYB+Kr97BgVzCKE5pkpFW/FuEZluvyM4naZdmKh7b1JMJ7svL
hmToxsv7cLg3kDdrmvU6iuEvq0FBmS47fHC1A9bCl5pk2z0WMnNF6pbn7WJ5j00YgR2OL0P1aoZ2
RVAIxEJh3BDP8S8yZ5/hnqidgAUCfpowSb3S3JQ5bHPwdMlbW+NojOuELcDsMcmFoN6nEirn0UW4
eG07no7WaEr+87bgNetLEfWHXxBWDQap1F8SgIbJBx1kC/P1Yo+1q60+hbUntC7tZB77ToM7vHy3
AMbptCLNmInqTY6/W2nfjBr7GrTRpJes10+mOvwuDyjQ/FiUCup9S1lO5cbW+tTHS3bK+l/KGUzp
ioU5eHnHgd2Nt1ks5ma6Mkehf5A9gTC4GNd2yTjE4YFPXKHRMpX1KRx/d9Hrt87j9Jw4TAT+la+x
HirrQFf2OcnkQ4rNQZQX3+iwivbCQQQd2cX+5SdhNdcxeG7aDlQ/VHtBSsZcY6VhMQGQ2nwdEOYB
QQSyErE+poPzOj7AkZZisU3zN4fh+gHzb1Qq338AOLwb0aKiM/ic5+gChkeUwfMkE8CtLbt0R/1n
EADmCkQwxI76M6VXNFC/xRkV1Y2g/hSBoa667fIbDFb3PB2oZBQcStqQ5xgz5fnd4rph2QGcX2YG
pVmOqPyLZGTPsPVjBB/QS+GhqDIY8UXpEnYe0uGbYSyhM7U0XS6qW2lzkFmyX5HDZRy53B/PIN0y
u4lj+nWo5Ca8pU0Z6kArh3TxQKNlprpvndm/sF3VI0AalYU46xY1BUXlsYFuqCMdR3Z+PF/dWcnB
EGma4XkpwnKQrtM+/aV+DGssDi/wfWVkGA2IJ2UvAUi+3UEFCfnNx/pGHTYxrAAcfqYSPFFhH+6N
gy364e0n+oK3EZwT2a5RLwaql1UP0MWtOLAXOEtDtJeXdg3UJRqCZOj93RbBNFbisrkW68gfPZLo
dSGQJXJHX1ZcHZ9+l/UnG+BhhbJbyumeP4Q3gbbhrvmwFwEUhgAfOlEhnh+Fa+1Vso3hthpZXUzP
cdsnEbxfFZs0vfrB2Mf5P61QcCm/rzJM+i8w/jeUzdaPBy7s5rJEytJRp1uXW65Qwd8o+1+sUL2k
nLQVFz6hNQun6znn7yuvoprmoEN8poWiseUZV8fbq38NcSf0O1qjR7RF2iomfzcPhpqzw1NkgO6i
rJbVdyw7D9TsT90c5mjL8+DzQ63RBZYluPIeyhiCLVy1hb5tlk+SdeQVJ42MPSe0zzFkTdVb+MVO
LAQHelD5qehSaR1WGaH8krc00MZ2drJIsH8jxh+8bZxDuqYM9kzBXzDk7rM88umaK7AYnz8Wl1eJ
kW2vW7laUrWMqrzb5gtYrRvjX45Un1LLVlsXGRn8I8dBroAG4c2Ix9wzCYCas5aGMa59uFpZ0Tt6
/sVRcf29CiFfpI/DCN2sjUO/dAo/uLjOS7yVDwPDR9bLgipiB5lEUe/nmonhmzjpRarLbN0mGbhO
CvGiM9eyx77L0R5r3dkX1W2Qk/9cLQUaYas1nExfXZGvJVpj7pIzq3y356zRyUpTeezqVoX89mu/
IFFStUo3v9JH1WSqeFIfmZ9y16f0dVU+b3dxsTgabTMVci+qZGOWfbF7vObvu0vrphBQd/O+9vBe
MUfTJ7sLP7XR8goCrvuCwa2kwOuQueFOptSK/YZS9SbA0TEUQTs+xh3d8HtSmlbHy5ikoDSmSknm
Lk8sU9h1QPbAmRNJX98uhC66XZK5WQhUglVVZ/c3Ujscpj8fA8wmPLpWJKyyKoNVtEHN5PUvsy+s
HdBss/cVEGsUiwheWodZipHCTPGlnEyaBYKZF5pauh9XKNHO21ecYeOgdNJ694HnxC0M3Am7Iy7Q
B7kP/RFtssUPVSRLwZecnBIpQhYQxhEvg8NDNNrOcFJxS8h4FiwuRbH4bkcnHxEGPGe3+l5E9DlY
tegtsF9Cah4SqTZ3A3mke57P7d4PGAf60R2bp01SzA2XGyE2Z/doai5bMNL+isl1QCImp8p0YByt
N3nIwaSbH1B2ulWp5+L64b9CnBo+hocAzoyWS7L27NIHTtGAQTFRqHE4ubjzm767R5jBlkRLdPDm
ftpd+8tlZHLdb7jKQUI7fvSQnNGi9Kq9zSRwiaIZ3y+3hE4XrnCB6+/aOHkLJWPs9WuTjs0EUMfB
1VskwRcIv5yQJAfid8mA/M40w+qCp79+EWMFBunXNWfdszGncWE3CjCrMe+Bwn1HPKCM4yGLhq//
Q51TCYgmdaBdL7TU0N9K4TbteKDIwUs9cUL1vhB2ZOdS7VVJivMeE7flYvY38RDroDPcMoMtApUf
6hsqgRMWtfUbK9sVokJ0vEi31r7xEGV6UaFhzw3sikRdxeoUzpoE9yUMLHUywBqgRW39+DKz/7wY
sKG0QgsVEYBIgv4FZ6ccNGNB04yzNb88pzw57BWq90JycniqH2qBEWYMVsJMiqcVZ/k3ekk63t5W
ApbVcnkm294TnUr6rPHFzWcD2FG7OzEZyqxdHPkYWpILJFKYv16uEHH5VASJTnKcAkV451Gig3/R
+fXeZQrCHpgKEYQ2H0Zej0ReElE2LChrAwXfXdP11sSv7sPBlRmdbMg6b/WNej77Fn9szA4DiDMU
+p5ni6ofoMzLuOdBUbhoZj+SvmwQgC5zvRFXRxdKC+Ajz7zOkreT6mMgikvP8J7g5NC1yrrxcLoV
MVWcgWhoDsorwM3asbwE/jcu1vOJu2o1sOB4k/BPQcmdFivqRp+RmDABw4tpoq68HfmH/YvkpJEC
Tfs5e3Kpm/qbpi24CcPnf3Az9vVWzE1XBcvJEoMYSqNiX0XlbrPXNYwa5FJhPpniIKdbbdiVRUrW
eSTm0x3zXZyKUe3AQ/8P76avQl1v0nuHAoTZDac4y0v+RYNHloevHWW1RHXRFIMrPrc+6i4PwmEd
VkyjOf6LhodLgL3dYnTjePTs9ogyO4Q7D7c7oLRXqeoXRFL63kbq0fKfr+qMgr8TZlnWVjGYUJ0c
FZ0bjvIPAwPP9qf7c4wLVyYtKwu6NrLs7+mAzVXIOQW5EXZxKVlTtGtjwgKd7nc1YoxdmaSdzwrj
tP2glKMSN+H7QpluwSe0KnZmAGx6z4J8mgxn8i1E6D5v/sVvLcvkY9/y+90ZppMgBuLWKoHyPbz6
+0ccaYD1rxP1KxcRNdBbGA82AJpSV0p4KzZXKAEqYfVHu3rIKEPs4OLE2oBrQWHFAqgUhp0ZRUVc
G98xfLEcWpZNQuYcUBEmlHJOAtDkkgVl5c7TogXDO+3Iv8vdfX5W/YWwBh9v0SClLNXFGFcZZBGK
1BEbXzHuKUQMueUlHCror6+iQHqjq1gc2L6vnClRrixGcOfKOcluTHnwPHx1O1ZQX3t0UVYPyPFZ
Br8z68UYbgw2hrD0YNQOKS+wGUalTvaAsBT+nNzOkg2ky3JacVlZnM35IGXd8pd3kCXHZSsR9+Gu
jlERfRxFi0aSuJqjtOMdeCjVIsYWCCI6XRefsazO1QmqFePfeXiLXNhPojH+YFEN6mWYD6v03utu
Snmk5uXEcDARkg+Rf0OuM8cIyhyqiNaYsGH+d4jmIOYUjYg/8o3xhUDf1X+K0xQinpTyhsENR5Al
oa/PStGXjT/X6jdpO+egcuIWqfrWh0i0JiTcgrMGV+O+ibY6CyGTQpcxq0M3mYhwvm0y/tSbkDBK
9sANSG6b+VFgWa1gMaJ3x+yWsmgzUbQGxP0dBfpsBPEr/lPHXjwdNRaHsaReno5asJWegdddAZOx
joYecMZk2DnsLxCC8XnKub2nUcxcit9xRdq8IvlY92ZYo/8ODrfdJwvG+wj1OtNyEx2MgFCXcvE0
ka1Ftd+mDXccsE3taXE6DxkaCCiXYb3QbgrwB0wu9z99DcU4fWYkhhNLIxFoVXhFRdyrg/WEgKZC
thpP35wiMwqCUsYgReac3X1z+vAgHzKOQcLImw95PU2xSBbMU60b8Ph26w1Ponrrv53huZf7YKxa
4QiXEqJP6gn+ti0Odo2AeBF63xEDt6WxQeC01cPOLXuUIQSxNCE+VHsdPFGA6ArGk4aL5WrWNmZP
iwCyqFVeVNc4oLG7HD/nbgN22Pk9fwyCKZgH9euUeVN5s1hZRW7964CSZgNY1rcp6K0zkzoUaqBz
p00a92EVMv/1MBdOX/cLZ4tnvQ8S3ahFtvaDWiaFYbHu0zbgNdAU6IVKXr8fuHKKob/vZABU54aX
MUl+WtrR2Wsxbks9Qqa+BiNWc6H6t0Sy19sJIG9iqPjGwDr8iL+9H4zOahAgJKnfDA+rYzxH5Sbz
an7V45d6zOFfTGnrZUj/Tzj6TLwD1NTnEcqk5h58mSp/tN5rrqO8wwCXuOohZ9INRJwmkCoqs4+m
RFPXklMQe365Utmh8HevX5879ECAoaGgGO/h/aM03vU6mRRNYaYXRH8x/lkkNcuFBs1mCGYOE8Xu
oWzhYUqb3nZ6xsezFehCBZjEGv4yZSOBrjSZdYtkXj+g9tgSo2+6GbnMRYmwFGUVwamZ76bw18kK
krGul0T27m5Ow5R2la5sMhiYngj/l4q09EA1Ztx5trVmQBt6MZa+Uek80bud3mv+VC1yobQUzZet
gVI1Qo4r7+EVdzLSb6oNrP95fnOAV7qvTZjUqI72Rrsm5c05DHXzZN+yAwE9a9PdUAekhAC+d2Lc
++HjW9Umd4lZmnfRpd4NftfnBwPa/dtiIF0pn5+qHm1f5Gvc7tItz9ldW5TAdTM/29/dY4vgW5cM
9YsNDw3l/Es3by2vk96o5EgteBaa8OluAro4CrcDpZvzOttfJY1zwWmKiecLY7XORHc1HYqbgXfW
CcQwqWBocLv7fJv1Q4CBEOxX+HAr8bFwYtSrlsVIk6xHOSSWotMMvBRYdGuCnEWNvJzIHeHo0wQ8
CbQ9A5rxC6MDzRlNxwVxrN8Mgcb4VgNHb+8vVZH2COXMel7/ALlYNmIIwjT0f58lXVvFQHwxICT/
eWbdh5v0twBB8bOrTms/iuZtrJS40hh3D3NBvKQgXAjBYbKTjFR3qHjMmcdCcNZuSNMyXGC8Asdk
QLdvAnaODWoFS3/dFhYoKB/ElN+z59YQJefbclkxqQh4mIgW/TnHnQCHyaj/VAx4Msu3GIADSbqd
eAJy2THyQyqbIJYlm293pqz7+DUpgkkoM7hhE0MtltbGtKTr33ytmv7UGZdXSwzmfTh+dh7WEJiT
vmqatkM2ky6e92xan6D45Fa/NyZuoNvFJgGRTg4OmltukN1z0uyG6N30yHivbxHTIkjzcH97Ty5E
evrnMV38g4KcpbGl6KkI8hs687L8gefTTodJclkEovPDmTITUevRIUgQOG1wEr6xS5BOKnDeXNCr
HWxaQ8f0KhT0T1b102TPMQizfb3xhjcJ3r6QztfVxxK+BrAAFe1BlpILM7n6RhuUq7o1P5Zk27Lh
S0d1QjzNovyUZDuqOYhYoeWj/D9YFyHSjaXOx6qc7RUsYe+PQ9hAuIKBkLYMz5T6FE8PAtILKZvu
wrde6CqI2GCbcWW9xiJzD1HAelafbuRo1Pn8Tl4aihW6IdhcIseLPgsy0lKeYXFuDF5jHtjsR+Ga
y05Nutuq4L0TdBWYYEKvMUyVr1R2p07wH9FLyKejwzouR3TK8trTgQvN4PnR1DAjiIldTG/oXNlA
RF8d3zDfSJcMlQ5GJsX/sTwXdC+K8xJNwxe3vHqrY7hJ1WTF8KudoaxA6CNhiwCA1rhGzenSMwW1
xrYCc0fyipLg0Dbw83ldIPZGKzA0FxAYrb8KlYePCnLtw2RBHII36qPzR81ZJBCPvkc7zZvF+bNX
TR4Q+RsmD/ugFXBiuvOEh3t9+AQWQgvLsayPr523lVgUah2brWIxKNoUHLr/YO5EbvnFwqqDOWIe
iOn2tMNUmVDzEtwy2tFVX0aVqR/4X78fUBedT2CisUcV7uScXzqg1uRvU+41j+sBbCoDa6yQuqYb
KNae/PsNkwt55Pgub5ouedSuSDnfdNWKskpLsBF/SjzlMP0nI1VFFo70gEEM0CWfXHMnxc5f0YU5
n/o5Qnyo51GPKEU2Y2Dm4qSnjbtzMW8jd3inaOZfwAh0Z9rq/74dt+Djdne50JOYVtOzx9lxi/1C
l1d2JZx2xYHBOscZrlzAyBDDrB4wQSZyTfTSFbaOxkbbICD72Ev4HpKUX+SkWZKYtAE8MK1Pucld
5wbYOm4V402joUM5u9HKxr8yhxKJ5o0mvNYFXF6q2ZHDBQMMUL+xQqVGCN2bASkpyY8VcKHDLtS1
u+B8wbMTBvZ7qp/plER+ATcl9Zaajs2RrtIelU3QnI0vtdcTecyTWxNv7+/3W9fnTdeUiaYPUKWL
iyheDX+5xe/bBbedOkL8c7qZinIL+xtbVGWEXNFreMXK4A5XHRrhBzEjQo8zPwss8v7PpHimp89Z
vrB3P4snET+1fiBl6L+0VRenNoOH2AQIq3h73BX+scJq9kMX1aXeADly6BsOZGxdb/V0O0k6/cE1
akPLIQLxQPKbS87/oRp2pKvI3N6u/G71jd9mVdZ+FOMly9W6WFGHss1q9KVBOCnLTMmFAjloOmED
5Ytcq+bmYmsEuS+e56sJdjYzJiqak6I2vlICx4z8zMixx5zmQ3MK3+t4F63WupEHIhMur/JLPtty
2BjFeB63t0Mmp2RYn0iUD+YmNDTyXkgld4xVPONpHh1KvPE4FegSNRVI1G02idwN+WHxKBH2iBrd
EV/BwZI955mXlHICEZ/0Vhvi8mhrdCDmK5BuvPWFt4v0BmG7oQZ1+G8riZh2fuVaYf1yjKmgq0zr
AP04dhtyJo6tAIkA7WZWt2tdrKs2RWLMebjWj8sWTbsCv//bMwATdK2pwTFBXiNwYHPzXCIHrsA/
OwoaVIrYTVexMmypIRVHwFgVNNaenXR+ytdfOE+wTe0qRzDQSgvKMmw6vdQn2MFMi4YnRoMfgNgG
4UPAd8xNs4MfW7j7xQ7RTrllBNbnFPoURFy5gIKrd19fKI2XPl1+Dgs07qsT1i1qc7OOEu/Am1Sn
PuPMr6EdjkK+o7ZU0IZbWpGH0IHA2CK5e4/c6Qo8VSpQPd1jc+lR/YNPxgaN7mD3CbBNAsemzjQt
kERfxQSSYC1TBzuF9XnZaSsnj6kDAYe6T5uK5UbzIbEKiXQRKnDRcaW8GNAnZzqoHTidATFfWMTM
jJHXsGiSwRuK+2wI8Yoamr8AEeVLPy83cHrXCCGhLKQiC4/oijYs8UyRRImGHQx1s8iKHuuFTpgS
AEb3cUN21JQrlcwZEMBJIdH3sy93yM9sMteWP3rOs3d0H6sjP/9Ku68vy0JIp0a07wXhkxqnuvOA
RwWnp7eFtcozDmaJ1MfqSCwmqJhMRfY1xZ/hetOKwjCdgNqFOQb3ahX+Jh3MDHkFvkS8rn/4Od1Z
D1b9Z8NaKrQgV4FH49kDdJLtRXFETeA5b7o0dlE1k70wIR0DlGOf2j5spAX5zjGNcwmCKcKwhQ2R
GP0nYEM2mPuFSjnLb9r28Cy7iMcSEN/wIiDK3DjA5Kr9w0ptJCuzIvx4KoF/YBTk7t2f+x0qTuUX
9c5wW+vDHqvwTk4o/vRPJd0deAFWle7kArMq8oK00XkKw6NQYun4bI7y+77EUbk73BWTLAvZ34al
j/yO7HI1mYpHg6OHkdYz7JVUGJAw+8nITF67BfZWKgd0uVEPdEina8uc9UQZSj1CAtXviA9pnwQR
qWjru3kWR5aXUVqRnsG3UaXe0mYpfHUIhsp/+dwQovTl7gphHkfltjHjKytK9qKEmtsRkAO1b6wo
jsfUgKKIhnPtvs9kxHkKznbkxZ+k5Ath2+VW73e9BwoKVW289rb2vNELPqcTx9oCsnz3TETBIShT
LB+zO9ncroxfQRwIm7cLc/vEYE4ricGy4/vKwOX11j1n6hWaj+UWysE36pyERI0wXi9y6RmFoZdY
DHqtCNjrdCQI9T0WyDhPtgVDPIgdZg100QVwF2i96ynUU+daM2HYaMZfoPf6ISWhgd2azIlPJDw1
QJXyAuH6Af3+SX2mM1DWpcqEjB+DY5Vugsm975fGwPpz2/HzQX4F1phXgqMBNxKTW3Ud1kuIS/cM
MifGm638VWev0Vc09FUtTzbWTdhwdiyJDpxjQUrD0+Zk8RLrPB+C5RLYWO9nX7bzq5tXRIfzI9/W
8XMx1YOWhm/ZQwj8AmiMCvwtv6teiy9As8obLd5YngN+hNqJo/MiR6HjecERaVhtV3JOVEhwkBQo
84OOOMf86VYYHhxKR7N2eKHh5bkew9+rt6lYYT9hHeCu99DZZmR6SqBq1Nycno6jr1K2ElKCALWy
egvRpPQ7fzpxQ+eDQlGDAawrA4cBwFELxEhEc4+KCcYzXUNXInag9r4Iu+uirclws3bF9mLGKKk6
w0FexXVuSXPNobdAmdMTmews1BowbnLH8fDqlIh9xwBCXIDMyhxTf7eGLp07ALem9+6Q9u3KRfhM
OIYM0ruaiw6epGHoI5dFmHoekJGWw8/d0PQ8FtliVUodVEtu8o2x9BLdEZdAhvuiu5i66jAasQTK
5c260S6zZYnbXyyKBDzkGwwmKjFPNrNJYOlRZy5dsfBXdenot3xKHVRgHuPnAM9wy3rYguiQ2Q4/
iwHrIxV2t0wsAAOCs+mmS9eFVZHAP+1SalGuSaIh5gZ27vXZZJK6Cxe7aTm09+H429L9tUZvnQaT
omkACqnvPhVZCmCjZwUUa1hXRFzDodiCdTdPjtu5AgeYx/3oGvz6MsSZmmmv+lUIhTewM9v/FP4l
d2OZed1iGdmt/v3lTnAXvmKRxDaQh0sxGQdr6zP1MCiDXM04eJ86Y5+TcsXBj9CS532PQTzaT0jZ
LOsbpx0huRhBqmCsIZjZLitSB8IorGTKGakYKUUgIMgPnmtohxq+Z7iqGnnha+aqMQCQjfxkv3kI
d+yi90/7CQt1wpLkNBsKn3v49MrYL7VK0JvBQ/1ATz46U6ES0QhOpP3y/5LQSd8kqD9EBoHlP8XG
QmXWLmUjQSbDCPCVC4YIZRxhTd0Tus50sFE69+ce8pLCWbcRkoPKmH0/8gqBtzNIzVpmz2A4G70l
NjHXBsgoF9HuAj7J8Dh29iQjzZBrLT2Sj8aWpWadtl0v+2ue1ze+Kbm3zhHJNDjt8u6CB1w5Ox2h
/BQ3B/TZ98L/89P2hguMZVtQN/owXR4yOjmg+QMW8aj3cCvfmZ43IK9H+WXSCYZw3orO72AByUJj
3Nahz91RewKUDxfTZu6Zqjjj2dUHMZYJXAT5356pXmP+6YyCFqgsZXm1ND3c5bW0Ebk7eoDrcNB9
mPoEddlykLZ5SbpEqdAsQVy5l7xOIntE068OWcMo0HFpexb7I5Wlg7l+yQdSPPbhzCd/9oUsduiT
uJcw4EmIWncRzbcEQNfpZoqChbVqmQfeVTurdsCMwoQZr/a7vWV2QtwLoEH6XmlPbpyblZcSIrd7
yAAELZf6WZ3h2+DWpf5b/EMI1RYHihjDBi/n5eUIDRk1V0BiKOnMhXErUL44vtUe21mpCP2GK+Bp
y/jbi9qp9VfQWWClFc5gbxCyYFQlVbZkwpc4Q8fRNvM+5CSEM2QUISa4F/eML+W/lqYnzvCPb4yS
6cBWlfjbL6fzE18Z7b1WaY8WzVmMZF2/Q2/Kq2+lW+Sxj8gYkE/vRqCGvFjrji5Q6oFM5J3CSguJ
jdD6Rvj6BJu4nq1IPI35L5hzPIQ3m4XhSR4OXnByXgSdLUk8fOuZ0jvDjOGVI6sPxydLt/+M3pdO
8xF0gXxgGVK0GiHjqh0+OCFstc9g6090mmMHqEG5vbHxvQrnQWRj1Nb0kklHfwB0GaWtiWJ63s9p
IdBf3dQI/9UCBBOAmwLjncCo4rhCZn+JbzjBMn4FJj1nShFO0qR4KCwMMUZLGPtA5HxqbGled6qs
odyqvAEUZ4NnqiaF3lb2dhA81b5OnueoBvQj+5cZzB1wli5Q/n9oXbnkc5nwKvkH0OhE8CqTMX4V
Bv3xWUJpGwJZUbcunv5eI5egoH6Rk3U3NQ5RllXMdFxvfmWrVe4lI7aWHEQYFKTPVAnEoyuRE2IH
FtYYNq0NDlXh1FdeDW0KSMX9Quw4w+aBlOP31gvWzNbcxNHxYKjbxuM+4JSXlkno2jxg16Zy3PL5
rn7mejJ7MxV2afAlMOP5sqmxbZEWuqjqXTUYXN3wCU4qJr/kaPmdH7Jk17UlXc26vtgTg0xeW4bR
52e362vmr65OVP7RQ/DYmnhSP+PwVslA/Md71JcYYKiLzJFPiAQm/GNMpMdYec/v2ivzvgBWoQ9k
+zRQYZQHLJscHPZxFdZf+i4faX4CZy3QMIizSCazry90wNGPLno6IR20+0m/VwV3bIY0GB2V9MVA
8/zG7ZdMLw7MH5FAenPz4I5H2qNMRHwCOZbAsROHX1bTU91GLVIVBCE4SSHotqo2pdw0MRKi3rmO
/lhQ6Vq2JM5FHKRa/Gx7rjl01y4z/7QNc0jo8GnFY22sjXWsxVjvHvJKh5VDlEnJp9Rv4bn3iZ/X
LhuagqsSRpv9Cp5oXATP/OWtWOccdLjzki7gTYXmlbWZBq00vICk+TNnWy4XmwmRhKGAYMEHBZLY
Ry9I55kTpPDHL3ziB+ey1AL51/1psWeneUNLWbcKCl4gMkibhi14JmX+ox4iqLFB77gLSDJYJ+cl
S1i+ADfgEBje1/5AUb332FV6RUtQ5bD4m9qCUEQ2uZM1C+ejbo6c9SmeL/tYj5WfIzDXriQM1JhF
POOSsMOif5dfkAcN23kr4woSmI197vbdbab+PV3DPNefiojUIj/QF4MbBTlKFussWOsrbdRini04
NnzVyBPUZul49Xe57/FL2PP5oJ0u0fc2UZOO4q1/H25dGHebFYBLZ3KqtPvuc+DzRgXIWeotdQP7
RVpFCC1TiD88dAVIHA3LAKB57LQj5/6+kh7q6B37wCHZr4HTUoOPFlM+g8PFMweBhMNKXGUjIyMx
71YilPntq+fgzi4auXZlFTivfWVenpuuEwkc6gz3xOlyXr2NXQ7qCzte8S6JNjWwgb+kJhdpyNzC
Ea9vk5V4kXLPyo3CZMoHVHY83LUUdIoHrUDie5X2hwVPRn792k9SpVOFOmO+bFMPDKui9HaOiZUT
tpBGyX+6rHw3kWH/n1K2bk1LKbLH+4E4kM81UJJUsp6WIOyjbJ8oN77mEZg0ptkyLryTqowkgv2S
hdOOIFvQZDBm2PbIu/3gzFY4oByh0RYehgzvQaCcnAYEBQqQkKWGdsiafn37Gzw86XZXQpVcSqp9
MfevE+YiMMmAV1q6tzlRHNR97D2zqIGBLi+0eJEl4CBuxxml0v/CnOVVoI/5FJvlFpSP1i0b4i2f
yuJssXynehJaT+7WDEDYwbSke+iYX6+oDhvf9NTutJrMRvhqpRU1s4fhynPKQfe0/DeFFix5Bwv/
+RIulVktKsHPHziQc2I504O7VcDGHX5wpu2tm/ru1wbamVRaw/I+9zHMQT3UxV9J0f001zPgHvhF
cQwfCVpPUi+vwuQNh2jNEx4TwUwq7RthF9jpPQehrYPMl2SypD1FG/vHOu7V4uE3nog6r28m9lhW
93kiSoDgeWyL/E6AXeoo/gckCRN6GQ15MgkqmdHMPyfYSUP40qcOuLC6OxzSMUedkhh+VJfeXaIO
sKhUdX/iTORlGHHRRrcUHEmKeJFfK+LqAMJfly24266LaG7zg9gNBs4CWKTwvj+74TwqIbgC7HDM
YcdsdywbaF3/WqnC2//5V/Egw3KbWDGRSBIB0GK+LrI/4XTII1s/2omIaPoEHszYmhaQCc9ycyPI
Ezf2XK1aml4M09QZS7ov+rZKbUVRPZvQvKQqIRlVPgoOoPlwAWrQn4q1CTLz6gpWOdzWLBAMuiM9
vghg5Ol+GZjcdd6R5d71ilNPs09QU+1RUQagXN4gChcMSWRjN1CWkwxgWq207GS9uyPTtUdAmrpr
0xexfQ+Timl2HYML7bWvxKzmg2IWCA2uk8O3i+ixbvp0y970xKIguWaU35mYVdnf3ElUsGMXLjm/
dG7qI5t6uAGCcbV3YrYuBtfrjB5rWqmH3F+QmpbPbZua71quMPyt5KC0HujxvABUk5e8T1ChVXrF
Yu09JhHJHR4gP21asYClBx6wy2glFyIIHdOAsTUnoXvVRIBYAeodFo7kmQskh89Ahg1cQdAaCOqq
y7cvS+NAqcNM+Vvf2kxn5R9k/avBmoJKK6i2GoDC03EVhuCWHPSU4cy1G+Ttw5aEABz9ZpuWg75Y
dvnaqHdRt7MRDBFdcniae0nZ4Is7/D2arkI54BWeqKZL8OoHULVZuRha6E320xLSH9dXD2wZkctr
4H0mPWafPdFU5QtavL5Orhosjowzzbikrp9QmJFUfuQJ4aoCUz/SpjFv3pSvQlOTjxq+dWkT7DmR
LhLDFdTrboopFPwONJDl1YeGwGNpFPCg0MsgMQi/4ETe1veFYHChEdlzZa6Xxa0hZdqaH4sV5fGs
GCbO5ULr1yZZ+H+Qz4Q71xQijW+f91O0r6KjpibkXqmVta3U5V2IgvnpEh3TfgbzMS1x8fymFuVn
G5hESmJAfj9KcKikPAgwh660yuEysQ/Bb5kwYKXtXW0yYXzQJk+fsC3xE3pGsvVDJTldqalH/f4m
Y0f40ewL4jxxRbizNVXT5OFZMOCIs/D8BIGYFu8JjKxIi6r9KIX05N6P73L1hOBXr7hoHGmnfuC/
73xiXgCiidkVjAFDAVsjLf4tsLPvdVWkIBPAG9WGgH7pf/vrrngyg76IkyTzzqkv0FOkWA9M3AYX
OnxfDjnBeht2NI8gFgxACkz75sGETyvNnnl3w48GpJ33l23L6i1bLfBKAaC/aVpUk4PpchfujGct
c4+a+qMQpOCdGYNxRrQV5ktos2JZwH8el8K/rqiO6D8uv2sTCOtwlxHCbTCrefXIQROLhDXEjezW
+4YRK5uTe3wLfG1pZTQ7+wNeS5U/TiP0y8HCDArDWhVP3lul0U8zSWQWtbXCDwMmKN4DJhRtRK+Z
6sRj5lapjajOtzlxF4k4zTLyi6efk9c8qZpePWrZwaelFtAd/9ke2SKVkJEbj6i+8OZuvxzpjnbd
QBx+GiwVW9uk0p1SGk66xzd9pF2de2v8QEIUiv+rVbJo8XB09S4jheoL2RbvucI2c1+GZ21TCdqc
o9M5jyHK3nJOFV5wTm1xqrhs0k0nrWgiIEO4gBqK6qE0pLgMmoQG8d/a6vWIWWg8ob6g7KuSQwxQ
FRUFdhqIwblb/JLRZffiwy+yG55u19e52S3TL6/18CW6FadY2dRUR/NTLpNsvt3QPFXuskbqAATj
uO3K7weWcNkfqceVVwjSKjZ57KkPhn8SMex6LKCzoEtv8Eoc/4rUMs6jggbeRhA1m6vRZXKGOqus
CCL/KWgNVm6P8q1xlZxaLxl0IpXGhtjydXCuZS7AIPqtAnaApPTSxe3CTuqJXb5n8qAqjIfKHHYN
6h/jXOvcVaXv+DclbDjdZ5IdQIVc3ZgbF6S5GiJEDlTjPEfi/s4OJaO/wXIvn8wtkoZeik4cZPfQ
kRZmF6EVsdlC1/UI3I/bPscpwkYmbUL1d9qvkcTKhrnJbf2dK7B6kZoHlwU8CnweayPEQYdmnAlV
+xueP42Kqs3jCVSeoarrQ0B2u1cVes45SfCEIQ5Uorw1GFrrdxvTqQfBmtIUsm5OyB+CaXLM2CS5
XP8P1JTCRGhVr7H2REOQhlEe06JIzfeygmF7a3SrZenFYYRESok5X0UfryegfYtN9pAnqbZa8IfW
J+bp4n+9Ia84cs6ZCB9FDYrBowPHCNEOd8IEaSMKsWKfnfuzXAdfjbZEKtSHXLJtXkFPmTSEy9ry
lEF0X+5ey3COO57JojdgHg10qs6a6WKAMwrPD+FksYK2gtT+39p8wZmgKtFC8fwY1g6qXPsDvgBC
8hLg6bpOGydJ7bruAPS1oVWzmO7Segv5rCQudHqGbZ/9poIxmpcA5ivfReyAalZaoezuiOXLVhk8
4uQLaWvU7E1y5QJIhrhDvy+2+7cWEfOwaKsK/vE2iQqbTnXkHtCurz31pG0K7UKO9T6s7Bk4GTPr
rcQsfLAHepn3pk0cyf5PtOLTE/mDYc2fY7XfjCs4FmNx6yu9LQPfPDaFUYvU1vObnxN92Bm7j2wp
RKjq+b2qBmrKHGH/Fy2mfadhTIU9B7RJjdzUZQxBL9lmep7sNBiuT3/1xUgJG5DmwkHNITufqJRu
CEv3pUoSPQfxuY4wsY3jV8X1+gDNqxeyd++TPbHVY/PkrkoqSUO4QkBcWJty3gBjedcy3CBQguY8
y3LtazRGx3VZGPEBSJXyXA/CjG2rEDRlWbXVenf9g0RzVEPNPSi24bj7TkQG/BaY/gU7dHQSgAlk
xdGM9u7YdMnJmWE94QXSgQs0BlipGDSUjfcpvBUC9Akhdw4FouNoC4dpuNEXr/+o//kxrD4GS7BB
ajw2e1Qp3Io/JX6zk3UxJZrZtomX7gGAZXQhOHnzzFY/4e0RPYP105fmbLgygGTMYFXVMwTE0Pbg
p3aUZ+TtbHWlRf0LMRY1oxcezBAl8rLvNgxdHUB9u6BnkV1qAFM+fvIRCnVcBT1uzN1mSnCJtXE/
/ycEuJOb1OrEUFdCB+OlD/yl2CZT3jTI7TqcAEruNJwFVCKddSR2t5az+C6/cMO2ztXSJm0V6GvM
JDicxoMhZ+gb+HF6P9sHi7JQ3X7xdXSIkagtgJYe8Ap0y/VwaHkO2R7j7JC9ePAz+97QlnNi+8f2
pqdjwuw/U9SAxR0XSLpPNY0uzD6voiqT7xHQLficzWJ0Bjd5M8zIBo1IwfXoVLi1eRNIWf0lSAbY
JOZohUD+9rhTJz8P8I4sBE25Hr6spjPRxfIM6qaXTe9GcgoC3PvyGX3qhTr/tWNSM7iZhbrdfs71
CvnbU9ABhVjUD0FpoaeZGpRWN0MnS4qwoUoTyb4VJq3Gae6TEJ6wlptCYp/egC0Zs90ITd4YNAcl
XF5gyUYc3HrrMA+K9TSc5M6PZrFRq3tSBSsZ0IcmNs8XY40wWnx7xPvYl5XLvscHqS7g3U4t/uuO
+23jl+OtmqTtgQOlMRj2/oyxeAfi4cZp6qGdq8AdbRP8/lddOrIoe58eJnzK2hBdlsQxj8jBkdMk
M21PZnEbzNIND76QUmWCHsxgeUA3oLbugvaG+gYSPaeL+hn8ijjwpGY46efggVCLA6571CQPJe7T
AzpoLb7iASL0se7vHfxs49FVhF7YqacLqodz871cKpNjhgxh9B6IOdYvAgFuHmNfGRXksy/B46hZ
sjaFQ6g//P3YYBUIv3yZ1zhPafzGK+dCnIpAf8L42i+yZMRnFQTVCViKxnQNvP1DH+s42EhiKg8f
mK4QNK6qJXD8eZba0STTkNqKmXW6mf7zxYyCSbZ8beNN2fGVDY73ALbwnZ8/6AXgcMD0EQWtb7Ge
Api6nq4EDemDLpxIbbrKCBJo8216oREX8wiyX047q9nRXZvFlcU6Z5MIExsRmlhIlqmzI5pzcHSD
BxLMhWSHJYq3IZ9wkyzVzll7/ZZuOeZvFdcXSDWnzCDmY6CrBiqfOhISq+/pQ3SpuP96dtp4GprO
/vfEw7D6t1xjERw3UW73ENHu1ONH3kVqiDo29YuG/BSyuDWyFD4SJfKWF8T0WjFl4mxbfEbPmhpP
hip4vK/wobof29ydM3u0L8OFIfRz5LdnuuXxroYOTnq3w6EbhWwMH673xziM2rTR3U2AqMH22uF5
eKfjU5ztVvkYzgqlQWylbTqd/M0PiJ8MQnT6VpdF+ek/cLh5aQ6sBgFuxbejcabls6t+iTewOuOi
HlSwyrREv5Xpxme8BN6DXgAQUNuI1Xf7zlQNsf1pojH/Hie8sjKnbbd5T4H4WcpbjOYEfGqsXM7h
pijWC/f4fIN5Ftqc+5+FRPKZ7Ayl3DQqE7mZ95cVHBZ4zZhvxyreRp+lz+qqWoTWRUEjheXzyv3l
yvM7HGzWAfZXAoa53xbNwqcMAX20HtanuMiXXKShq/CVbgBGbIxPPwtIAf914I6/QPXS5cNBTxSZ
L77vmrg/1W2Od+1744UtmzJqDT9eUhBm1MalohCohC4gQ5uRm6nfzcbjaibhZ1fO+7dX5pzAy6FV
o3ie3R6rOVjytyA2Mb8HmhLnBxn2MH7mqKBCSA7STmGH0KP1f2KGdLYBbPD138SLoN80HFLI+Pmb
/stODtbM0bj3S12Ia6lXiadL0L//AEk/1Yrhi4GJCsCAtWu21QjJ6c/bwNDTWxu9kBT0M619Bnn1
Ii9JvZdojklcVIR5TxVLE7g4rCXgBZheDOp8RSJd0dWvUmQRYL8qd+N0WqxY9PgedRDPzZngkeo1
BCx6y1N3yE3rOxyxEbhlLud++hmWyADYrYpWwnn3G72PCAsNiT2lj32U0VhOYdbwccohuFPReYdO
TT84zbmKrnUjfgv41/WITfMnGvamwt0avoDj5zrvUvusMMgh9KHbjKVgOERIZuoep7IdyD228+R3
3DMa2PXLPSb3Og5ykIKD6CujR4Xc1z3nr5HY0lzpKziVHdO5jjUpDUlLAZmNSUwEesg9p6rFBYEL
OpKmTlNI2SGXcYMbSJXiJz+8tnyRLj8gDQUNW0XBSaqo6FKhZimit198U3ijTbKA1KIOZi7M0hHN
v0UuafyO94uK8xvFEcWJFpwE4HPUPdY78klAl0hq6PhVwUG31V/E/8ZEo2CKAVHQhNihJOuFtJe3
+j5FmAJ7noYGPiEI8m4e7iAwx0BVMkj3am3ARj0LdkEtVygvJhpiC/Kbzz90T3Jjp32jwze4cTo2
39rrSF4bTF/7Ber+C1+UefIy0JkHsbjiZ8VKH+g4K0iRGTb/Ylbmwh8ejHCXB/oDY+daYT04P+QB
AfClBxYNeRe67izryF5DFDpeaw5NsIZyQ5Tcvq8aZolb9vPCK34x24XcGbSwUySIzVIrrWYwjArH
RMXrA9EJfLzwDw6U7B6DJ2k9rgxeie273mmvv1+mF2d3MhXrOdje+feBEoz8eGyGVJZO0umF/kB2
iVAK8vG1bQ0aDyfX0Gs8EqPrpvos0wjzHtx8RdlIvoLih1iKatilSGFKzISunZlpFkqiQyMOnfdA
4ZRtq54ZRo6sj5jvUPduW/iPTeiwpKULt/opU+rqKTAhwZDnkCQ22Ar/ASFwdqxQmey8bGOZoo4I
ou87YXThRnWEOPmXpfItZdLpKn+1rtTHT7BdmVLzu82VSkcdAKZS+g3kL1EBwoqZT363hn58nmVC
oj+Yeg12FHqL4ajTVNd9DsYhp9UVCxnflMbficmwhSuY5TWOqhLE5s+IqYyPsHgpEECXVRGILcbS
8tzn1XO2RhC8T2hkh7dg2hxZCWXxTY3hoiE49yBYylz32+zxhy6ZXq2OvnbKxnXAwL/5f1dHi+02
ZsNBVn1YL7vw5eHVpPvbQNPeLNbzybX9gveaNRWT6px5YqcivjsX/4UqZDTPAztyM8d/A5GXRxSi
Zzh9iOp4dbmsQwODX98ytlncIqX//DCuq4PfTqrWSE/Y0nCfXrVPZxpV87hnKnAub4PMH4EM3fPX
CKNDA8iNbN5n0/o7hTggVsvG0v9YtIGJyXFqQIwkmPxGGiTjOur6imuxxjtKNBVlgvundjudZNPi
jrJZhIWxNs+nJqjpZUmpVlJedUbkB61FjzyAu/LBlvoHX+dcCdxOsTur/htc5YWyU/hN9HFe4lyD
SJDpk3it0Hoi2/4s3FSYu8Ezlr1o4ADuTUF7wfTliufI2bDAxVBXTno21ouN5PH5Y/EPnIEI0jBx
qKJkH9GdrcUoteGQFvJGI7BtVVcY1mst34BeRHa7CmDQO+eA6U/abE6iPP99ILFGbXnTbSx6vlBq
9pjNI11BL+UaiimT90CGFcGSJUS1ebh+HgvnD0vVF5V5psTz03Fajn0jhy8lis83Gmn9iQ0gHCA3
8FPs4VxjaAUYrLaRxvtJMCxr1dDMCboFHBgQmaOWLuuBn38hh8KNcA4b+ePKkid2wr8m+n9diyKM
lcStO/hny397wmIzn0IlPs2WvZ5tKucl2/T8FxGy9i76p5+7av+A5+ih9b0M2LrRPcT612zBOQlp
ULt0Jlh2apQw6/m1CDDQWD8Thk6i0xSiiAw8Yy9vsmYKTdO4R+aY9T2Rk3IocX5nmROGBgatLNKB
kii2+NO3t0KLkZVjhJWjf9CL/RUhl69CDFGpNZIl+D9phIRJyV15FiDFD3HuKol9OVyRSoyotbDY
DaxuQeKXhYw3CqvE3z9sHIHshU/4t1FDUvhfacd3V6cmgho/O4oB+SzmxY/6lYLsSwvOMMxhYCE2
QvUyzmGkYrqJh367pQY8/nOGV4CVwFcfiNF4u5IpgnOK0mKQUjWgLLthgdxmzNi19mKH3MkGKslM
8SnIAkuSK4EG789/PtU7ULsh786L+2xEeYfQ2dDA20ZrZ8Je1oCBQE3oI6z+kIsr83xwpRxcYn/t
fZNp1JjxElv80qYXOR1sK6SLSgZziDuTUVzsjaSv1KVdq86rjpbl6PphKEd/fNtwMyxhIbhucItp
uO4v/C/vTj53zbIvcs0DSBsssYLkgXrJF7JTF1wUdvXJ5OgusB/RnThOmgtG5kFr5oXiGI/5To3e
0JCvB9vY5yL0eYn7ias9mXS1V9xVlEZ2mV98MGlvH2fMzrjaWvbG4Foz2HwCSoiNBt8sLDtHI5yx
8EH0j34G7l3LbBYa4S5el3R+Rt3QapNzcJvcGkiaf4ZYoemmOUp3WpSYmPGH3394NJqr2rfmfXFX
To8t8Gm/Gc/v9pGyZwjLM9t5xLtr5NQ0lE5L1eccPMPYaiuYsTruUdE4yrvcGuerPJgvh64NbXqa
BrUTCxMns6StP4bV+sbyHNIlArE0C81K3AntKBQnDuzijCWvzqucLMOG9ykhYefB0pi8pgpH5kr6
eP9RHY3gOhQrbvoKQ5B0DfC4NqIo+8l3nqszH3tAy9RLtSsCKpi4+7VQZRDLBrDP9YSSbxSBo5o0
8VUmNmukSxHVzbI0dqE4RfyTN1AX3MAxtCCcTl/wKpZD7cIJ5yilpOzbGXOvU4+VUbmQJ8kbfuP9
Jw5BWXfajtJy3xouOTLuHpRWh1Il3eiRlWMtc+muuN2z8tqSJ2eARnAs9dyzGZVjKvMVCraloz3Y
WHWE9rmrV3p1CzfJGA7NaWuVsQa1mN56Mkg2URIOXU0GV8AEZGOHDj0GIpM2QlJSWC+Z7Y0UN7+A
5HEyf0cRGrqRGEy15nmWlCh3TSAFyAfdYLhGNWKmPjiWtBbZArS/HnlQAH0pQvcLeTX23Qo8Xb94
7bnCGa5klj7FOqZfWyIW/LGASSQ/TnEIV3M3Jer2/3xHE4RWm0gevJeQjbh4hfQDZmbeELER0pZx
COdEq3YnsiW6hbb9QMvwG5e33eDIXz/ulK2/fA95OMqCCFNMsURihuB3/xf/rTsl+QurgVlIL1bk
3kTksaP34xEEsJMPbS8i0n7JfosTKLugQB8hs0a1QwtauCOM/lPKfVr1Y6FimO5hxF5uJcBVr7/1
K/pjghq/WRI0wTgfmMXVacvhmncr3hviLa3TzoB9kKdRfpFxPMk1FO0NA0Dj5LUUfWGovEpkez9i
0wQuBjHFld6uwxLvGzhQ+053jj2TmhukQDnn3F0tLIAXSwvb3ovsDIEdwMq232y0fsq0W5RvSmoM
tUjFi6z+ujFMyzieYxiksNDSdX4Hs0LN7ze344qbNZV6vWnjUtZnG9cbcwtCzncn/lnQqeutK9VV
id63R4tMfU3ZVnR60lEfT47EWAKHwJRNQpbcXN3llHy6rZsPuqtoMnp1VTaxzdo1Qk6wgWHDG5Jn
x1I5bSYXdQ+6J9m3WEqJcW0H/tl/6kpTWnpVNd36YHJ4cVQnTOlZnWep75C+rpDcaaB7r58+8Xp1
EL8TrgDx0b1ewidwuf4/B9SQlTj4GBOvDxgj74AxOmQ+KjfygB3goKLS0JwYIh35J56wWWZkgDLG
VtpW1VJL29AKw8gBMebWhFnQz7DBuU4i0F0SPrlBkEn7B3ekYgudEzWPn8AzaU1LwxJzSnDpkvcL
ud8O+OAHWi8Rbw3Nr3AlSoyDP6IVwzu2s3QDUqU1ICyfc3m6pwsI3jYMoF98W1V9m9k2tCuuntR6
zc9CuumbMLIFdHTSY9Rr3Gb8aRJSfZkne3LfAgwViNFLhTJQ8zgTRU7YESxska9Vgn9flh2nwcue
6CmYyIKfWPNu9gTCqE8+0DbUZ0btoexGkIM8Gb7lLh5A/ogLACmPDdZBFdzOrcY+Bjl8aKNXtgd5
vU2ZPO61JvlOb/o3X0wPJi+8f/yTAPs2JQyb8To0eioMvM3030wWQGANhMdMwKP76mcUqKv5PKFU
hYQShXGvkmz8sjtb+sMTuCvXH+Qoo761BBTniaVJhaPHPD4efTQ+lS/nPOKoTnxJK4o+Zc+K50ak
SBxWgA2Ab1aAc0o1kh1C031wMwGw6W5/fzeRhLFFOdhWmHOw5G1jpauJwD1H4AfvuSACieehCm/j
qIul9D7QiWTCgrX2bM1PnS84NUB/JfIPGV8//SFqBEpA57Lgh4KxcsJCP4s9uq0ZupeeawfZcsts
7bwRLeATyXPpO8v5nzcQzaF7VqGIEDW8Fu55o13JWZGlBa7RVAD1VAAwNOxBpUIn8+Oesx4AD5dn
xYv657yQwdkl+lICPHL66aANSvC/zwxGdu8+blEAJzTn5TVcmLWcVAMIz9puh2ntrdwlnC9j0o25
w76DjTDov3VTHiKACaWXUSZs+cX+9kg/6lXFVEVrk5aSkphpXdSI93uAg4cQqrL44rB2+eZ7rRUx
dEx5t/Djeic7c4mkPGONbLO9HtfAspL3sMXsQqXr4YpUfR83N7ConLDRwmr+DyH6EP0TLHYCe3gL
mvXxIcXxJVIy1m9gvQoptf6bQ1uinhGyZnf7QhY49BnaffrEKZ92vuFqKTTAToHUcp5+m/gpOfGu
KiWUehfcQbx8X5oD3Kcwv6MNB1IuSQZME6ARSqc+mmLFdTl/A2Zk0A2dpLp0ShP/5I5QSinzX/Ic
vkQz6X+DXAhWdvEqPV/WJtHNvp+54Bmb/wVEb8ugMbiiW+1JwHUS5OtEX1U+R0AiSkQ6Hsqf4khj
7To/liKgpU91sstLJk7P8M2CQ0soSV+N6BEBiRPWgU6R0Lj7AA4sv/7Pg3BkUeUK2TB+LAVkAKot
3N1x+JpwGtAYDae6Ne7+mHme1lB0w7hESeN5Izp24iiMyJQX2Ptb7bLaxkHld91r/BN6mQLHWYUl
jjxwK8MCkjvBviIB3wBplV0N+uTUGCIHD9vx5MszsMEX4Qbf4TLMYKS29NIc39F4ET5tcUjc6MH0
mmbdm69QVbFogdBJ8JgxrQHH1UoeebB6SmcHuFY9MpL5GC/z9UnM7Q/5ztPhN/YOA7tvV911hOzU
Kfn6VNzDP8YEIBAY5ttV0yqDcumXK4YKcutZ3g5ysRuX3aya+vSyPcyuo7GZiOmdndue+bBK4sXB
FdAMRZoSlWB4QgrvVEAkXog48JiJB9fqNahgvI91nbvE3kfDwo460F5fuIBSPEtmEgACQbsdwQbC
9yuEtforBqsvkmos28b2scYndLu34ocWoIZoW18O1q8hbdZK4ruE36F6xWOueedbOGx+gdjBCvMO
P8yHh/LcOj33lqml/seXTpAGDIitIVm5zzxxkLeTHsu9/ldfBf/4rv/siLAw+j1zocZqV2DhH7u8
zqd8DFnkzCYoBPHwXBWUjz9Mecre9kIO3ldlW3oG2wjt1csBkKeTIMW2MDdqyMQGgeVWACpIVzDB
y3s+o9vgTGHYNVYkv+rr8pSwD8XNU5zFrnrobqWrnkjn2FDJZgbp7Tta/k1K1rzd7upjTk8B+k9W
cl67RzD32MnSz9rKgwdKGTdj0lQmVyKnrxJbYp0uQy3nfjRXOVmM/afibLcGdOfj+MLr9Tw0aHwG
k1c0gyKKCARwQGJm2BmU8KyuttJVtLAxlZX2mPej2rbkEFUx9UML31Xpt0ORiNPtZ/+1yWJ84HIN
bRPJh/NYyJmbt4tLe98hFPNxHUAJUlhu2dG0qhE1F9U24atasf+QAhafR38uYOE6dd2cmmLHl9mO
KUSpsxCnPVKO4LjNmTky3YPKsjwllc6erl4jT8BjTRhwWGk1jTX/rgCfyebTZ4LqG4vZAcLLN07y
XUKpBLqQKx6PjNX/5nSH6LfZEmvehervxEMfNF1hn90mCxbGJyYJYWbxgODFnsZnOmXDP5ZuAWl3
w1z8106TXk8nmT8oYfU4N0rTmXYxVtzRcXX1/aPle3vDFNxL/citjxbX5Kp6BuqAwdK3P7e9X8As
QtHmkqYi6jYmJu19MHgfXDWplGM3MPQa3jknEcDbAMlvElp6pgZA7HUP58GGL/WBcvuEeEnAstk1
1oGZrz4n5uQMIbwCnP6oLiHoIGR8j4oLMErUPFXz0UbAvv1YoVTlC6b/xmNhTrHAV3C5wE7fTg/j
YXY8WHh5/GkPZRk+ZVIaCqbHejhM8MNfkjPGLxGypz1lY0EWskcB4ox3Ua1akykpLmqF7lXvpWP5
wpryKEpkLtwQ+2KNEcVtbIkkzrA2Cb6Gg8CLFyIIFvoxuPeTvAzUCrTDtkFYR+Nafs9JIsE6z70H
zy/dz5DgSJ5mOzGCVi6NgcQxbi5YOcvNMqasyN15O0WMYrEy3HtzQ9t0tkYE/831bB3nx/Ko7Lyn
c0+dQk185QmR8WKN3VcPVymfMbPXjJu/hT+cnMkOkC6J1kmz+BcfeQZlz6W9YkUfrCCthg0X10BI
ppDTpWjF1QaGB5UwV5Am0Pz5xgJqr8DDsDiJyu7RczOMUnseGqfr1KJx1Dzis9SmS2P8uBTUTd1o
pIFbMRp09WisM04WbR75/NIjot5zSQdXhPlxY07H+QrxdXgwYA33lYrr/l2pSbZjV5DqVTxyCosA
7Mu/kOsTM2Uyhx0KqRjCyumzQ9m4fOkJmcy+VJaXj1XzaonnAuJECzRRyTbHMrXJxLJ9berrwjWU
nug5Gmuh/f37USk7Nt/SjfVlpn2ZZTE7+qk8M9HC1R0e4vwnHy4/BmJB9+zSaF07JNxW1+vCg2+C
jnlri2xsGYQwFCFBBiHF5Z4IND/dvUvK4oslrLJAJhf6bWpQV4Vgbxd/9QXaDw1Cks6g3eALa63D
/15WLtcESX9DTI0te0K1nZ2etY960vKBlBl8gdOa8VTymOnSX/zsarcK8F1OS62C0CffbaWOZ9dl
tG3nABbHvGx8qf0JQMl7KCuq5G/VpCgZXat/Lv5WSbJHXUTYs4L2wsoDh1o/CIgOC9ywv1WdOEyU
gJ/Qt4aJtBCx+3kpLrPpTsW/jBf5eBUYwb3RwxN2e5AGBGu6IccCQxPKR9UcZI6V8Nxnr/SkY3vJ
tpn0U1Iu79Y1oS5TU+l/XubOt5jgCeFGmuu31EQXE/S6tgyqRKBciIUYZcb+beeBmTYwC22ROskn
PVDZE02zD9dNNdNgxC5KFKAW2lgQ6Vnh+LofSbsny1Yci/eIYy9vly85CRDlp78Z4QYNvCMz8ply
zmnoncLDB+l008875oxxUwWFlpO06g/DDGIOUU+To4Ic30XzBLrwT9iZJFfDzcJZ8uRP4Py4R4IV
3GFXN6Ws770ZSC/hzJzUX+mi+uzPF4ZGz0bNYANf3bXuJk7byCFSlNnr/MpgXI5VKQG0MGDD0wyh
iA9XU9rn512Pj36emApW7MSpNadwXTAKfOuc5FwF2ImDEDd6G+bpCu8N+P3hcq6ENP8KbNzEexeW
WKvj/6Dbrdk0goBz7KurfvCF26sH2zJ5LMFjorWTN3RUBdDy039gpmoVpDnkLfwjIf0Ysa83ltD+
KImCluzuX2pjZ0fFK5HbGBofmCF6AniN/SbOFsuihFf7XZtDhuwa3hFEvfCXikMt0ioU1fMNA+wn
Yxvbi2RNF2z+/wBUnaKPY4LvTaupIBcgXKm2D9ecb4BcSagiN/KLDjuimOqfGQAvB3T8Xeq617kE
rATcPJbvWKgeyMjAVkeSMa4zBynkOJ1ao1s3YaD6lh+SKpe4T4Kme3MPVtaQKMrduxpirZQNQ8ib
0VahN71KJg7Q32muhaky/A8C+37/FAZ4QL2Z9Dn0f8TDXvDkz5OVoHGqsFSLn1GErl9qcWQrTHR2
YsIZG8gWlwOp1N1ZlsweuLKPqWSR89MKFjYg4XAn6SJ96rEF9NHXICO5UAfzj6zlXubwxyJm8n9E
hwDk99q5GvHBwiBlPKq8ThhvBUPcjQeTSfNXo9/8nDat/wTX9wipC81VUXvqYl+Gh9lJgzeVqpF4
CNBm6f8o9OMfGbj1LyB4VvEIDmYIdUfGR9TsVjUAAa4AuH/o7Hg89GmrDPaCQai0WllomlQXZyxE
9gMjvsLQjhI/ns3Idfrlx5K8gT1Wd7JPzCqIW9qhlg6uKC1ytOCumrzdknx4RWwGlU+2PSOGaJuX
UGP8/SRydUaMlIFlyIrg3ocvJexu6b9bjcXmnqVsq3J8Nbas7O1rOZ7Vg8X3LYUyFpIaZ4ZDbr2E
jjU8JZlT8Hx4sXi2UtErN2PPeW9GDgP/QolpOWniffqDCiXg6WU9N6dcOU/HeD0wR3KOHCHZVWSi
oTdPZW14BVwpqh48GpbvxaefeoJu+AvSpRG5kfYjL6SoWq25ZAYSKjtifBtk7/099kiGJQ1zuCLK
qWuDY3NfwS5xQgAOHgKAmRYvkoJRhXBqVmgahr5QXzqKMwbTK5pkQFHX/escOCUVJihQQSCT/k5Y
R55UiMypxv14cWzoq69XKDhmtRaBbH/Egkd8dNG5H6riMUEkpXEdpR6GVL4MNZzE7YyyljZwI+Kr
WQQeVSphy6ROTz86POuUVtY9nhf2WsVUN/2aYtJP/l/5EV4tyPblElG9qa+hRPxM97tCjJz8o9nU
wiWXV8BlmkSULL5syMHqypwVclc84aVvhbqu+QV5dlOaeigJXt6tMec6UCZzjB+O2VjkG/bMt8YD
+14dzux3OtwbzmdWJjfzpKsotWiL0GzWH4CdNBnNtgOEhHdQ73NHkU2Td5S3k3phxdLobo9eaFEU
dML8tbVnoUGQ8/aIip5GVqJdvD0ZzTGv0B68jwJ5rkZkUoUp8Fr/a9/seDZD/ReHwiHZa6DiPZSS
r7/KyaC6FjCPkk713Oa/KjvitzGGjasCQOZG5x+YK4GuoRAZOTPZAJR2WTdn/c84TvrpXSitvbef
efubk1mx0j62x2Lo8kEgQEcKmn0vBXljhukGSkc1YRPfasxYriCs512GLHc6eTrsijtwYhMpb9RT
IKVL8fF/gKhUA4K6HjiPbozHu1cF0YTHQ3V/2hXTQxjiYhcnm9uvMY+UWepOAXvtiBwowkGEnRov
kE0Mp7uCGBEvghzOKihtgNnTwQdlChvkBHJVmeh7g+mpxxKtxiAsEtIncsYe2yFAparRLvhl60KQ
4gXYuQtbBuHCrjN9juuOYfo8pm5ot8X6x/kLRfnR1zJKahHbvXj+O2nwx8smYRk9CRxQaKzzfpHz
vNCqK0ACDAHTeTPZT2ZgRVg8Uz2J7fOdV1NGDthQvPIA7TDE1Af5dfnAu4EDcck1tzPOY2ak8im2
pFCgUQwuGv4ysevrqCdvY2DAhA33gcpMir2HX0nXlurSA1kOeXfonIQpWhFDUbSwck6FKHoTO7Ef
2bNzwsC9FX8dhG6iLyFwF48/+fWwb5oe7eOhv0WzhPZ/iRRoJxFB181cdrGXap9eorBkPh8jjAXR
Rd5doue9hkiWgMe5VGywGtgHphj3d3sii7mWm4yXq1kJ28/87eMM4mPZrP7fYfNX2zNw+GOj41I5
idcEZOzC64PeNAqVunZC5tDUezGoB7uqOXm5KIGXcSJbjYSirUjYspRsCquWpWzEE0QMHsXMpUhg
0sC9CzWem20+sODRGLdoRbgRytPOy36keSPWL33SSunWUYkngAoImmmAOtQwF97b0H0Nli9oS6XR
82CCCS6LreCo6zNVmjum4tWo73BO6rcf5fdd1MpZnTVa7xoBzscT9eKM0z+0Ck/fPzUBJxR4rDOH
t1M1V8a4Rk0TDTq7YdC1mrHzKY/okyqUgorE4Qo7B+63DVblS5GKrmaOgibr4AIhXxxcEG1xsFQE
sOx2Vcv008mhEuyRwBhM7XDkyM7D6YMN17gU1mmLURZUTSzYHZn5w51KFiF9DvWm2yccYlWXf8N1
EMIDDEZ9MQYRKIwr0UbLFcFh7nOcJm2qHg1laca5dyIB3xwK3VB0dzJCPquVWaAtyIYyLmgbknrO
LdjA9CdwKeXU3bk4AJTu0vceQnJInOI0w9zYHFAMDokAdYZgPu3X8+Il2N0otoYyklEmUyzBtfJ4
2hB3Ph10lnZveB0AyJ7FLgQzPoduilXMz3Wf2McO7KChbP+B2HJkyiXnAirbjwve3Wi96RHXq4vu
IDwUuaB0kDXZTELDBrKbFIcfv2MRd7eRJWeJnpFGT9zqqWM8w1I+BajuQtZ18FJuXFbWJXiG7Jv4
nlHRNv4qotcK2mfg4tm2OnWd6+cAJF5rDhfalq7ybu7SV4HfxTXZv5pT0veHQebZtXRQhyjpfvYB
Q2kKzn7r4rkghVF5FHOAuPhWawQdQzUKTRnR5aHrbqz3jUZDAEdCnczAzQGi4dczA+2zXsrdRGvk
e2St4z2aTA9WrfVLZ8qaEtamE28SXCEEFerWILi2Y3v1WCpc0rebUOqaQBllbiWXzenaeG4+3QpH
4sOG36NyuyNnKXkEpMv1iemYAAds/vab9zrn0iybPznIGyVo0ouoQHe+6pNlu8WIE6GOCV3B9BpI
DEz1+U1a7FrN49zoXhX9UkI+oVWJdr0/LbkZxxmebZ3JzpY9x42ge5HIYAX8Uak7J0+r62TtRRoq
8hiPzBtWvAmiEdPi9Jum7fhMjcpFI45IU7BTuEZJ6HWEzJLfxFOrlbiGnlLq5WC2UPIhACEWO/n2
WXpbkhZLbXjzVtpoL3H+odzuAl9E7nXwARpEuREnmGaOZiKCrAtKWCfJm42+Kak7sXu1iTNTFGLn
nazbo/qRQuvsGUQyfDJ5z3aNAy7FI4iFSbPVOnSo5V01JpIpn55MRdMuW3rR4mnFMhMib3ojoTmJ
ZrsuWFKgTmek6bzVe6YtXrSFDF6AbM/gjt5ex5oyzYAQNtWgH8y5rbPw35C+s1eOK0NjFcxaJnHF
w8PZyIGAHE8mpFVwYeiJUSmJ0H4zMoaXIw5GA3IKbS4xPvWPJsJT6i8EDob/nF34MU/ORnzBnChU
pvYyWPQzDugFW9T+8qEsPwphn4etSvaD7oItv1sf1beEJiw2ylABd3kmLSDtFGXBYFsU/Ae7Tp1V
xt240UBGOvkYcGLzIdMs+1gz/gH/1A8MkHjXYABKRqxqX4jyjlp2xotUxK+FvcKgFCEWhZ9RokZ0
fp3cR0a4W0R1/uj5S+OnBO8qwuixpZIT1rZCqZ0kEldaxL4oFprGtg+i+qKYiYxFIEE2lIYTh/Vz
yxr5DvmPQWvUtw8rcuU9yXwRG29pBZuaA6af/qIfdaI3MgVbJeJMxK54O2O1AVDs6M3kJdxxTOZy
DLHpvlhQffQANdCQHR6bBZXeHbBkq4v2W41CBiiGkslO3rxEdLa8bxN6NuxRb5XOMW6d7Xm2jGWi
ov+rZF953hDbppXUspMVmdEXou0yXgT5UMMqxBvBGNL6UmtdWzJDssNkx/UWCiUS6fwpN6uC+aC4
l92JNgINNOAEBJx3C1RQu4DRBfMdZTr+iBukNMoJveASdLD+vYrmmgdRAQo9Bz/Pc3JP8DPnSuPb
otPl/kfADAuCwXsACLaBtu6BpksiNeSODBVoiI0mXFQEhz1hMpJnjysMT9noK6QAZL2U5qjB9/N/
oBPl1OAWH4PhEXnePbfJTUtIKFhX81arA8iN7KjiNOTCobLfx6euwPj3C4lna1mbhYciTKp/KR3N
23Y252jBW3EOkGvxn4Ezw/PyaTss7Zcb+GxEzjLlWCbjkygaao8EX6XySw9kLyXI0PVudekqb9h0
S6WyjUOrFX6abrLgQPihSCFA8eM3+qFguDhdG9xD7YXZtqnaTgYeGip2agz7cfXfBTKBmlDXHRh9
z6KML3zf1jwd9hPv3zPwG1pzbCuo+KdM74cRhlITzE0RGfF3EhDxqJCmFSMlFioMGFHT6+82L2Ru
nyc2heJSXIntabX2DrQ5Dy9YJHU/0gzw1Uj6fgQtZ88zoMU5S9COVv5XbV/SxN384EXROR5uucyM
HWIZrP9Un1zVNXb0+fSTSb6YVgBBmbNuEOsWyeTrOXm2FknzTjyYbvNcGBdHEPTdokGGV6DM2VG2
DizXJtQg/FtYRUPzjuhicE0c2zTG+bURBNmBm//CueqUcvos/Q7dmB9Px3lgjox6VmYntc/nlDcc
O5asPnrmDKgRvRGxbqrAu6xx+nbG+d/yYWW0vUzm3huwddFyHMl4+7559bvX2BehqXRLdX2F9/vI
UMf97EhrV7VwRjtsX4Lbi8zHhztZq340H9RcRiNBBfXcc4G4e1Fh0HYMI2b0kIODI6K42cMbxbOx
HK/PBvzoKZO7WjoFPLPQ5ywpg2wl9AxTFxTUVZsMj2YlCBw+VQ7WRZwLvtwAl3xzR0tbaTSoln6N
9IFYheCM6wPnpyl3KytkAIf2klksRcgUDEhCbEg8fV95R2NNfcmolKzW2ZHvJRCwbz6rclk9OBT0
8sEtwi2Hl7A2q802Jw+tUCbWyxAVBS5+foHmamkp96EJTCgbt9vSZCHNhf+0aWuFlmpcJ8sJAis/
aCM27tcNvcFMqtahzRL1OzOXzVeBZ9QnUDGdoiT8SoZsIHrqEpbfoBK/CicWqlJeWL7sgEg54s9n
SPqafPG5e85vgsU4NukDPotN14M9OOa/HKDY+arXFxRopeBzwsfwq+1sVJHsznlmTYbmyxnmfFg+
UsSCXjmyHi0AIJFvgWGsBo1+ZYrz2PSZctJwyq/9Av1nVeuP/ztsKv4fb5U4UmHA/4rzdt0dWwOX
xqCf5Wr9hGmOi5fU1/SiDYSFssbRP2gTXD/DT4aIczpAwyjL8MsIgTC7v2a0ceOL/TWBttrJNtRm
J9yeuVqV7VflaRnXZ0xJwD13QhXyK+Jr0Twe4y/jEqbQAXhrJTN3LFbGH3Bz4Nb6uohINH84nyrs
DfyMQbNF5L7dSfsFPYDVtY+Xq2M5IKjein0G5E+FrJXLJyO3rgnbpBlpwCQApM/e8OMKxiOecgvP
rChoIfFfEx/uwpule2/AjkyVaZbMm9LUczX9eNoodaQoIIskVWExeWlm2+MH6FWV7t0Koceq9guB
7qyqopHQkrbEHqAFDFPY7TUAAPn62P/Fv9EAB0G3Ra0sO67OKkX3ub7kOemuW/PH/BfYyNjo3ZYR
PbBKLxXnLmUFzl9uEXh28Q5KXp6s60albLkToEWvbY5kUPfgxfxlQkYS6q+jRjVBwY9uOiJs52Pf
iFaucdQ4CfGI6A47c28zUM07BPpp/37YKLECttnoOphsRYjpajLMHONmUj91gH0d+yVw8s942XN/
idJ3Gk7fitwQ7HgcC7CDntUrh1EcHFmuTMA8+CtVnosWu2haf+fn8Xds+3gVmXcVK/ljQaO/jWQz
RKlkpLzoiUW3+HdKyJN+1wYndwwuqhPpbz6C+DXLw20dlhZpjer3T8FXYUmUNAobTmkWtpGFe+BZ
1P5kCl/RQDn7JTy0eiDfNYY5U1pcSaiteDNo30YH1Uppshy3Lyi5fa/eRgpxqbA3ODicJ4jFhyHx
Vy951z1nFdiKSjVcYbJb1LZWUjAn5TfzfbbQY8uEAq66NyKfO3nmqCprUB0eX8hToAVxN3xvrDiT
zkSnFOZkTlaOk39//Xft6Qhe3Weku1ULmGZ8wio980dOgxJicqB5o+FPoDmmdLgbxuq6EllE8j8c
7/rkEhlXffuqy5+cKN/Q8bfs9wfYbH1A17pQZ4pc3V1h97ee1s8pkjyvedBZVvtycwY3kW9R7ko2
SvjX1o5BITB8E5QbAtzm6Hyc0Jb0xcx9mssaoIN/15VZ9TDUET+rGqRpI5b7AGu6doSIr+/4tVny
8gvFkq5LWdIV6WF6DjtNzbwf0SFvyuGlyV5lX1BKDsX1XskRr2abiSoMQASMPu/ACatUnLg0OQQ8
dOyMW8kOkKos3qKoKQZgQeHOFlYvFK9Iyggn4kXhNOAV/G24+Fu8aBjV19JlCN2JhUHTdePEJNVY
xck2vwhDKQHI/tJWQBEOq1puy0IBBu4cLtej7XdnupcI/QS5j+C5NlN1+8bF6KOPvfPtFy64glSv
FiERQ1QeSMGSNldhgvfuwDVCZekuER9QvFWr51alVz4jQjbt4BQGB9ie6T3lgmAFYSv7MXmjU3Wh
koggNrl806XjbrdVAOowaNG+rkhCSaf+pmTxqp5VbDi5ktlv1Sm34jjjMkpkJaKKSTggPOWwRM46
uX6240+KEb2dujz7PjsxyJq1zIRER+ryHPpopvxk30s4XntvNzJ1+QKTv8kLNWsvWE4tO7A3BUNv
hyrBjNCy87yHaTQ8GggQW6RWuj+4rNeWOS9+sV+iCirSFdytE3JGcCNxm6VcYgOsM/9f9GInkhpY
NXsPJKxVhxlp6jX87bHquS5HhX/wwtVMUFPwt4sWIxGcV4TqgQMUmeQhcPW80w/jErLVgYMiMsNn
8trhzno2cebt1FePBTS4c3e5wscOaEx4RzYbZ1YdL9ix5gynevtujNVlTHsyzYKWp6oqIubMdeO5
ms7qn3esgHgzPimZ0t3OGMWcfrrT5ibnQuupdvXHRqtgrLlQpV2t1cyNtWdfZjrbLG6jfk8BiNM7
/KTSWTIlQGfWEMqo2onjPI5g9/Yli+VAo/6+HHNKvg2EDXJCX3AqWdLLl1b6RtvVfpJ6gRGSPsJC
8TrGpHvTgBo5PEz2YUfmLIQq56Pz/i0/QxegpfSDKl7Pe93Pyw3fTS9INovhGhsVd8CDrJopuvne
XjQmjGG4tS2yna4bLDfc7Shw3DRE+U8bwuiOUfzkVC8TYc3Tjh3JkBfBkfpjkvN+c90W+1fj92wr
L/L0GU26WYDlto5yexjQ0udnBrSNJUOdH9InfjRyImFbiIU/Hb8AdKTh+hetJJe9psRpjLjjXJFJ
f579tP1kZTd1ErV39NUU7AZ561JImOaUnw+NqbivhqzHsBhdDrjm7aQpdp2/wxJt0hmf/H2K7nav
QFV1JGIdMC/dTsMY74Kbtz+tsCVinRVawyXZ7EvlmAzF4o+nA1Dc961yJPvm93J5RrsfVrw08ztw
lyh3VEY51ycsJx0qcnsVAEJh2vFGWajxea5ML+XwIuwNbo04ZRNQMjzGRoXbWoXMe6U8XFwaqk11
UUkKOl5HfDaL/grQwZ6GirXxnEFqZqAPzPmGDAIej4I+KROIgsnvqbP3mRqrMp3xowtqtHFdyDCs
gANfd7lAMOGSc5aeWkEQmqFtoTOnXL2d5z/pRVqwINoUtLv+TLN/QWnCkdB050S7UfeuJjSYc3/W
9cmoRmrx4zb7Avj4F7Twuh5RmGu8X/ryp840OC7vBPOwnX1L8uQTCv0ubVBpJ0DdHULX2pi7+tJ1
zmF1//aRvisJ0+HCokYgDDN0KWq0NlGSKVUPVFFll1qsEpiglnyt5FJRr+SKaTHnvGYlGutvyGSN
ltNvFabMj5cy5WQKgdcAJOhbBYnWTLNSaoehFlcjIS3EOkjLgxlo6FgXvow/PpoTNZ/lN1Dkl5vj
z0KkVERTrccKkSh9dksaUzA/oZHuKyE5ub0wXFiggH9wXTctLKjTB7lay/NF2N+qE7RaDDzp7zJZ
kOkNOEyApBqlbsRzmpb7UzgAYc2HCbB4TiuNWa7MCjh3Q1iq8xy8SZwmG/mKAAtHznxKFCNSa0mU
luap7I4EQafc9DwyCwwVl2tm4Mj2DzMf95n57CvJX4In5O71kr//YKseKGd9u2BWfobDBgtHGmTA
Wqzc8XPyj9RyEWuvdO0vR+3wqDejV6tYaytXj9mGDuH2G5CBuBrzat7m8fuqqy1qZ90ez8D2BbWt
5+rE9Ff+dCuJLmFADDvtApLjDT2zU9OArOPqDMOOLX40dRin2IsChV1H7IPa0chi3KRzUR9IBxKo
AgbkEeQL+kVeoYPiX6hGixbBGPFB6S8dicsQJlZyVKOsVtaoPWAdX+6p6wqJFVqZFfSR39qVs6Kt
DwMWjpcMPkqr9L7iUQqkxE/VH7C5YqUVaiZHS+CE19iV9Smz7M0XldiHbFRezJ3ogKuwmsIaXIIc
Eclk3ojKXdtBAe9InBiqweuwKf9Ps2CXiBvl9rf/px8Fdfsx7++AO/mLi0iVC8y81y4aBGlMeWXd
wXbyRUJBECPwyTsE+BpDGe/koGBwtryu6vEzQvCAVK0oqds0VqB0VDFhxEQ0FgHB1SUhf93bMLmb
F8NRK42+SL3e1SDe6Bu+VdFrb2K6ijglsxufHAiMFjXW9TXfqA+ytVCkw6fCg0nIzRZNaCn02aqd
MSw1T1DdaL1nICbvuzweW6odNzaZHnUvgIJeVJmjbIogtuXqcl2UCLnjAgvm/C6V+mwKKRynF6qu
SuZ9cGP1Mp7MN0yRab5229rp4zCsNkyVOF59VjbCPUAxv8h4yVw9pgkhsOGvQmWqxq85swKzGzgf
znDxks1rUxuTpFcx4v4M2449yBjGbVzNzh6elDwstRlGkQl9304RUZ/oD8yoUdJ5WsurFj0wviTk
2DmH5lUKn2YBb7KW56fUA+oVUg/ypwdN7tBcvjgYe55nDWmZeT/9Rkzfn9hJ3ESOW9ttGXwzUnCC
948wCnGAVvAap9g5Q/7+aRNxkui/uS35YN5OkU7fpHS+UVvS4HEDtENV/A6LT3ZqXxYLKySfjZym
HGa9o7I+DnBJIW3/vwp7ThgotqRH3x93RA//BQBl0Z3mGO+4wkojg4vjZZ9qXOk4MUBiclQB00Py
Quyei7m6pj6pj5PJwEzn23twKGtEgOZJ0j6hCiS1iBgcG4yn83oXaq0xrB4FcTZgfwZAchudabJu
p7hf82osk2J0LifSQDOK+p8n9ubYXc0IFI2RfL8Hn54zQOA2QU1/2qoO4fu6zvhueQ6Poc61KBO3
q9FCJi4+EIhMThIzPviod1A+iJIHzwhcuwYt/+gF8LKmNEWhubSbtCNaZwzM8mKTcIoJ/c8Ykt5G
JJxJUmVwEgkkB93zq66DKv5Koyw3mgls8UBYuv0ezcsx7js/fZ8ReGBpszKltk/py8e9+TJKY38r
16USsS+O8NSwA5GyDtR8E2ktpb3UI7aEMU+G7F27slPHIZ30Ksi1+wTtN/XCEVYtThwlU9NrIYQ9
u8wwhNFeOGlJkiXSVxMIX8nLseNzHMhTNQg6WrC/5tDBrH3FSR/uT4LaQYBuQSVeCbYhsWzmPkq0
+z2lLrxoIWWfu7AyarxiWwPKC3H/rsCctrk1uSISfci37KC9GoPptmzoGM6DhV2vpX7962o0bE/J
krBxNrjKlBpdSA2Z+BAbXIDFg22ujeFE/M0BB8gvnwJw4ispMf4xDIkAjV9bTvBVN6nN4pNMze2u
p+I/Hde7qOBCy+I8Q7VhUYwAutyyulz7JCEBqou4nDoTQD+sPqwVuBKoFtKWU7rTawq6c2aBykvJ
PS8IlHgikWWWyXpxYklgxQkhnK9lR63z/kjeI3v/THV2Q61X35MG6gw4lky0RZl8EOp+1O862WiY
2kgbYJf9TFHV29jqqvgTykHd6LpAMHnX5O2lie6wZbCjWZTDT+THI//tTpeLXBWF2TMrA2rgGbDh
HQ0kiGcqFqgafotRbhaznoeYGFl05y+dIpnduQve09LgYtKWCUEqYYR59DO3j3m+lR1N2Mdujc9t
7XuQn2pVNn6+r35ZOTCktNJ8fe9Xvec80YB+9QJWin6Q+EZwW3KtldgvQ1VALM+UIsAdO6+wb1iE
mjPG+Yzph4wHYxXvYVDEe5NCdG9vfdeOzwFFMWSHgcNybmb1YyA7e3JgHFIPiloHZjgdt7yBNXqF
sWxO0GHiRDvg74V+VpptrDFM+g+PABORpkw2NguQ7TTrhFSOkmDgfOavA2AzWz7IqXM1Yhm45i7i
3eWkDtMHT3hdZiVRpR12RiWAIJd4Ea6OgFTbtJ2RpVhYmkOSSRTpc7QT9tl2Uyj/jia5BXKJVjBw
De7c8mOlsTKn/sBBp/sIgcyQnpG8iz99PeXRjXgabOPihoN9gCeIBjTpuT5xg+Y2xxOQobWtrR0f
trV/jwiDp60CasruNT84AR4s9nRhii6NzH99PRnkSSNqnX1KxMQMIHAsQTux/p81zqjP9iqZs5S/
Sa/wYkafpOC5PKdot3W1KZiFMZkJhyclVueca1+l/yWiHxtmZlUwmjBW9r/oT8sG45gwUifPHN5k
8agsTobvk2QlpPRPL85cU5ZL+kNtNfthkdBV9X0p/vrqRg8fTAQdup0DsbzW/yHlgm0UWyYsHfvt
cBmg6y/G/Y2hDUp6M5h1eKVVeWQAKXgAFET3OyixyaIzAdaHnU+g6w3wWfGymjL+4Qw4Kzwzv+Or
RgFk/GLdqGrxfKpxmcyc1N2cJ/15BzBcAbhHMd/qDnUpUqWjgig+kdNNuHnMhCuSRaj1TXff0yt2
7CXL2HgCn/j3GhZleAsWPPz1LNDVGvPLbNDeLZieV6kZpelyPp75cxAiDQyZ5ZzGtlWBh9BdR+zF
AuNsEh6d9WTmXhgtzvA8WFFZsj6IihnDb5L91fOfeGI3b6toNJ7R0BGcGomk7XNfbznG+C84uuyI
6OJM5PTnToP4Ldd4p+CsG8eoD2gXzV101Ph1hENbeEozb2DlFLaUPgLBsUGv3ZdaSGksxOZQWD+Y
u/YtWu/3GFGb0VWKU7REVKrqSE0RYFbz77PYxXJZCKuHZv/T0KzohG4nr17KyU50jTbpQWnPMHDQ
dEwnnRAw5bpa4d1TX39wntVJDGFGKKSYmdtD2IxoA00KtnD7+b22ZXDQELvYMuxA0c8EuQUFS0P6
QvPWDlkioEvzIdWoGJs9G0Tp0YqLwfpT2EhmnAX7uwxxq3qhnzV82OOf2Ore74INValeAE143Xya
S1cDzE1nsr5BIo9lo8XDBr3mPvt0O5C4eG9cg7teFPqqYIFIo2m5kz+xuZ2aTryD0HEcKUu40PgP
bSyJxw0brlptEAwG9hCTPLcUFMJoM3XBHNzSLZxZmppUWgu6CRGHVnDlZe6zOENc5bPhg4BWDKPi
+RWKyZzEAZRks6QA6HXPaKizm7MNVbM0oHmFAMIwnAuGQW0mi2rP2iPBmB8w9844Vg9WRbqGLHXu
MnxFgLfLUS3Sl4qf5VDDmmLPHlbpgvUJK52YWgv/Gqsa9Bg+/ZDked2K4I4KiiH2Q29ys/nKyxxt
63jcOM8gmNi/qX+rrzfO6r8AB+cxTGJVsa6nZHbaQla0IJNTcpZjlwTu4pJ31s4UlI9N/p3NZ1vh
jN0QuD3d/CVtIeCnQId9V5dLB2IUuekJ/S3ElQpVQ97qBlyIysW5lsYH42n2GJWp4cLgMQzxkzgR
auaMj6ohc2tVuIxrU8etwxUL2Gqk3nzszg33uThLGPr3aTBY8X+soAhfQYRnFvYOjE7q8J6ZezpV
1/8YtNKVdiPYTvD30lU283BwsoyW27ky6TrViYPmC23oZpGLqufbqrx7m0DPExmn/HZIy7qSpMFL
q3O/4Xt3y2f1hfnAnWwXjYr/aFXhMy+NZ1iRDuzilJDuri5cYK72COI1z3TFHnTqwyN+XY7h+Brk
uJyzh+NLX+ek9V6ZLxOBicx/fjxcR4tcdrButOgxCQbPmE2vHv6cDy0ZklhizhJ8YJ76MAEA0IV5
p48p1Ut5Ff+rJTo15a5dhxX3J/9nZVsV0xe/5DNUSVFLgYaTCw4/Rlr1+gPUYp8R8Qd+v0kK8emY
/QMPPLTJ4rvt9tXQ4v4MUoM7g4BrYo6BLjGI7pFDSlXpmUQcTMCIJK9KFSgyF31eWe20kcOHR0IZ
BWKGmj8zK4vSw4NJRXTbSTZMJ04p9jgvSRkZ2NM+d3CNWd55w7AFu/TtPvKBvw8X4vMq2megxVuJ
aHcTbi337lgv0parZISIsVScnJaKwTUee3KqrxgunUQltOzQuY1p43Pnf37KISlD+A/+ZQg83iNa
VN/FcAb3BtF2o0HrcmD8Axh7+MCcGCvV6aQFJ7UW6zRmct1ylyxF7QFJr3Z5afHdOFX6TGBrMNe3
6bGj0Wh1fkIVXJZhDWtZd/tV0BfNUTuHKAvOCNtDm9OVJzcWPnID7HlsEM4N21yDzZww0OLxYhqI
TetD8DKI9jwF8BFzJIkVBPY/zigFnDtrz379+kEYqAXQQSF2A6m5gQAPm3ajMIw/Ecn6BwnL9aSu
iQUCM9hwurkt4cXjXtPieADlye6Rn+ofwnxnY6RO1A+4MokLUkPfOf0GRb9wuAQfyigfAcay620z
mc/khYVTUFdlOjMMPXjU39BakqanpqG8CnrGiiwknjxolWBzhzAL6s5OLbsPT6i5PDksQinPlNHV
iQYtx9JRfCPXLRlTNkZt5SnN4KGu8VqY6XDYpGKp6/85gBcT1AFzsIUA4MIK43+WgZTz7a+BIfHs
+PrsBzmpSleXkrt/Cuia9z/Bk0CNOQHayAhmFlz/gBJwxofaarSvFajHENdoYrLh28p4Ksc7xk2B
4SMxOGVMoPaXUHpkpDsDNodl9wgi18ZZZOYqWyxFnhO6qx2SkPZCus3a7BrTb4zUXJ5jkugYgoV+
0dyJ+a542WdLTiX+Mz+wLRPcKXp+DJSl/ESrzFWNVem3A5T7Iw2ETq4NkABWOgPuNRWeVlEWP0oD
fQqaC8k+mT8gDlRWrQ5jSJ7qHWbfS+msb79v8FN+Z4ulnjOJUfoJsxHvIqZQnobGKthMdAjsrlV/
46746CKItDA/4uD/j/lhqrwma6Ww4pcIxhOLGqdjp8s811x886dBkxH/0gOU7Bd6KqhhrbsSWYPS
7pyWmSVi53h/pyDK/GsLbZDC65IlieHXkUSpR5fjzq53xudCudQDyNWW/dwHxyksF38d41pdxNqX
NLnsrWLrSCXwX6f3017JDQ/EMu3B6u3N8EBtfp30RKBxHm1imD1XLogGe7DduC/NI9O46rCEgTuw
isddtzBIbU4+VltzY1tIoUwCeyoRYGrSgdLX3+SMxaoKZwQU+UKZfMZSlmo+LP8GSd5dCcb3q6Ib
BZE8ZpsMHahHi2TIQlV1jTXCqZNAK0BkOIA8+YTLRH8BJKbZaLJWGNU9KUfDWFtp0pWB/yg4pYBE
ozmkTz8JOrx0uH/1xiikTCNoYdB7adpvcyVoCSIUptfPpD82NbClaf7fHAKIv/IeymxbseEO4OqN
73KynziA/Z19AO6C1768u6FQipC3Xf4CylIOJMpZkebA2uZ8+QKEsyiu9zYnYktPH+g2g27tQjxI
TNWpVy+qj28KzPJEv6VW7HM9Pl3GU/xwL3pFlhd3gZqjLt7rAKypPXuHIlDWP26ooQQhk3Hx8eri
c0XBdrjNEqbjD+fiN3DJW8Z/ziO41HgU+/ypYUf9XEo0zPrQY6bflJyO2Z4OLxgLqvxRcNlGUju7
B+RDeXhP9BQcR8ijADz3y4K6lntLgUByFEMh3jN99cknQsfJ9VpBpKt+ajjUVR94WwXENlf8Lu/j
glVoFKVKeG9shldrKuK5pkvWXdZ1scLs/XHsT3qfnOVmglg8Y+uTtGjfLJGwbZKhMTBCqn4tNyiV
E/bX5TS6MY78ZuZBMIISU1r3OoSFtYCxVjvILqMQBwobSVDhbgV4hGAcsay+T4v3xRAzLClbpzlJ
F+80EqexcDuDJEyjYY5uXd67ZqNc75AoJPH6lZLuD4DxMpdlAZmWb3DFzIx1KWQ12B6c7xNrEcx1
Pq7j7HYgo9KsGxk5PygRKwbSnuIJB9/fBUz3yRQw767LK0SLu1aKpL9Aya6Y7diKSzXjjCbR36QX
IX0Nt9TYnbuijX6Jyl/vQ3y+smzX4Xt49weeshULpknZlnIbucojJk0K4QjSr0hlsvNSJAmt6SuR
+9/OFqwZRyHxOiRkKBf+HT7OfbscYql8p+HcUWuKBCN8dKZJrvPrwYA8LlnEE8Bnes+vrqubzjS5
SaxvqzqBg6SVPuSz9+ltbU1Vz2qFAxNvwwJsU5E7c9NcdrylI02U16gYi3pmLE2dNapG4Hb8YvT8
kPNbhYkfKf2FbcF3aZ6WUraccnaD19ScYPB3zHHIn8uFeWLzs08KSzfpIHwoDgucIgDOWSWYqJ8q
Qz8rOxhA6TyY2dIrJegjaF4Mg211+aKIQFiCte60OuIOIJHSo/Th21Tvz0H3E9Uub0z0r8qPOjdk
iGzkznhDeDUEKG2fcZ4av/5q5q+ghlxI9HhtTHya16zqPAy5WQG7HwTBB5ttzbfb33N8CoH0Jq3d
YLO0oDN3TdZP5rXUwNeTPXEg5681IqHiv099hx13zgMVP27nCta2GXDuezmFkQnb1pk9czuJc4Or
IOTMAh9HjwKMYfkgkRSfuxWbIvK9MiCIqt/kFsaGF6F/ILE2Y3n+f8MkAw39yDKWgjI2MuTTZx16
mgnE2k1Zw4RD9SLxyX/fMD83c0xB4r/+4jiY2KiHm6kRTNfhhjdM96Myv6imOianQntXlqZqv1Qz
tvoZkqz6bY3qyO/Rea1dKF4A6ADBj7nnVPn2ZS4V1i1zBNK4n8U1du4oQVK4qFuNEsbvB6zzVYLG
mML5jMir8rlDAbwGHJrGL7OgNk8lomIAGUsCK4C2a4LqlBXrmcw7JHpnXJkDxNzyVqoD+ji7nAjr
kJTrRRUYCLBmJehNuBk6bZxQm9bMQpAqem5DgYwa0pDDSOtBl/qSxuwM+Nzg8AJJOGKJvc2seVxe
qXOOdT0XaD5RyBLkOie6vJADv1sU5aPN9rVO/RTFLvTl9gYp6vwASou++eURRr3OxRWYhpWQRaEl
LssZkeWT+YD+OgadUofTg/5/RvPdJwuS5rbnInORTf7uVPuGS4suFsjPY5kPksbUf8ied71oKQFn
kfOVX8qDVnS+c9VBBeLQwaRKcecz85AREt3l2zRhSs+6VMqhA6aDsTSA+T647mZiXLNx8s5mpNmz
AOxVb3ZHFnF+mxdoB8tp3LU7P4o/FXXc7TdoGvOvl7fYcqpuNl725brfuTY1Td81vu4OkeY8jOcP
Zko5eMAWLLZi+7WG2msxoHsYep+L16+3fv5RDMbf542mKRhtt0jZZD7D5buYImTrxry0fCe1Jwin
5mGn1DMu8EdX5jKPTNC/a2wKgISIjLqTBPuUY8ddWJTdrAh0ijh4KWlVeK8ty2T4eWc6o5rijFkn
aemVWIYrrE7kuVp0+73jn1PzcU1/rhl/WINidoH60tCEjb/UfjE5flDdRy56XjEa5xtpHj3IEhv+
rrgfmVX1eXs8LTlnIx2rCMqM1AH8PnjbidYeTffR+knY39K/TKVEjJtf71iwG0KXeCl7unPag5v6
jD3uPXPqapA0J+xLlkWaQDOiBggY/6uZVQEEmbWtJ5HDESz9WHXa7p/FVNII4XgJsSJnp/agZNml
XFUSJkQ9J4B/GI8R8ZVmxHZqmR4/gAmLhWTbwvPvBbqxL8I9BFco3WapzhXGFnaiqO5EDUy1tdYK
9bg0+Z1SVDrqkWgb7fm9nO1YD+rNSN++IR7WB4dXq1zeuyDyRdLmPUOhsVa2fTZLxeH5bMz/7ceQ
kBdSB6MuCssXdd8jGHrMbn3JFfzE/Y/i3if143QjGPd4DhA2qXjvjLAzlS5PGv8ngbZ19IFuv6Gq
d55t+VyrMa/3Z0Fn/Yb1C+YdHMF35SBn2GFrToUab6ONrr9jg9FhnXNomtXr4TRC0p54miTH02OU
vZWbVXVIZabX6Ibh/skrPaOHWzxe5mbXNHKNuwhXF2E4xPpAHh7mthBOP+sxMEEJR4S/VAeNOH+J
Ib2JU9d5JQomHBbaSWMr8vBxRZQwAUr4BRjpN2HR896LytSpvIguB5f46N12WBVyicxpslOkj28H
Ih8ygM1kZ5VRmhgBh8nOTOKarUhFTppMTGKWgj+kgb7+X2StniZ3Ln8aK/pP+CuoFXDSrF3rcmqn
NKvpHofD0jUXtHwKWAfETUjgyIviScHuOzi4qOH4O1vueg7CUOVdIEn1cyyvUCc6q+vYsPBkWPPE
bfqjTpbtdxRIsIApJBnJ905sQwfQvMJb1BT5ukAm1ISaysMmkvwL39EXaHdCluGNoilKar4CpiMF
An8Hjoz8h42a2yvNfm4zALRTzixpk4/RgIqUvoxqd3QXlgo466q18ep70VcZipoYZhwTTiLrRb5b
mbEwW9vesC+a5ykKjK8EVUR4yQW/Fwg8KAYpw5F6J/20QaPR2QBufWFwl5uEj2Slgc2lG/DQee7a
Y4IClQx7gPV0OjWVy/vlAFwsnHhE1PvKbt9DTmPir0Sccg5mhC9GoX4ggwJ49yJwoVchahoxeUSN
Ytje2ZKK4HoP3XeW0cfX8RGKz8UYUTOYtCzVCHW2JmxibGxR7HKawJOy4klYzqvOQyWc8A7s09Pz
H7OAsLPEZldEDTR+FIJs9EUd21/8DI8l0o8MO1A6r31615CdqXxA4aQyq/h37m4BnA/Q0FRuCA1m
trSKV1ifEQH4nBrBoMWhGXkR28YKdQmLEczc4Gx4gW1W70r8WseW1dqR1lSXxTT2hA9I9H3N3iFm
g8g2bAOhcI/jKLcYymdpCaC1E58sIJBDJuD51+Tkgk+HIPFJZPuZwuRRBwz5VgqGuj5Aterur48T
4Bxt8JaP5CB85dCaYoRZxsEJ1Fm6xom1rMiXMtJUWkYDpdN+eTfzt48rjR9qOvqTW0n9t4KuwcW6
lZAOn9dQQ1XgRVoiqcX0JhycXYUbhOxwCce4h0tB621Ru7DK25HHC0+NWiJRkKLQs4kJi6MaBHQ+
p3BCs/V8sjqsBbV+yyetnnEKUeo6fmu5dK+0gHZXHvyUqi2yN9sgTaiC3GNqcLjm0Y1QRjkzWUce
1fvSotfSxo3aNVpTekexXARzBsA2jj/MxQFfgEY8XEF+zM8ZefiCZyeXxwOfuBodZQKbydzUy2XB
bhmk6GXLpKrDNxuQjMZfOrV9b6XMEbd4l+3eH/uKFjALuYcgTgikuCo7NSt4aei1F3kSmZtcVjrZ
Y2s9q8jWrp/Dpi507Q0ryPVadHX9vjOvq2e9SBuiw6HZg9Dywb6ShfNQcGpUZdK8Io1wGzPKVmnO
sLwFFweJofYfhJFB5ecF0KjJqaDSQzp6B6Q5MSFipetPelhaxoXG4ACuqGCdeo0sXyKcWBt5VyCg
TZMaoRjYel3rcLhFaCCoQ46Qu4KToiirZ/eBUU3CKjuEC4g0sQjFBljSAmXnWjpoO0Z1PJbGkkAJ
7j3fZyvDMxfHej4TUmK+ofb1kf31Tl1fYDqS1O0BlanA5Q8RISwf1qszWVS45LQ0/yffzEKB2YcV
1kjBuUyrX+Ac1KI1D7ZpLf1C/5b9kesVLbPlG8UykUq8lEBODZVFYG6pJPOcwxJtm1fKEoVnbQyc
FtKCoyT90RadirDXrwZGbmG0dDvrSnJpqWQ4DMqCQOwPab3ERwaIJ+jdbsgeuqCFlALEpc8Cgbu7
/jc4XUNyFkGu8y6ZHRij/ZF62ySyr9UDbmHCQpKAVy/WgWmXuI7ZSmjFgL+V2Mvc//RBcaZqy/rl
VGiluHqfq75gM40XnmGsUlGq99Y4vO2IoORDLXk0waZlh7x17gRiikTfDbuDL8ssx8M6HaTBoiHs
0EltZm+cuAq525I/X1u+JOrFxbqA2xbEw0JnDw+Ehutz+bjMMS9atKj4y0h1TqzQcoI7AO0e//a2
Us/urxypBVAM4NqNEe1cgjSD0NFU+ZE6eof9SXnXEBj8Zlm6YuClu0YK+YJIbRiX2QYwsSN1g6VX
xjlH1gLcW/GbQjSBkFU9bbTv0H39UEFtyopRfgSinicIAivd4HrCXYq5bcSlYXOxUjoJqBGczeYE
GIiw3EuIl3+zO9tkhPse60/1Vmvif9a8rTyO3eI4GU1MZIZ9hfS7AVVDHWut7fVFi0h7DtVeDWII
NtUannefbKaZD2M6KmiwHQ6fhAtDpd1LETWo597J0MAVlKyUmCVdDjxX92sXCP5qLUeE8UzRDhMC
3htc43I0rXietvPjdUqDsewPZxsJiPbjZjqL0x4+KSZxzcalLhwaE37E+gwFNsLfp5CCfRxpmanb
j+ShKPkdWpm8IiXRKrfTXm1DGj4vvFdj05fhJTk568QUBvLOHIlsLOXaFxDQ5h273SuKiVYPZjmD
6biyod72EKodarLlPB8nmGvpvBwRLOEGLwUHGI+10/vw3n+4b6QyO3+j8UeAKqIRxppZXZ2a9owR
XzCYG8rOCzXUjUw/v/ewj9OahZEiVYQEUSY7BHyKAZVXxbzSylcF8lrnKTSGaDnCeuvW/DFxUPSA
S89gQQIotSKoA0TT7ztgZdNdYj3bYD0p41FVvKYopPy6+orvMedaWuaKwYVVVuYme8nGHtEoNddD
ufGVVzSrAxOf7Ac/IFk6mQKfkQ2jExwS/1ZCpCdXnlKtaO7R1T0MDc1ZCbPXOZD1FxJC6yeIwX21
XQQLI7gTyQWesLiMrkL/9Lz+ZvaUM4EntB8sK7Mo8+sY9iCbXdwyehe+iE/YTXvXrHsZK+MR6LHt
TMBdPmm4AQY72NIdmUCe86QkWEcP0pXcIwq+LQRBcs3vUulpiKVUU2cCDYZaGym/Vv9GotQhOBfQ
mdGJL8dnB1A/OXpE0LBkec4klk9GhbXPx3EqUDw3+4iVtRZwDg3ocvgF1vclWa3MgyWB2Qou/Dpy
3VgQkr0NpG3KaVobAHO7y7Z2gQLBU+OTrKg0PI5lrGS8dI482fYJ5FQbtvy73dxdQys6qErmBVB2
kMtmoquKwd8/RnbP/RHzt0VGUjTwg0c8P0Lel6Odamyfqd8mSdMcqyvF8ZFFYQVabcreO8s4Gbr6
I4rgyPkDriFrrClQiFg24KicwDQB7J5DpnRuhnCiiQ2V0OCzPZNok13XQdoFgGBL2v/KVXeyk8sD
EuBin2TBDM0Jk5OSVeL7rerU/SW0fnD97wlyAzb3o0lCgw1EOhug/fwknbDeglfX/yvJ+TxufBnt
MIHBQqraST3aKzdMqxQ/3Y6o1JKaAsSQ/L30MK+YKDRovmLWQKvRT4eefPEaMDkURszxsw6VoE3e
U8Vo0bUFWHKQDrnxK+CTXrypT8tGeWyU0FWIyLUcUCuAW3kIrpRteUtkOxDp6t6VUtiMLQW6OCc3
lqbo/5bLWgOoL9YoNznRWiuLsWTb+s1mbyUjcGN+xo1ESxRUbPK9BoXFW87jFI+9JQELk6b+PXm3
8HOXsezY5YsoUkDppnmT2z/DWqv811yYo2HVZyYHE4o4mB+uV6hzlzQDzfbGfIAEpfsdXRIZLndH
ucWT48mBIhl5XU0cCn39+8xLc2rK2eHp+wfBJCmeiZCfgt/0fj1reXdM5/SLvXDVDnvee5WERWwm
bXym1slGiO3jyxzLqS/wH7NmQO3wbl3Xp4lovGIBc0EhbIj5XyqevPyYRIn73b22gX1Am6KmUGK3
ioYZ3uuC6oqAqVY/bdpg3rLmtEECFFCbGBdhfjc+jVQnivNa0XMiQjkkd+pY4ipj4g2X28ABInbj
2HE5I6j6gqjaxITaKXbPtndxPWz3Ryi7HlLTkiNYs5GxkZNqipW4oaTvNPpq6R7ZYGAbSYM4i6IV
IVKLW002PbHtR/HWcgCGnb/fwr6DvAyw+/wPVXf6ltTbKygs0bKM6cT0QaliUmVbjVg7yU3uSs0w
JEJ7udmYm09tNpIyWGjESzDlkj/2YvD4YBb5RyA4+ZoCRlipYMIp7pFLpzmCRKFo1m6tW0NFYgZy
cP/GeZohs6rtE9j0u8aN1Flo1hgdeemOPOffZGGbt0Ijc37ZMB00uR8duF2KVCxWrvZtxf0ufdmz
UqxVeVtD2uZ6JsJ34c+STw9e/sEREje9TphX5W6gS4tckTA5EDROLwPrw9pQF0TKmFsA02KeNBMi
g3AucGxJpk9kBmL8sVGXXmx8/iTI1J+FFwbMXSLLlJ92r9l8GnoES9dMleuVDwO9vrTTd5sF72J+
zZcxD2h34GOeiJ/zpQndeXVd9QLyOMjl0uWwMWbm48wr3+gPDRSu2VdTlTMTEoZS8PjiTSdvg4Ng
dpYQ+R8KAF1tefAjhvuFko8Vl7DO9o9aJtpVQ7wk0kYBadp/6vuc9G+7sdrx8KEOGoPAYwRLPzyH
Z6qQHwh4zDl5nyYuIt6BwlmgHyNRm6fbV4L1hoyydhHv10t7is3zQYNzusC1qrrkJRhiDbg0hPAR
oL6ioamaAYP5jmpNvS2FART7RzHSgKzrkFDB4g9SccapXcHVnLR8XKpJ7j88XNPaiyF1ZMUrQnjy
Oln3V0PgrN3Zf9IIOK2GbnkdyVgGwK5fgDzDnLqotVLACY3bVPgBAEK1MmSdPDXOs+AFaq+BG7wL
gwlCZWgPkEjvncnHtC9TJim7/934tF7qZ7KujdfxLx94E1q2S2lRSFtiT6MJaHuscDYaABKo4TWE
mEOL0LZsuZxflTHDXmSEmCWZ5yIO0+bM1qSU/L5bsr6rZQjmVj5E3lYdk57OeRzQ765nJ3MrL4qj
bsH+ogLdIATE6vvrB9QMtkQ77Hng5d/w7nYcYdVtk7K8RGiLXI37P9uQRAAb6ehYdNjSgeF9avAI
BUhhNbHBburhPBgz+MO8eOcZoKRsnSM9+uM/dLdr2LbEO1OcXnQS43h6ERs396LA01cKrv6Xjl9E
4y59FWG8/SxU8UTNxv42W1HKeRGIsYk7TRMy5yAjoxnuZAwR6ILvhkKRaaD1dXFUsMU6+TD73RTF
4leIlsKj56U2yQ1dxYCMVT/Xp9kek9NnLJXeCxHDLFfLp79hF9uVX6xJh7k0koQPwCVlSJ69umu+
fxFgqLef7O7eQgHi/Oni3RY2mphAGx4lR0DUwXGwr3bx465LPWDxUBVJ1LisWhemcP7RtIR69les
dNqhp+p81w5TLTQwuia+fs/1noWpj0DPxHMR8022YunHUz4fJkn3JsFxe+ov6dcZQOrE06t1Crkx
fEpUKa4uFP/noezFy9hQewcat7gGaoBFfjM9n9MyIE/tWcpN6G+DABzJll3Bne2E7JGul+3lJZRv
pajgpKynN0x7uWkH3IhDhstoBkOfymYOVAmtIsJBJ+Xugx3nSpHjtlNXzBeyXXfqk2fdp66bqPnH
0nR4himAnFXEKtvR9N2szrKP4/gSlFbsehAtk/tK1U7aglgWVdpgA2pBhqtMdi56FLl4eFoC1AzJ
vlPdrlUje2iTD7MXs1XzbdaMwWtR5f7tqV+yq69MKuG3mcWeGd22IzJTdH+blqLPsWM5mSRijFLC
q+/TFyTmzIBuL4tGTzGOi5duwhuAUDil2SoO6QVU772ro1L2MF2XwIjLDTFXA7zj4HeG6Q6IV1J8
dCPRXeqJGlIIfc03QrYIYgdTJNUFqkN986j2Bpxgw5SFnTOFK6VtZ20Wy2GBXiUMfq+cgtyN/tsR
WndvgnpGN6TnKNRPwZmKVQOSP3+6pZm8zJrGvLwfi0nBROOcXHc6tihaR91/g4zT4stTckHKEbzs
5yT1n0yDKGp4pFIOr2vxYP6TE+hwgJkZGOXLPS5vD36VdQiQ1Tz25Txln8d/+J5mWz2PXnuPkXPQ
vhhWwJV5np20HGQ3xPV2m3z587Q8iyqlEhUYtyZ3smty7O1w8b3jAZsqhYi5EQsIe6yK2cWM+M8e
l9jQnXByRVH57k5hqGkXx+rU+wRtrx88VijiHzrQUKEBtbLusf6AsietHQO36JPJTFizgWQsj+o8
21hepaj0s8Gz76RaHQoj0dD6SAhAAuh6zul4JP5Pjd/iEMETo0K5PziOo1PaMgB0UZCqaT+IdLUx
vGsK667u1f69RnDmwUrl2ON3oJAN0Ez4MLVKvQYtZ7y/11DweRw1HM538Sd9Fq4ZCTucGJYhirs3
QhgGNzJIRRGRyGMw5Hq44ClPRe+FnyqsZCpi8txvjXYtN1GqXG06Wzg97AHCgSqLVKZ9tRte9KzE
HTDVxgO2Vmmfva+FA1oQ8ofl1SV/4NfAdKDPbPLKlvMLSvBp8ge9tdsOYEFsUFy27u+M7hObXeIV
Q+wvwpMV3bTozP5p1P2qzFgaLUmvB2emHQPamGd9bHjYWlglwG1atuFHG+XKjqqmwVR1z8M6tdQn
q22mvcCoxgNur90SuE6avTweHuD7NNZ9vTm//L711wRP7hES5ge2bGDHuwVUPm2Takq4rWW5yvIU
6fWG1XBXEfMEWYKdh6QiTgkvkefE041hcuFNC76NVLKtoiQHbQ5ygl8TWqxlnK5LLqzcOJjrAdE/
55QRM/wU26GrsWGzYz+XnpoApADjuy9N7zBhJPdziYZ5xNs/fDrz749aPx21S0P6kiZqNS0n3Tkm
cnJUwzFIWu0GU710bwqJU92/5J4zJ1ieFSy9cF5Z/aAQgBeKtTGr0BGjEGv5ldb5tldJ5Q59Z0aS
/cEUg0j4n0OnqQgtLVq5C7NcT5rLMHuyQNktgsoG5dL2Yoj2I6YJtuzlh/41rIQVC+ykel0YEG0w
nY0qk9rKlRXdaFp7dzHy6EMO5QmMxXScomDcEF9npnxPCl76EsSGeX9vNecZYbbJpjJ5sGV4U5qk
PXTdqj9w3mb6FJSpL4gArA5vKPFb5xoRjm1SigD12b2xckJscycS8Agj87aMQ/NCoX18BTpw/RXV
CtDIh/NLPmA8yEVeBzvEGIidUhFu6BACFeQzMS2JqZrMRz+IjkqL/ixSTAmNZmlaEZlm42RCjG38
0JxLYVOUF3Wepbz5ujheODD/Ks8nHDRRh4EkUVNfOZTvuwZI9RGwFJmt8ryrB6XihjijUbLrpuMA
aJHp18DMQtDZ9se5KTzUNXI+qSfV4hC8sElyA86puaF/JUDniqn9aDJxRC0utwKfQMIJj/tsWKGU
WblUpqARCM2zUjEdIBovf/xxoGHanHFqVQkL52rSNsRQm2g0aCtMTAlnuFFsn5xGuAcMplrn11It
vqdn7Sn+30VvOasORobnhSVVmfjx4GLvwtHxps+Ayp3mNNtTJCY/wE8zKdq56zgzwhnsNKD/Lwhi
mGzzoluw3I0hDpem0J/iwGJhtL5qSHMlcHYY3pTkm+WJj9j3PtnKGvE+ynEwLVzOY0falPPJRd0l
JpVf0zJ76HfJ+GUTeoqmJwmRLDVWWneTMgH7UsBBizi6W7Sj3MJ2VatTSkBbS7MnxFVORPwvWTO7
6UyJ439z62L7xrCcK2F326W8f2qg4WXH7tPlf76+/SbvHk5vELQtrboV7i6CBuTrkoobUUkCy2Gd
L2/UkZXVL1PQ/XthrTgqZdnxwKlc47BVN7dIjK42T0ankscM4OAr3CsfBNqjmGIatBSntq8ics01
G4Vj3+Y4E4F2gL6IGvluQfQZ7yosM68S5zVuhauzSWxCmQUHDPLWac0kL8glzoCd6+KmkM7zPixI
MZBV+h1q7EWpoInarMZiZU9KAQnAk7TDtT7OLy1m0m2KSGVCjYcBzLqHwYV7X8jIren6dQ7hkQ8f
AmmrRc/0jlNlavKzu8qsNWgUg8YJR50B05McaoJhTtw2ledM4uvx6ew/q5OTBgUS+VNxZXqCXjzE
nBW2yijoL4zGcK87vKggwfRmb1S/0LNYxb6ZHTFcRrhju/5ic2pUPyT6a/ch/Atm8ujbOxvCcvS2
BYmtwpwwpvY4uvxUl4uyib7eFYoKB6Bh+h8uWsC1K/E3m+MXQ1QnBwphHCVxPT+Pwi1+fW0ECr1l
6GHtQX2z1eDr+LvBoADki2LP/FEzq0N2bANU2bWu9cFJgbjNSpjg9hsG22a46jmuTPny+rOA77no
mwXnsd37/VemrKicdNQuEFLhJ1jDPFnuxowEqyXpPeoHNXOz5wgDuYjTAdx5Qiz4XUXp+Adt54jT
/4en2pxLw3w9fvsU9fAQgXf3Ig2PJYQfdnkdz3oIaW9RZr1t1ffjiqNsTUNmJ0uVRtqjEKN8k3TQ
H1lmTkitzYjK9WVB3WjDzuoJxmfNoaXdcrnuBgiZiQuMN4zaq8Xmi4sto7hesfjd1LRS0QB5mMH2
I77B4/4noG7EoZNTEvYhk/VNvgYVgN8rWwjv79AGuPuToKo7WC5ostmfWgsXhiAZV97f9kpx0zHH
rPcib/6lZ2RijoLs5xYH9hL5yTzLrVf7yjIrI7IlixS5fdpgHgwo9XRZuOAQ3AP4ruMdRC7hy+/c
srf22ih30YDIBMDT1RjAELM1VvkvDpTIgf9f+r0qUGPi8fUcBt4WaqU0apQQNsXoNYcDXa8aL7VV
hc5R83yfLMnWziOyLFoMke2SdEwvME+v8ZUquNCE99Xu8+NqTIUyiveS8WzRNSi/UbogxBu1oUIx
s4hEcE6enyGfBUaYW9ihetaBxKLH8OqWM7MsriJqMLX7M6PDkA1D7zsTby1HF/GY9IuNFuGW2Onw
din9655MFP4bhg9S69oIiPhecWGFEWc6UlIoeuacm0c/LS6fi6rWtB+vj+5M9iqNiUnChkeG3t2b
bOuWY1QRBG9ZOQ8QC0/f/mrdS30E8le2J9Hhfwmw9Zkjkg2Uac/T6ehk1LWYb2lFSwJb9mM36Bkp
gXd4v18bBxUtPhZLco5Oxxyf9bu2oUiNfXNe1nreCCSQUvlPRK5xfboxy+yYTySQQJwN9gC5Cemy
YTdustdJNUpo8aH63gzgsDPWPbkpXP0yUd/aQ0nfj/yDraDej4IFY/+OBadxgOERYetbOGYAB81Z
Tw28GiabHwn62AtMd0RICB+qIy1rFZVyqlgqNfOUr/H8dLOIEeaKMHu/o62XNO9xW0FV8FSSyy3k
SoBLZqTVILZQxt/SWFl0AMy3GrAjs5GnIxO87sEleh2JZR2H2/s1PiHaWrEeBM3JdtTBVoqsgTAX
JAXu8Dz7TJMVtMusiBGpMqXifglFRMjaJe2zyxXDZhYEpoBQKgxQhWwckGQKCK9bdi1EvB/c/IsW
U8GXSdEavXv1bUGZ3oxtOXuivJ0gxBEIA+vM24eSgcj7f4arEKd24oK1mJ3EvFNppg65K/AYxLcW
AIz99D2FXlx0hP+lt2ycC6rbZLIo2mssbQT70apqbovjdDwkThCdwnd/Xka3A+Gh1h8kMx0kW2EU
/34RNleSyNGjjscql6cGLCMB8AkfdTaJKjwAOsSE1ff62czerd0q4G/yg3sIwzSvIH4r+UoIUeFG
Gj8WIMtW1aDXuznFRnAVH1su1akF62BOFza3vlJioBFd/4q/lz6QqeUAP3FHXewUHpfVamrRKm9Q
TveWic30LHUBZ7Uh4hJS6WS7wtFmojGOn2aEuypIl7dw508OxZs5/+YJlJxERxYm+0pCuIBgJm4M
9GPk8O5u0EKJ7tFCMpKEbTiZ6ds9yFr0trC6KQshJoGgx8ZLatsf4KAMkSQuHuEyrrMhuY1JRdP7
DMnbspgKWHIeuUveLp9HlJEH0Yf3d7wkP91OJR3s3Xc7xI77rDWWWmunlAAfNsR3emKPz6mKSfwy
W0Rk5JBIfL5dWXQR1i9TqTe+oYJxAi9gFKGbkfZfifPtGofX0Cldv+pCjCgDAQNgHUHl2PKy4s8F
8OLlRvRIkRGlt+hrJagUzrCWoWRZjszGkDtMuOPS7FdYjEdp36bNT3ww3r8JfaHo/I3Lqu00wa/c
Jqmp89zdUpc7qBY5c0SdgVui44CD3Pi+mSuSY6Xj0TMXCycDNqnFgUgKdoqGJ6IA5d2gFLPPuv0Q
wc/K+pHUo1EbXoXyyCJQhHOhIuhMm17Nt/d5bRUpOrIAd3oV6n6ZkSulu0tLlexgRAxjsBbfH1b+
YW826FKHZyK+5/asovX0qCeena9dbrmCI0ReJkm20MtB6kiqZWq0I8YSzHtEJGOaB3xgduclFiGx
/9sPdPDLW98uG5LVqlD85EBOdccHuCcyeUJTI1lQqTdgArCAbrTlJPp9FD7sTd6HTYhehAujR1xM
MEdajjKSJYxPmSGOTRGyXQTTB7guaPKB4djfpXhabmDYr2dUpr8hjgQh2N1YEtimMAaeMQdrM1g2
x5I39dtcWLzJWVKJKUn6/mFVHdQM3rFrYhWZ065PbhjDM183h21SYA0Ht3Nt6CFIpPPqOUiQOZOp
gYVP3wrSKLmRmX1nYsJ5cZx4UpTrHngWT+4oE+jfWL6UfUVXI091/Pg707dGRTiUaJej55k2tUwq
IZ5YQq9xAGZ1D1e1HTc5BNuhypxeryoyehYDBpX5HF0E84dXD2eV59zIXEd3D/UKaZjwiSrGOrde
ECOdYf9MGo5pxPAZX4XS5jsMcBNaXMbY8PFOUcxwOg6VYLa8rpYG5OVOF1d/cQCFPqWvgJ2+YFBI
5fn588IXx4XlVGuCLiJoFpKHKy0ywEvQZ5W52O8ZZElwA28Bc4sQX64UWdCMT89ENPZ+gOAkcwHQ
ypDx0wjY2r+K2OxqJwdRpAHtIDxn8LTUUNu6vx06dVxjjyuR7nlTiRToJwFceIxKhVBbIzAzvNhA
1piLwJkyjVIkTbG7n3WjVcu4+vd9iHHMx3tyTFOwL8s6nulAZlKd8n4+6fbUQhFhaVRL10SwBUQf
OmHhDG9MSPzkEhPXyPsiHMyHYhN5+tn/XaPy13ZO1b62m7Z9hocsYm1tHaLl9l+PqONslWsip7di
ReKY5Ib4gPgvtnkYf0d62ZGTo0wxXvmzlcn0zcUJvP7TueuANHcdRiECDIS4YEmoha20iS3RN1qu
+icWyKWYgYew8k6p0J4c9X6ouKwf65567xGfjrk1Sprzw4z6621vR7xFQAaCVWuR8LyzNkkAMRJc
T6c1YzzJgcF69uTYTxSA2EoaQ9upOimaNi963Tt2/3WYqdmhvqDJtCJox11MOkX2LfwQbgJY9xIw
4JFVcP884eAsJ+DI8+wEPxX5cQcP99rrKTQ7wrrZ1/bnX8FDh6wH/KN7q/dXnduYGDKmBQz8m8Di
/uxlzcvn5FbcIvRKq5nbSQwN17Ki7eJ7YuFl9GF3LwO4aj5UZvrqfiZCNtzqu2Etd6QJhPr3eL7R
WjThTTpFK5PdkwXWm47RByws157m0tUDvvwcTvuaxnWqPnDa2T6E/xi++xvHzBI3a+ARnRaTjdCD
BqSFqIDI/290oKwsAfN3PxO/hwmHeGFZfeGuj071H1fKIvtUG2nbkcUS3wwIT3iYwSZJoO9M2sWI
U4bpkqFeqx6O/T9/KvYCkHPwEr68UXL//Cwq610Txi9iYgto0Lq8eCYg/wRwgTta3olI2DI2yGA4
129viW4ylMmB772CQEhWXbIBPkDIavgIKFg9bEk1e/EoaMxpeHDlrSZ1QTlymFPn+n9JkkOlvDEB
0SIdulvcSlK77OIRrMLWmikGoqtbQfNdaEX+h3K589VsqkW9D76Bn4nqlW+mv5uk0Z7IFbi5R1WX
EtCQL4qvPgquylpcHb2cwNmcjDJlun3cs9qBwFclUyGlai3tHmv65Sqj5cPcP1s9hvlHc8ZAma1c
sWo//snhEkq8uV2QHCCK9o5uqtk4sKh/mVN4FkMo4s8sP9cuuJ1phbgBDnv3wNfDF/q7jowWj5U3
bf4QPjzsAMD3UD43RHsscdUkSAptcdD3Pk/Khv4IvI+a4MoFjwm5BiCneE7N/rXOZLW4QvJpBtyn
wOmXETtv+o/nN7Mxy0gCeTNYrSySMWdOALsImVns4rGH+6/WD3AYSncnZLD9BPFrpLtbHAS7C4fZ
DC6vKDdZ44Z8Bmb2X/XxgfbOFf2On/oqXSDjoh+VzujN2iR9+8vCxZ25zBM9gYU8FDAh9G+PrCjf
pacm/ARCbUUHOXDmGtCAVOY0Oyr3fKWbzJXd2aG/U81aw+c8obfUzUDDxPjiK0sNayFDabAxihHD
ibAjyG8zzrp5D7KjNZ40qAFi+ef6QZPSyJ5Ovwl/JMgw4cYWVvpF0BJwIrbqaHdbGp4ZxoKTf4SJ
PKC/gKS9D32pVIXgGyL1rpzo4WcvOH8YH/DMKRyigyO4GYLYrr1hYbSRRJZpoHdD+gQdnSybteFz
sJvuBLB/gEOTvvg/XiiP/kRN/AWp9h2ECr5R+wpdlUHUybm8Fr5s8zsM3sjLLBrBCXzQ63M9uuE4
K1XeIgui1pzgDlrpTWO1sjtcmNX6/HUwHTBPUCgw/rabCMkUWSBNhz5F8z5FZS+/ruA7i/C9aH6Q
4NO7SBVRG9HILe/rgQtKWAGR0wuYxNLc4xN8TpVzgFEBLOX9sgNnPllO+Lt2l1dagOpad9hWiEfu
iLU1SRr0Skx9Bo+IRxl7rCv6wAn+v7CCnVYtTnQh9y8D12eVb/QsOy4hs6SJBrnT5iEFhF06xwrK
qHSdz0GurTO6D0HShl8P7JZpdTDS6Y7arxBPt8iHH7bsWhzvokUwy+71Xnfp8gSihA2EFMiDnDcA
BP2ILUHipi7UNw/7p5SQAP8wx3Bxt4q5bie7e0ekgMhT2vtDR2IZghJ4Ej+57IMifsYsCSugkytl
3srMaiXGJsEKtwiy77kpBqpSmquHP8ek21m0L4NrFfDVkbmigKGPndHc1BKrZ4WoxKf/buJnMN9L
NDzglqgS7/zDND1op9EJRDzc+aCKnsy7FCM/cjOt0woFOa0GQlhlbfOtfHwniAeBgSdPG7L+xOmA
W3c3nVOCHz/W41dYx+inXKw8oy0In3E2aW48B6VJJGY9j8rq70QsKXYjeYrCgyeu7HUyxNVTv4vm
OE+SOJGoUvMFuV/1bKv5+HiwrrwTBrCzkSN9Fdr8FqUM5SmeVLsRzpyJng0SGhjblAn1PLYlU9AL
eauYZxwOFBUejblOe3dJ8Je4uKhemGhCUPorovhJKcW9FhQpg2qkRgHBEtGG+tB2MXUqJDI5+5iG
hAQgEKxRrS9Anx5SWk4F7OQQ6OygT4VzJi0LLNscaGHZ5v5mlrAuOE1CGRBzjFKrC3kRk45PvbDd
VZp1qXmmxWe7vfze6+bxw5Or/juYFRNMlEJ36bVy4ORofSGNZeZwE+7MoQsSCnEbBi7KdQ+tXJqo
eYNCnUKpV0h7Hb0z5CVyixuQ6wBRpcNATi4lPoIU2ev9ggV8bJZV88Ot+H+Pwidzyqz6Tq/EER8q
KNvlWMh88kOwoYBgXRYwlQL/Fl+5hD46155RqnQ8mCC37g311KEBkBPjdz/UZ6znmmLaObReGzvC
xecxqL2RDMAn5EuZvR/vGfWDH6TKkGRRj0ng9yjHmkFsU0vth+8j3LCNiYtgKK9TBLvQUs678CyQ
mQYZOndUHOsSG7T4EEvSr6azpl4V8NuqFCop+QJd4xbLt2/4CyvI+DM82Lzi+F3kajc2j++yvrjA
YnGpTnLm+o5AtZrsGH8vI+hKzBFMimN9foj8qtv4IzAi1FlpnIxTRV2vb0XpKfgssd9Hjj9DNlPK
tjYYJ2/japd2eHOAeVz5lj20v0pP8bk74qGkhy0WdrfWiIG9QjU15kRwCPuwRpcTkYaNeG6oqkso
fttJIJzgzzCE8vsiYx0KN5t1MEsfw78VFiCvHQLuBuadprahejz13sr7yVViejFB28byBJfuI+iO
TnpRMsTc62GdaZ3Uh6w2Y0dlYt9zyAsYxhZ+p0g5X/cbkNLFEP/sj4rZuoQjSklg7QfOwEpvjzBM
0/PWjR18v6QaZcXLMIgiAtAsO1gpTnU3SOC2oA4FStoph0NkzwxRBqOsNoyXuWzVk/XtYqa34lHM
jQxdWoz40MQ1ng8xZae+UnI4+k0k0GBuJTfdG/GsrWUhcdCkdUHN39/6GjR88EBSjWUti5Tcihkm
ElP08t79zSJ46Fbwk6yPu9r6S4KV+cPI78JSY/um/nHH6Il5ZIgMdl0R2ahbdyGWHMBC6/iWOJOy
bKhUuD1kPpcNnoni8v/3CYxbmFsQwIAvEUk3CxnNyADKREsyAmmkGcx+C3XfzZEUIgy90LV47HRy
Pvk4yHqk6ZTAbbOVMpu+uoJD6gGz/9Q77g54xvz/Q+ukhHx67i289Yxu14pMNmw6jN6NTBnO3Zaz
WF0Nd1gepHNvgRPRapwsF6B3/k7rRmoHq0HOEXtnMvwCuQEa5YkdjKC08rGDZTS4PzpgYJdH5CyC
74y8VaRgdXbOR8zjmI9Kn90dwRE67wtdEwmDLPENOVCA2KZzUu+eWfm1HKxzIySrNIw9scG2Et0J
QrZLmByuYm63Vn4H97s1AS1hm9NqN2OLTjkxboRFMcmX1+p+IxhxP4TTliFNIMUrYBWAZoMCJs8n
SSsyy04pJjHF+tzO47u9RC/4412/TgaOl1ZiapXTKl7ljrF8+POv8qcyBoDhDgXXCxB0B/ZQfszf
Gfg4qXd/Q2jBPzaWQpbzrZYxZO6v5WOuRf+bNqp1VoekFcIoYcMvKH69oLFeRAreM07jTAISf8wf
tqRM1rZR4KLOkmUJtmKITp2tkfn9LpupISKK8Z0rIaL+K8mtaKCPXDUGf0evdYPbaZPfzaDtHjoD
NGoqrG7HWoN80hwU1ls5pvsvxu+QWphhUpYNadS076JX+ZkfiRvr2Mvz/425yW8lCv3YhaZIUKYz
hNDSnmPiiABaArhm1EudbsOy0vwt6u0WtamfEmnNW3W9BQgCAF+wkKuZOBrZy0DSUYyVBUCxAoLa
Aml+48X0TRFi1RniHLZmUk7HMDfSebLo0et0tKB0NNrW7z0HnRaCmT/xMyETVXZfGm26WcHBsZqu
Q0GpfsWP1lQA2l6pMQI4OiQGA5TUX6EVZ92KQOiR00r9aUaq0ik3H6gaKMrD/dfGq9SkjVX2mKjw
6OvS7hXgRKeGOwMTaVIl9wLl+AtQzWsb4MnI1Nw9WhFNF12C6Z1Y6mrh2dg9/Gw1Ndet9/Ge4CyT
60vZYGari4ivxGLLMXoXUIt8MyjhGKVQLIhNvOM+sh9sdTYkhAFlJfoDb7SCIlzBq0D4Lu5lvci5
Q86/vptHJ75pYh6/rj9+PSWJ/QvV+s4lRK0iM+/hr6WmaE1YqRQipqQykUdF6Tzb2ri1xxpGcEfS
RgSChxOKZdC9Arl9Gm8zORA7DeZoN6m1yXtpK1l9N5vfImrE5+LDbXWWxRr7y9Jrv18QQeLvkdIQ
V5e/vd+uMl4fRhVngzqflaJyF4gt7V37p7v/PtFxAS6RTigcpCx1bpy7s2YQwWMjzwyH7hzxsZK4
56xUKJm8vj6TOViWzWJ+MQF0H1T1XgN4QtVFHObIPpLam4sM1mMPyCd3IR9ynEfBZJ+e/w+W5kr8
GsGW3m+7izT+6Aw7pvnX7AZGBSLHhTLedGZV0Bf1zLhcEM+pYtV0vKevKMegB00aRJJUbrFZhK2T
1XtJwpGxRWbHsb4Rj4MOX4LO0QrZPFO+eOEk2Ao3TlLG7+zP+RT1+idpHIWMjRWnJdMzfGkjYr2o
DuOOsvIiwa6cQUFfxvufTU/gx+WFC8YRP13dZsq+PluNAKL3wETe3XptZd8S431XU2NdZxD082f9
YWBeCrSjbGOdJAGh/xTCfYY8Ux2WKvRrgwg0gVMhHdhNFE6mwpgSOYui9nxp2dNh5dufUaK+4d6S
S//32Z+EQngExRjBN+0WN1ooLqp6YXmtvxSHfmB7yT4jSWAAt+Jb2ZCMSktQK1WVJeIHIIVmy8SJ
flWG2JpNT0KLD64dDFZvVMpufadufnerwvMTMhSt7YHDqOprUGoHPDMINmobmORFU74FwMNcIBDn
eq1fBcL6hgjPFp1FyXbanx4IVdiZB2g/6nr9c3pNqJqXnjAV1/k+TOxmr4MnEnuCKEQvCtfbSa5a
lsN9CqOh0oAYMgY/ZrtYZbX01aRAf6bw0qk0ZslbvK/8msNZI+cH8xfE55vqMnX8HEMiolYzvpTu
9zGhwVjaJf6nQLbnLn8VP1NcmYOHHC3cIXpijK4Wpqwo4P2NWRMcv58f+vWkeveXLIn+w4KR91Vj
kVdKm0CbTNpKuxEcRJeUIxeymARgx39igBAv/2PWhsGh53N1rRyXLt/h7hD8J1Y3ruUKm6qQj/hE
IAwRDeLz8eXKsYOP7mSv+/z1cjSVos8LkmYG51OR1uHiqK+HZhihapOQeiKilPtaAWBmzVU3qy+l
HwDdOf3GIWkD0vz7MlvtEChptKhbPmR8Ff4VuJG9Sw0zBOggVmx9/i1iEXPLcfISmZXN3MqZcJce
fWfUQ1nSFixR8xWI54aZ6HHKau+JRE/K3SQF7Z8msr67VYd9Io8DgJBdtzqDdxqL6wPOHQGkp5OV
pqp6nVuWZUpX2Dijy+VpgIVsyFOiM6xPWTMxW39Xjfuy0tsQRG1C18QPCFHy1agPos6vwX6Ug3fz
tryZ1LHmKryi+Xk6FfhgFV2pKHKzIVg17SYuvfKWnkKZqb+73Ab5RjNGw9kb12n2BEB48jo+YL8v
4T0AuJUoCWikCzX+3a+fVoy9wh7LB0J7L9O5kZS9QXEhW4405KHEGcAG8oiatZeyHhTlRCDfLYsn
cwWHJBkYVdwOQvb2nGTVB0UfrIGHOwdp7TO3oBTserPlUjYW2ZZafVUmH1BtfF58gEwpsfoVy68f
zvAl5Rm5gYeWizbQYAJ15m1l5GVW4lJzfhxBrvB4goTpUvGsVdTlRYwZXEF12qnirqVSaRSl9pj8
3c+C8idSJgfSPwhmfmMxbMZKU8rdJSXFTX7BWrWT0u+zt4ym1OGMSFLrLpwQ8wpLBUisQydvQCLO
Y+HlC1X3A15yG6qo/jd2CUryY/4coabhci/4KO2VKlvBXyDaUSsM/ZJ0ChtnV4h9eHKRCDEMnYvn
jYSWnmYR0DO1SXgqh9dwP6bDE7maRxsJ+WCnUKSH6ipWpmDDBZE51AsNcLm5IwBkONVIY59T/g2Z
5q4MTJIvd/b0KSwHLgKOsHv0mj2J2aq5bq60n/fQ2PfM5ucLWqigBh7URh+80irvwr9rHMbg+Ptr
ASJdu2KCWn0KCSAMP/GQySdaZSEx7gpMBqeUO7AZPzuUQ8JBSgA/IJNUb2lhHhofvTw0meZ+kBjx
vKYG7gzx3NTnkl2krut32J4cY3WndMgoaACPm1se6JvalR7iZWDaEewPz1gQuRujDwYsb7KdmAK2
5pZlXBx8KC/zp6jPj17OGtNU/qhXmi86Q7aVkH05oLVx9k06pvlsHKUINMvaTenhZV89hbK1XfBG
N51IQILCpfSdqHVMdlx4M94KtMjs9ZAXp+1o6n7MWpBpAt9Edul3hLe0doiUqwqqCyWp/W2i/a3S
Y4GRL7W+BRQwoftnaaIxo4DfeaQ0lGtJ/Bn8+S4yRBt+lMZKN3HJkGRmcbIsU0jl7CQ1q7rFWcGK
JoJsfSeUiO570WrP+KtcnRx9QVWKF58uxVOLYHoNAepGfzsdjugt9qP/47/oUPztqxgXsBOb4GDB
raar9lJnJkYSTEg+0gh8eWqlsT2hYV3mtgBiLJXJf1tge1KQcD+M9mePlLsv5t2HXAGbHG5fLz1I
0bRxO++cJa7E7O+NkVXOaY5JPPgVNT0Ju1MqWcD9AcHWWazk4kYE5OdG+0TBNcvTCvBF0eGLsa0q
rvAm3OqDWUMa/4QhQkhJuyyfGeEMCKKo/w2MIlwfa6OjL9IAxcJDWw798jCyOocDAyCfEYnGMYTz
8YSBCwRdViCr0HR27JG1KXnmcrbGdLjKLPwRjA7NSvgl43qQdYWipllSJNzBobSg64Aeuez1nkDV
kO1lXKa9/VvUQRDbv7FI9bEGMXFOYkp0f6pWDA3M/gjFKn+nXSaC1R7gdi9xWDkrnPFDsHBMjyxR
bIafmVjigeLjAAFRrxLl80pu5KNbj3P/lU2yKWutkUdUR6yR9fg45u/rwVxteu2XtvWjkDUCDOE0
/VQw55dcOskX20Y/q834spJb9XRHGRWFAQlLYXUgHYE5LlzZzz2ccSd+EQo6LeixtEjN2pinadyW
lmyPazGaO4cer/hTtONagV6M9zKUGMHQOsym2lf6kuq5LROuy+DX46Ee3yvZSD4+nyYH5sQbzoPb
SeOVB0MSPDYjQAPzFgHx+tf4YKhA1fzhWnWhArbBZqQBAEiHcqmJS2YImHAC5Rohv0yZxO/hO06e
M9wXSBgXg0/o2A769idLqNZAwR7lVEz2y4vPJpwktTENCNJBvZMslg5hp+t0kP320qpaCIyRELpp
R9JHsMKVJRCFK5RVlShvJ5xK7cyNR0YQhTzVvTLkSisikTzt6mxNlUMbwB6CtENv7wu5LCLuRg7s
QZufdJnlM9IgXMPLufKod8iXhkPL5h9U1kWqnn4ZJVo7mdb0xov0AR8CEasDgij6YWmv1bqACRGg
uSlzcjXqPskPu3iJW/cSTSPKv75NiyIsVghwlTPSIMjw/sT5+1qgHMKvA/faz6+7JcdfVG+FzVPO
w1sd546E75+DxBqTyLKceWJ2frNzJnRngfphgEMINzqJ4funKO4FmTTStJ6V4Yx7DzfQUX2pvTtn
Z83+OV+UhN9SbUEhvu9uS+uMpisC/2OfQ6NT+/fsGIpA0YqAyod2XjmKpOY7xQ9nqlo9qFAsB82A
METOKRuzGv49iAYpcspe95zR02iqjrG8w8IsQ6e0dTUHHgM72/s04jjELPCUS+nuA1OoyERT8cOk
wMVLF8Rz4BKqUDmBzre9EXYXDEG8Oav49yC2JvPFZN7kY2nk1+tkrT3Wui+/5/I1ulc3UByA9bD5
m0Dz7+/7F3b/1uUKQiIil4Sp21qFChvBPGX+PxUwkkqW3BGd74c/w+EDVvjb4NY3+9VzMFV147ti
DSM/OaPAqKp/12ZyUvdn5g5f1rrKEgCzWLn2bUI+ZAdjJ5hO9QX6qGj9hZa/o9jjrH759kTaLOvf
oEjc33cTclj4gKP1ZAiI0exMdZ0LOGQM4TjCHSwYhhKqHVaHQyGTFp4QT5uL3kZWD76WMUrAY234
fFipmgfa4Ac/xPbw3cw2WrBJ1dV3DeRwh4dksivlRkYfRqL0H+SYShMZwWNR5p28VkTW1gwyMb+S
b5Dc0JylGvqxj2Wq9eBLHd4f7ig/pbEhCdT93uDhujLscQZ6OsHtziko9JTB9B2LTp6UHRC+Ee1Z
hmkV+OQ706rWP7NJRnAsWZBJ/I895ksYZ268gidYhR2gl7/AyjfhJu6T4ntUXVHAj4tJK07iT9Zw
vMwp8KmYeudpW2peYlKo2eIhy0R9Rcjhy+n24nL4ONvg07GABu9v8Mj8r3PNFIGDyXwcT4p+hw6/
spr0F41HnDSwScCxX48d4Omk2nvtsZV1dAfAwF5mO75Knr5RaOdrQfZ52odnRReAGprfbuscXg4R
UBgpMtYjXJpfzIiy52BWYhbIdo0nOFKBVjmMM5uyu7MXXNNyNrUwnH5RwMiVsSc4OgDhl2lTxAvf
SNcyvLW8fOFPhmdTxdEwqd99JoouFjMuhDUNXLGjaaRfdQ/7cqFGCEAWxAw/UbDC6s75WIIt4MBR
OmpHmJFsvZfE2OJQVoS+mMGJtcQX9lK8o8tFYNevjDNdx1deZDI08M9ABFZXBy4WE3aipxWEqgKD
XeL4z82FyHp32K9EnYLznC3hkp91aoz/8mwjHEArjaXQHhxdMzEGk2vBCRrudrUs5vhlMwgO9kfv
LNnrubU1m0d0SUhbjcyDhHVov1J31sPkDiCN/R22r3BRtfOgb97g/V/gxrYxD6TtwUktKk4oiltm
nAd68vwDu2Y6olB34/nqNCMgHId+AMh9ayDbOGKLIyBhJ9Jua8qY184sBJkx7GB2PuYvCm+kQDML
ZmLB1iW2n1GHoyNSlw/Upp9DUYXOMEoJQCzqjj0R/3fptW1rfUGmV0Zy0ozWuVsrzkN+fSoOV2VA
cMdXWVJGjjw8dSyaQLm5IZ+2QWycxjBrv7DQH7ojxNxeSgHKKI92V/L7+pIpj0MtnBinVfA/gF2c
fvNVpfb7EzdY6uUzBAr0W3hoGHRkLNrmFwKttKTewGVX9JmkJNmMknL4nuqt2kTtiifm9cdVTTIF
I4RSSRUEZPjDvuLYuemKE/YuWmmLZY4yOwTOxcO0iweqERmvpr7z+AMaPVebsfEmMj1m6UBYjAzT
c4iEIcahOeBlU4vTmzMABOeW/wOpugOYRG0kzG+bS7hEQPYpFBoylOq/qybTOnM/E5HrFwisVjbB
R404zyIAacwRqavqlhnADnjiBXlq4HyYKXoPInQAKddisGYrfBe7YmGRhUwk0p0GZjDLZ300XvBJ
vd30bB5OZcy5hcz+W0EMLUKK0pfOKS53Qhx3BxzuJqMYqCWQElDqPyKtN2/bAkcOj2/PuoX8nEXb
fQu/rDExgV3a++PvuBesicQwkZoXjZB2OzaPIQ+/T7Sn+0IBpx6JaFnIh0hPor8VgXKEOw84Lhn3
yb3xd9pGTlx0WXJFgxBkrPzWcTmuhPa2uPJRL3V19PSBpvPWNbR+Juq7/21YxH2YPbg8JQGcQ/Yo
F4ObuSWpu52bR/Nh2gyCJr0xdRcyQrmoX8SoDdJbugY8rGfiqHKPcflt2Z6xtIg/dMeiIndnU9ri
23soHhF5dIsU7O2KGUFHgDA02waM6wD6R3JWhWmrDbcCUuXYOzdtSHS2OnH1C3ysHgjOtRjQhlqi
UHlm6TZwncQbGj+UdG8iqzkrZhGr+uAURdRweWsFdWqIjBCPaz1QNJQSjP5GvBzjizEfyd4ML8i4
y/MLn3K7K11+wJ+bWbFhqAgpgmDWvJNdIQbd6Hpb/vEXr+e/83OQwzs+9mrEXk/gjNj7+uHsljJN
g9JF1KBQ9TuKqxmc7LQ2NNVZrRlOF9Tg06kU3V/kC+O8SgEOQveNHS9z5399k6t+99X9FhRBC8IQ
iL7cg98QFATpBbl809SUiXklY+L7xOYiwjA5IOnymzv0KAc6B2no8tF1QA4IYoyF/fx4gUuzHYgV
44XfC68lpqxkjgdVKWvbjvoZauEu0JwzWNd2oSoznEU28d4zbJe2KbOnib3lvRLYb/u7Q0IGIOVR
dz7kEbnTZC8m3cYNfZdHUIiZuLhxZrmUtL1i884z5PMqCBVaq2/uh5t4SaOmiVMomPfbdByrBiT5
cnR7vqtoM11o3/E0IVeg2ePm/IiGbW34tY/rJcK9coUi1nE4IY/VtOi1pX9uYHq5/pbTea1CAgBc
9K9cVfHj0opXWMC/lH+hgcuGuw1KOxQIMMD3PYnHQOELNkzwMeDSv5WqWTdD7n82rIx2TTaFZwCb
NHoz//fJRwftJPCkROXarwufwhq9F3U+sDHjF/MQJ0Cq0xhH4wzfQjHVSgZzUGycEH9mrjCerRyi
ONs7RGbzMhB1W/KNeZb3RgLkWCUyQwLupwrXvIyR4+wYSr9WQmJg9MTKuun/md2chHqI2hTke1My
2c2i6/apeix/ORx2RrW5mhRxy0dpUm6BNqinUGFo6X3arhcBr2BVxHaiGwsDxsMvxBklxFqN9//L
Nnhr25WBr1L2+9ZI8VlY77POitPKQmVdIGP50OUnKSR6U3uafUrFQTe34r9lou8ADn9DCydgzB7G
OI41L+40DbKuQI5dn5WRBzAz5ejzTxRhB2S2WJKkBPU9R6Nr66LdhCzjn9Co7Ci5rvY6Tm78/dNu
HTbYHM661jCyvozA/nDeVrFZO6M/4SVy34DHxPCAPNSA7mgpXXcwYd8beXF4gCtGhL2neGMyTbXy
VqzknvVbI7YmrSnJy1pCnt4jy+N2xe9VrTqlzwwxnLGg5jTd+3K1fXDNvyc/wi6PlgDSKg24Y6JQ
Xviom7ALBrH1NSTt2d/btGra+aZiX+sLLt9PtL9ADIIuQuc1ZmepXwtN5d58h9/nuE1r7hlQO+Kv
xvHGciMdow4gtv55in7ffI7jrnAKC+/2FPRNEe/1qggpe4TDxqABMk5xCcaoaoKPin1V5T6dw4gt
/5G+vFUHs5bo4EiFrHtc40MpeiuGNVdccV1WYCIttesgwuKT/Q3xhrSGyDX41aCnX8xQLQrSEypK
ZnFHqoyj8P7EeBxkkDt7O3JyCoUmNpH/9OQ8pG4WirRveJrjplt8YoUD5ayE5N3ajBLpg1DbUyvq
8yQz4tNj/sIlwhYlKmhDxG3hbq49C7BqdjwtQND6WYXD5ldL6v7N8M45F3aTL3fkmIGoNn/oEwR3
ZtkmWSgf6iCLXJksfLnlx9rZlMPX3dm0YDxrvrrspptH/fWAy6Ywu8gpBM3caBmQiaFsdPeH+lXd
4AvHBARe43m8UkjhvNAsdwmwg/LeyMEWF188SqqwshyWanUYs1yNtteA6PgHP1MDbplmD4ud/nWZ
10wCOViNC0WCqDKNyF6w1GwkAy8MUvA9Ho/uatxwN1YxES72PCuOYxwWtr9uk5VzybQLbYSMjJ6c
WeU4ySufreil72Ycy3aPoXbacXXg122BCuvVHg6v/YOAEO1k2y6kgxqPrl/511S5OW2gu6X0yxO9
kQ4lpreJkkxrG0LUe/q7GZ6KEOjODG0XiYiajuS6u1WNsNVO1LLluqSlc3VCsAb7xRE3bP6KYaqa
3FuOQ2qh5hnJyrb61dFM8lWtW+yStS+zr15d/f+MQLAQ6ozeWf/T2UyDA5VbnOVKT4Dn4W3iqqTj
y1gxasdd8NKSPUGLmtLfNcilbEWZxTHqscsALr9GrX6fXLV5uqyzvgxggbyM1lu4fxFk0XRacvCl
Ci8yIzcX6xN46RLsqkvVGixc+B3bJ7d0Imj2/uV3PuqfmD/D/B9IPGlXnvY+uDhjzbYsVMYxyO+S
7SgTQ6fEaRBG4KSVeTQPnltoPLHrulQ77f42z9RqZgaUwziwRCKTEOz15/ByhsZiVFvjajrGnB2b
TWk9S2tuZByh1p+qTcQDlnnj6GpHc2iwGu5tp61bhdooZfvY2y/bTeLhohC4XTKGZHg7vHZgmZTa
eEPH9JVUi4FrNTWLb3MHd9TUAY28z4HILwhvafDtFzTF6ncgLtW4QrpBuJDKx2ydtff3izC1bDqM
bhXpuWXxPWH02VRcqiDfl/3feUE/0P4oMD+ndWkJ6QlxFRv0DSnG2p4L2dXkFRQRhhvd+ojmEN1W
AWX2N7pQB73EYSyo12liFU9SWSCamnlCcNeQ/kq3KBuJL1iKTjzcbrVRM/8SFh6Fq9IFcCX9BJHW
ghdiMAm1WiktjeOv8LuKMRtUQhRXIyAhZRdntp3inM8Jvg8V2gMXAqB3OhyB5xaNGph/QcoH1Zgv
6BIitYqaC32uxJpogpNikg0wOFAzoq/iV0m+4P/Fpor6BLK8076mXhbFJqcNXA6oatmMNEetSlZ5
Q666M6XcZeuoQqjJpxBkeJGJzMwSLyfBYN471NzCU38QmlCKWxeOAU4eo/cH5oe075ia/tu302Yh
uWIvYgkJcpaPn0pDjGh1uJljgPqM5w+CHx0gvutA/n7OqxWdeJ3VO/F7/VIiMDSDk4iBdpoi6t9g
/IOex4paIIvab0cabHmJ2rrpHUYpT/CrklwEs8d3WdaTCEgy9pwd7JYXCWYhVT7byHonxKt98FQk
Dr1tEzt2Jicfsl3R6L3163tg2jtg4zgLjLKek8j5O2bHS62VAw9YL8eGTegkJLCZR3hQ8CEvPHqk
8ziaf0f5ObAt3MWDopGcKPMwl8T6H2Bliskwwmqmmmyc1Z6YYKONKUWKkWafnY23UFlZCj3O0YP5
fouXolSux2kPTStOp5VTwJh4fwoZ04DZrRfnrnICC3lpT2QnMWLDzHogKglTMPQtfIrkmy1jxQW+
WFFu/ebJcXuyGVYm7pBu2qZ3KUmgdZZNNbcPVdtVKLxVJ3Op0ASex3emA7P0DRyjpLXl63/CwD6V
Zhal2gvTJO9pmjACwT8CYE8JiFCOOGGprxgvI8xJ05HKjzLyB9z47qU1on0PR/dzYrcOeTFRHrKH
zIRpTjob++qI8LMb3st4rtMWdnLY0I05gbyGZbHO1c0zfvfQxxo6tBKOM5BRxuzuFO6aTYfcWreG
zMCriXSUROZAS+sibVMcM1WQYTKERxG1VdLFytUBy3E8VKj/G6qEdbj5UCxDm/dkq+wLGJc0oD15
UURdov6+VflaWJrWtHTm9mz9DjVmG10CjCeI3nY/LgXsTl5Tcc6IyvdKbjegxfmi19hwClkhVD8m
UaMxkCS3xT97p28v8iNePom8Hg0wMGUe1hXjfDNhOXH08H3OWFFShB3/eTTartZmHPjijIvdTIwZ
ONQf4vwpXY9Aqee17uWNiQrxbIbQ0exRTdaW/b9xnCsM6m/psn+D37ZY0maIWUByTU2VopDiWHQS
nSe46EwmrPZxnRt+62FInvpnKnnAjRLsR2iwMYD41xx1tXQZj1p513f0bcORCdvp2SiFG9j5FlnP
neNvZfUkdXdmxIm/u0r3f0OiN6faTXCFE2FL+J/aNE2W5fIVQMUd8XsQisf0fqq9dKDFXEzokiEN
0B6NnVq35rlLD/In8QO4qxPI404HmuwBbo39kAC5Bp1JfSFEOVqRCQsgWfk6J1TaO4wLUb6SKdBH
WPKW+objDSAunHZ4emK76s3ECifz2l/saoHEwmQCMgRXA9bMuOl6RaJpEGL+9BJzYnZytuLHkfYy
wgnvC2ICQBaLyqFkGjoZx6v9zOICOdS5+DVQfFoQiDIj1UeEoMYkipAP5vNIc1JABrbsJKBMRaAV
fp6RE4IA8C2Bj13oXqbY7UYRiLrk7+iL5TkGEWlWa08lgyrAqcOqWP6/U6ji+H3hg0gGIQUkMjcd
gCG7A5Y5LhV/7rycCb9Qm1SXgNT+F/n6lKVF88N69e23D7G0G2NTpJZqy27jt2ldBDvwRbjQYF7/
31l781xQFwwsGcw6gy+Bw2IKFCELW9iOIqJZn+kIteFaVi8Ks3FeR5clG1DXIAcyCmh1WDZcnOUN
URI8Y0KCVjo8Gp/g5Qd91CZ98gReGCCDqnwTW7xEZYzZ+nm08uLi12GXPF6BJto1IrLNoqMZWzi3
82C0Qa09dl1kJvav56bK06eui0T0JHUUqDecXSwwHlkKMDfJtbhdc+0vuAyKf0ssZo/PnNROeXw4
MDIxqA9HbEtFUXPLxnRjQd4RIe0SnPcQ/oknaFQxkAmMA+HQqUNlCIVKVUBokRhRclXLTLAzV6SJ
HruYDEZlGLLnc/BVt0tnt2RoIXmXzr5j7aWauneX7Fd5PFFcw4SCakx7d/zw9hG7OnhM7+bBCUGX
9dQHCqAyDJgwjoj/SOqwhtCddKlWGum0h4m23nAZeERFgpKEEMJmeUTjW4brkfkxkwO4pUNyvOIV
+JIRkr0ZrnJ/H1crpYmFCXZW3pfKPdMwaAiEcSZaVMaWH8Cj0+cT2ibZeWf1qBnsin9wsIdo8+Eb
uPkt6R800LZPmog+v+f5XdhktKTTb3mC876vpNd3c1dtuf5jUbrqizG1Q2YYjQz57yZVrYbEUxWr
6clkFXhLPd70mV+Yjp0FF9GwU9DLYUH1dyRPAsndtQTFOx6dmXF0fGBq562aMcPI9zl/1OtRjs9s
3a3GpK+MDIgnqJmvB8wCFWNr7Jz3vkcg8eZDs8KuWmwojTKYu61oyd1NgiIok5wPIPz0gxS8Ubzy
5Y0ABheDA+efAp7u4zhKwYiMvd0dXGrsRHIup+Ou2377SzctU1wSEMWHh/pKqO7zATU8HQY+Gfje
lWBZuYQq8ol/llsvNRA7snPT55ITr/tYTU00UL/Pky0bH+Mx7y5je1aASaRd2xCJ0pIwwP7riRzp
srmTcnSyQgPGUv5UlwX7YZZYsxFwQm/w5aaEhBLSHdMe1sUmqmjaKl2XW4gAvA3SMJwGrCjOE1jW
yzU6IMF0Rz89oI25qnGYnLaP1VAAQdL4bc+w9g+hVsGWq7N9yo/25rJnhmeete1UIs7DNHLF317M
KRNtyfDoclccL6yVd/OcA0ysHfwrLaFrEE4fWQ0pU4bO2rQs8DhE3Qx3lT0vTQsy9apDuUkyZwAy
JsKw+b03E7BfeRF8oQ3s7xK+y8uIdLk44AfaUSJqmoAXnbvp12gzCGKZSZAzexX++d4GTcWPFB2/
qzGJfNgOk1DRWaYmO2Xqj7y3MSdtBqWwr/F1MKFJUjWLL1ZNrzod15YBJxhHzlqlFpOypor1PUB+
B6TuEnBfaevBNJosfWX2SInbpt5uQF2ej9LozcDWcQOQtSO9tgbYmL/777dOQ/Jnc91tb64RyrGz
PolHx2I1oCYj064Qat/yEMz49Zj73uZ4X6J8NEUquP7SYHDg30HdFJTD1nWhYUkQNU96cEHa9Lmr
RCyveqVHm7ri3SyZHMdF2xyPV6IuhJ6UlshmQfTTBQokm1s74Y/h8/GmJBPncSBgJvwC3YHWeKiV
eWGeXxr/GbmhYSG6LExtP+yaw7ird1Vv0l2KoM9y7jvcH5mT94Uuho1s/aFEAYIPkS+ul0JQ/nb+
uMAhUDF+HqpWz4QaRNzjz1aIIGjmtr8NPCJfk8FITOiVIJB3m5LV8xHk1oAJ7LFe7t5l/dHSxghv
Zq92i3Hhn9Anl/V2fF1zeqz/YBQq131COPBamqt8cflPNJ6TylW4CPNpvis3nAvqLa+ThtfH+N2H
tANzIVlNd8A5U75OqFhKMoC5Z40m393qU7d2PizikFlCDzy6hjcWiZeN7nn76YhVW3sZS5Xg0jGS
lO79KdD3TSK8iRSGgZhMaSMG4ue7Zwa161I0/U5+MT0PsIB5cokzkClB3kZyugvahRyyQAGhP4FC
do9xb32FToIwG1yXCjm2In7WeX+vuiO36+GZ9DumrjEmxALyT7cBJeNCSOxcx1cMUos3WcJdnJuB
IeWdmbbTIEP/i9T+gl1K/3Dafz4ubvXKSAlNU7n15FajI7lGFUDW6hkg5HRu8zUeHajm4GEghi9z
okZ2WixrlRjPIJRDhZaGB6+2/dooeubXo/DCT2Yz6OEuuC51nY2DQi/y9I/6JeeHh0WBv5ZfDlid
obDcHeTwX/amRPFGyosaJPdWKasqDg8R8J+thMwXHMzTraHkEEFrTPKYFXw/GVYDll931q/xeXp/
Cx1dSJ5+O+MpKcRXh7mIunA5jQotUkPNq4DUX/3nOnTKO9FLoVSrdOngyBL/W1RW8keFeeSQ2DZY
BvH9Q0iWK8TK69pFBEmPmSLE3Za5TozyB2HDvAe8fuE2As0D6GUYvTBzbQPmFzMciQdbTVhUw3tA
2nP00c3OmcSUEanMcmplHywmyjDlcRn9w+V0wtxObXIq5A3W5GJn1gYsQKqhVbacuaxS7StjaXtP
imDTT44vltRElOGkP1nDjtJ5ZZXReOfUM7ScGTK28jcojqkvaqPnzMQdBGSel/wDouIUh0Le8CuM
jmKD4YY9ZBYpJwbFw7ytJJs/nLGtlbSP6W+LmnYsZjga1+rCTUqXX6DWxZKKYSnFGQ6nHY4oolkR
VpdCLO53DOKY3EX/7jxk1apUrGfFy/xRxIK/vaNgPEp/2a1W+axFAwqRnbokLg9o2q5wsMKj5h1H
iuV706oXOT7w+4ad1o9P6Wl4oDKvudjcsxnPL9VKZssh44CO+k0w/GkdRdKoWvfDZszuSSRmMJAb
uOdqp9c4a4zkirX6qTQG/wtrvLhweRdPDrHKHyr4yRC0NRWuIE+zcS9nStgR/456vqRPfRrxhM44
pDAqOAk6R1DjcXQRo+LukwSdjhzdyfnGGKnFpO23QytFToGsWwSUqQjBit5Pu7TZ81OZH5T4kwrw
ei/ZK/M0GvUzaXXbyKG7dpHiAffyjFo6ejR9jRqmm4oB1awOyb/0BuoYsUV23PBhotGIt5elKH0H
KWxO3znQx72UFsiLWMJegCWWadgbPg+YVP4vc00IWMI6rJlIOd7w+k5/99JPM0z/XeNIvz5Qv59V
5xH6eBxa5PDkz/mH3sOogE0gAlLRmtn9tZbVL1rHOY8NUfHT49rQw8cuwbWacCLonuxLaCLUST+2
SJIg6hzHMGkBajRDmep4aV5582j0sxGhZpbw36RICXgXWFCRoB3VzY62/cZa998k1MCWJaES6WQI
MTTPq4hnyfp4Z8Ee6b+/WdS+mQjcodbIvOUhd3Ep1NV7Jo04wdsb7cpVznWca4HBo6ZF8rBS3X2A
o+MnZBjh+YGsFZYEnRUCPzJzm2omO5DCE1RPPFkWlq88HWd8QFqDkroNcer9td33jtl9CIDgqk2W
W7mVayJr0t0I47b/l2OaRsw81m0IfNLqRCA9OYsl+riqUy6pmlDtoCDuhnck486iSvOF0qdjmkIj
eDxPYTu28hJbSoA/giD1m/9BpOw2IIxZW3Acw4ZETPaO06MHZ3kxfx/ag4+NFSJcpgEFQEb9F4+w
qLhl7chHinmBbK4tTYTMTHaJgSid5tsr2S9wqNLcCtzkWW2070zpUc/JB7/gWxHoP7nLxZvgJwin
EkBTwl3+Qowc93MOKEGNwLGcszNIw8Hm1ecvNng8g2mdlLzZzyqY7T8E84OvkrX6Zbwf8jLQ7jS8
2pWars28lj/HafT+Hc/1neg6EYH7sDNfo08ZE0Iy21VbBPxQsXJgJ4qF8PDLYblGJAzTqrtkfzbZ
qwTIfc1fu3fyLio8mPFi7YTq58GZxEH2nRyJWnsybgSQn9hj5sRSRdE/bigCdT7d9wskjtIc8RdR
Q7Hh09SophRbZvVmjlZh+wNe/GhyqFiaOnW27ABFa0WrggTHwU+hIWqfKWxMZ3cLISVa+UBPljVb
1VsZy7IHj7ua7bLbLfk0jcMTKrj/2e1YjxsuFa3OgswS9tNirqXUg6RGS9yigcVKarhhtAHgy2V0
6+CueSde8Lvp60hGDTczcVkBY6C1Q1EC9CU8NCFwujE17XZgr03CC0JcZLeiYV0zfycTGhEGYuct
9TfmnlTmaj7VK9+92HpfuQhbJP79oQVNl8jg9hg+v8VdyHnFAg5vqd6BXk8B9FosAo0BBuwOoj8Y
PypRfOA6iqxqrm4E9h22h/FC24q1u0Lkd4e9ZGY4ZeNF2s3cQIZtibL47QtC1lKmzG0ldC6xTDw+
5yCeFLgbB9jWxbZ2q/z2Naxtm3DtQD2n0kpnrgzu9VHyvILD76k77Xd/yx0V6gj7LOT8WyW1xZnG
/Bq+5PS6QWlY5XnHEH6YZozkVlGEt7NBiU5CwBq8kVPHYJLBDfDlzSUN7Xo1ro9iH3iwRNmjUXnf
wDiLYEwjYr2wFaeO3UzldKhCW+fYvoAHveT9eMx7U0Rj1J2csu30mJDL4MA3rOKBZzhSjd1ymvSS
qtQoNhGSE4zrYyaff1djjJ7hpqNXMg+sJLGI3GT5htkctMtIpqS6bUWh1uwFqHL/wr8eB92AFJ6j
JkRnPNJLE56lIkarMsGyUSVhB9039MNBhz/ymw1Y0+6wcVrayI1gHT9zGf+wA1D4vXsfrZ9fZTrn
AuVfdW43XM7L425rjEWJSBSR47OsJViP7UfedyI8Sw24iYh7y0/t5ar85aQR8XRYnNnjXTv0B40w
PLALjx9TCkD2ZYU+vRyXIy+LnWqxSrE2UGPgRsbcfZQj1rtFfg+Dlu4W7xryR6MqSnTrOf6g4zvm
HJkyRjhx8AZHNsDunTm90kEkFgvtj+MNLxv9XU36o9hSdGqiOiOPPPyrrEW4rFZKCcif61lRBuFO
P7C+v1M8MOpxBJxIWXJ4OtBINnnP8jr9N3TVMFO5E+c3LqcxbO0piPW29M1+KHnyw9NftTLQAWo+
3gqeMTeF4vjThkiJ8U2wuJX8C7vendOVfgqNxIbmxdkNLx2ZollQYREyj/yLlrrIlitSAgwQfH4+
YeIPdwnuoj/d0bVA9rlYiL5JwKBbGWD1MgLLzGoZRXBA2bDYZF73sp9StxSXVoH6oALBA9FYLIl2
h2G+gItskLKFAQlgJrbY4rcUN4Vxgll60He6/zTA+ZhPZlzr1VDdVB0ftPVVxaoo2WG9t25RopwD
MKGk1vMp0zKBLj1UoNAwKzjA5B3QPi9LGjyninfO1LOZm7b9C4Vqn8OA6Dmlbxrye94GX6n0jsrg
sUObCmxv/S8Dj3feDQscJiadm/gEjgiKdcjCX2oIu6cJmVfjmuAyi8WXHlMjDMgzAlqZ+ujD4f5d
PhOZolWPuGz6Xf5HTi/3n96EB1VNytLBKufHa47BrSS2X2AQUvMVFrSdXVC7VpgGLgYEJGKrXWv0
QE78MvMk00ptx+LJIaPV3vzQekUXheVc+LwW94PE7Ww33fqVGUfGQkmaDPxcwDsXTEbXDqWAEWsq
FGvO0x44+wbfqGzRupIc2ijYT0VnBblWeQgeSdqVsiEQRg9eCqUho5vcNgEwb8NbNEQa7h9Y5Uck
w7hDkwfkrTRSA6/WZzXBv0RMQmwpnc/GcXXdlTkWQ/Cxkg/bDZNLX6ExAqZTfs7xhTfPEk/qWBi5
JZUhk+k2crJhpJxdK3KvOvkHeBISYkkRr9Rl0/VgdgcLm308QAeMwaZmNZRZ9Iw03hNg/9dImzEB
Z5iT6o88TjRVShtOP4Oy4q+BcrBoU4SYPmDsR8n+GW8+TZ9ev0BXJ2WEW1H+YI9MlvWb5llGQgzl
wPffwNxykq61h8GS2DNPS7o/HXwqHv5DSPDFhKdhH9JvtP07L5vQ6Lv85MFyKR+ceuzqgXIsHTvh
xYG2Rnc296hGP57wYCsaTmq4UEmQ0hLE3VSw4JFIIjgJ9fljhBQhDzZTy7ZZDAPRcYX/1wod8DmS
y1vXtbZFVydrs9ETLzl8HojtMPAaaYZOuDwsx2tcu5O+clTbOsF1D0lmGW88Udg1fmCOvqlZKwk1
VlGXo6Ay0M9Ulp/Adaiz61T3HYH74cps7DX0SWJp0ZgsNXz4OJrpZLpja//91vnaf5B2VxY7cqhf
87uR20TjhiRamPLrMg94HTu0ACJZKdElSslS7z7i3+l+survs/ohHHjRZna813+I67bnJwXXVi6U
K2NzFlhnLZGKyZzexb344LiMdmwltGlfUgNKmiZNGtC5/bPmKfbSk3JAsdCqx9/gKTuUcTh7TAHQ
9ibo1dIHJWMQ4cnuP7ZGX6gDYWZCbTqu8QMIFUFxa59sXujGQw8De+QLTRxgMjBN7n4HB/rqaqa9
lFxmMas7z0SgdGh4ONSmG1d5/OvnCgG1+99aNYHeBK5nNrJ6lc18rcGJqiRsQpsR88mDTRRg3hAH
lb9lLi8y/Wq5lPN71afhtHhl6kYQDtmGu5fdD9HsgJSRd15SUxUQ5/shoajBdOHr1rgkmBf9oBOi
bDiY5S7dVWQHjK7cGZoEVm8lSou6k5rl1Le8b5gUtYjSHlfOLR4A78sg6j3X6DTZl69fDueRjS9X
r1RDFCaPCsot86P/hiLPV1qKaUoBX75QopZA+ycE0DDMlWgrPwCFxXRWi/7J2iKwRh279dI5NS1i
N+dqD/eAIrJVOkjVMyEYiMrKBzrFR24aRYxC89BZ+vTOZHdfJSkjUo6wiNlUnG9tgavn8xxjNUdJ
Qv+27k+xcpGLLMh+9LjZiBpui5tfzWLbq+w/A4f50+Py/XVbL9UqJQsacq9sM/7UV2ZiAw9DGmBj
RA/gZQdkriH3BEKL7E/v4N6IUgQjXT2hWkDH9c9OoRa2g9f5A5uVq4wXLbTwDqWJ/B/WFtLhg6Xe
f8nku+pd0Yl1SSYKKoZSbC2R7zT3shfirEarhz5Eie7ty3gYZCQskyhH4Vo1f2+R5bVCrUK5PgpC
3MzVAhiwCT9Se/mqUjkIpS6ef1YD6bWgFbBU4/n/qS5+2ZpUChCgyl+1iBGXuLSr+N6yWIjnLa73
katN8qBCXS5aUxIpRDVw0I/C/xRMPcjhvCVqnhzcMgmMrEudrjRnO6NTRAUQxOlJ5Ch6yc7QuwVO
E4tw81ft4ZtDav9ZN8BSOWaMmFqo0pdXBCxNcMVqrgspAcaDfUFKRe0cGg+RADXUqEuKMDFY5GOz
2OHeKXAeEtIH7h+n/JaUEFj7cUJrsnOCk8Wup2YZXqkl+oagXVTHMrqfVwdWDhPrK+aS9y43CH/a
QXc/R7oEpRwnyvHv8PiFD94fmQ0gJLBWzNGVh57LtAEJKi6VAAgavtMcHLcqOhWsT7PpyVdBuSGh
/ZrxTMM1oJi/MQHQtHCzYw36Sb4XnuU7vLfIIWFP5Hj8rzVVLAlz39V6dk+NWTwyAwlZ1VXybasg
FifVguRrxp7nlobBIk0ffzaEsn//9WMD8qCsIGFvOgQPywhrM/J2/j0Ue742DfJzAxIWi7j3v2cl
EcPZ/G3lY90mUms9FMLG5u07g6IYlgg/3i/aIraxNAHTXh6lZtJFQxjVvim1ry9Nd9kRY1m2nloU
mP/aCfDhaIcRP+NkCCyK7/GvxdU7a/AHZ5Uh1Fii6N13CLvvgrPUaultbbO7J+Lsy+QoT6U8nqaU
Wn/loYHJOedzkf2QySlGx5rphpN0X4F3w/ZPyVM8D1kYpK+KWhPc0X+oilrE+xTZszm+qE7G4nh5
rGf0DlYS/uJa0ZFzw20vvVlKmxOTtc8ordFeT449RmOmLIhrNk8LcsyXjYWlGsah/3PJRI4/T3DF
r9x2GcrzyMQhubBRVPrr7k+afS+1NB+7QzfhtH+QoP0GlJHX1G1uf7WQsD2M8fV6RDEFKjvhL234
EIMFEv5L61bGDVaAgao6p+gBcpt2iCL3QpLdnpUBFCdVWNA/sRE7RoQnUzlyphohwpUJ1XDK05ce
PAzpyC+YeGifUzUNLqPygjiyCcHJzJTrHgsGf9/RAYOwbzeehu2i9OhkMUfh10sL6DX1TGyJCWjB
rSihcQ8BE2QKhabe5Vr2zF9/tSda/hljysloHjMeL3/SgGrNxpI5zz0z+aE4yGM4aIKQjnRGBKZh
xOmkxyckbt5UKlvETHswKbPbdBXpQhvApJ5Gnp/fzYnGN1GmkXfUdYYC+sMaMCubgQ1Vw15+04sK
I1K9WYyXomoflxslggKq4vxlgXRYEioSL1XGA7frkF66yxCzNGF10GrT3KNu3wPQUDBqj+n5XCtv
OITGbpkG4fDbQ8crO9WvJjP3px4wCgFOG9IsY4Gs2G/GnoF0czuaPEU0ev14OmEMlIaP+0pDwsTt
+wk2KgxZ86Frr+3tQja+jcMgE+D0PCRjMaqxqUiLiq5xn6cobSnTmTwfYMpfWuBv0TNi2kO+MhaP
iDlx0QVaqdMpv8HUPwvmtBAs4dQpplc1yEN5QkNTMH7LQo3bVON/Qo12U1CSlSpYQoVVxpksX94R
EEaeDhuh/odXeDBFgil7hDpa+wzpOtmMhZAMt4aJH0n+COjDvQ8o0SrWTQJCiducMLpdQI769Wq0
6d+uoqCYhcBuF5LxdJNLtlLDklVBhOuAxYb5zARYaNK/nf+/Zi5vHJpk82JZiXYuGnZe3v521D7L
sLtBmvRxdK2R/5O+FeFtGbww2nW2EREGGrCs/nMdgzfku73WyYRlv+htfIIDvnsmXrLdpBzVI/1V
jGTMfbDSSijgIJqL+Xsw6Eo9O2w6/J7egdUpnBajZxa7LE35yzNhJp5ElRwlwadgaX5GPCyYtrQw
a3/h9mwFjl6EBSyYooD3kjTrlgC9YClW5Zs7HwtDCAZ0hkD4MIsKgqm9XrzSb5yEAuU24JFYNvFq
bqGfR7gqp6mqJAjEbPauTxcMeqVf+TrCaa7xGBjYCW6f7mqV8ucMvEeak0Ox9qBXN8t2Ck8y+dyw
DwENjeTp3SiNWIZeA2l+UKQ4m+XvTu/YUE8I0C3Gnx4PtqeAQiPMJTUdFMtrlW623Di6vQVaSZK9
ofIxooUDj+XlEC2XEu9NdNL3WRsANDVRAJg5MeT9utfv77QyxTM9a/1zIUdLlO1FQ8MxG2xutkAc
xX6CCRTvDUGwqoAkIgLsgcSzZ795spFolkV5REVmqwMKmz+ITQgCfUl4kPfWk7n3SwtzZrwIVS9Y
N3KQQzrfe43PMZZ9YXdm9LAlpdlDJQc9dwIaGQq02XWHGl0U6pNAmIbVlIgTG7mFNz41WIK3Ee3l
qG8hZ4q/2gjIoEbdOJvvxzdAfKN4Nxy9OsbujKJfGJI/mrp1FgKieuxWBan9TMRjIUNVmBFJrVFu
SoMcqRIceXjXBpr429IUggeuwX1nImu6IpgUTYpZE1pa7ed3E0QuZZBMOlD0lII7hTanxxZeDSAj
Zt7H2m6MjlQi/asciUcgNZNfe6hvbwqsdtbRZiXCGHoJ4lN0OHgDoHeDPhqxnYSCd4P2/LUA+pHG
Uxe4ubKGvCWXd2VNt//C5VMLkyAjbomSCirqibA4BypMhKW4XRR7qZJYCdQruRwH9AtnA1iZOba/
9UT+pQXd89PJvH2wou6fM8TDZ5yPPE0kNiPGQZMDJlDXtiabAX6xsUKMjK4fZ9/5BYdcMnKjiafJ
y4F6poQCkwOBdPlNIHQBiTqLiQiHY2e3oK+u2oaTD4Hkmv1UPP8Vldv3LA8WpMdYM16oRoIv5c4H
F1GOpU8h/09bF5LN8jPaMRrEXI7JuKg2/bJsnMiAal8yufem5H3xVj1w3sI8h5f7J92XrzbWD7Ni
aaOidAJFlxZNUi1ePSWUtDi/C8sT/W7ir8ZcGOTMbpjNYfrCjYsXzqtqMGCWh1UpapUhmSp6Tqv5
8bss1elpa2g2tx9edQWWle+cnv7IYqE+LqfeQEb869s3NtILU5AjiejklurOEAjMet38X/AONl/J
kz/1QuBfkavw8R4llxibFgxhWJAopVP9wRFsCMp4JNwwL1xYiN4LHyUh3vMnK6wHRs2ksJeBFNNg
Jz27Ry69fOWo5A5qwPEmHNLGOPW+jictJ7zPuYCkIvV4zP4x8Q8iLNUDoxPOlVpue4x/r8Fy0x8G
Ax85QChvm9OfChRzrvmmsbNcHAsrUHPvVbYCX19D8WsTMBnspjK8LjTogP/K/i5AODuQ5T9Ype8F
eIxa6QdLB4DLOMt4R/AbifuVM55BoYFhN0eY+BafwjL5AmIaeFhCUnZX4k+dbOSlAfrMrJCiEcrB
Dzhb8MrEDwOpy7F4tScUqrZ8fY2V0T1AdkCJ1ijGDLrv15Z0x6jHFIcxFfqIY1Ucba8IJ8Jma9D9
rUBJ0IDQMzuiBq4TjuNhw0OQggWJ1yuxM/EyeXDN+jMeaG7a3difB9BRplagpRS6wvCDDOJ27iU2
LzQzDh1wwM4IXwr31x44vQarq45j9k3tr2OkaYeAd6bZoOAOFahwlajdmVkfwyPGPMGbN0Lx+lAN
qRk31VmlVx5yppjhDapMo6YCGC1VHOqTDA1bdN8H3D/CY4i1Tbwx4caSIjuILIFskXYNqSfOPve4
c9bdm09n7rZ1dTi9Blu25UqcK5MJGkZDCN5kXgpkBbl5EWXNClEt1x0A2+MTScqpZg6ynSbkTjNe
wyqy2B1ch7RJTgAYlMxMn7OEm/kmHEJ3j18qWgB3CYgo7W4+oya+77Kb4ufBpqHna8dk35My07zb
sIkuXcSY76+tOZ6QsWtyQvIcmuva/r4lMbuRDaqM4TtrCzC+RAPWb83vxK2nqYElxNR7894K8yVj
9kaxwVcbl45X8OEwN08c54E5+4r5IYj0SoGyV8vb+v2Dx8ZhU9A5jMvgsvOZ+4fR/yX9eXgW9/uX
7dyO2s2mwI2XLFI+3Eh+d4I+egSt5BfPiwyg1df6/1ZPgr9gJx4z3WaQRJNXsE2Onu6lowsyPkRK
X8qpMbAKTHnAzuz3DHHlrAh4eFmKwF4+c3TfEpeK6uyLeh4Uauk6fFPdW2gS+7CdV2BM0H1b/i6Z
TzmOMBNuYJrVhxRvKDqJ1lt6yxqpqbc1I3OIPXimqG6S+yu/aebbC2KnFvaupDVCbuqTVYDRHj2G
FqspI38IrmGX2EzencUteP3KeZMDdUCHd5VBuYw8ZJcG8TGTPTt071wmWVYVOtOM5K08FfWuKB0v
v9VW8XqIf7Y36nhxW+k73/Vvwe6ZKQKBM8TAHsI01mZ9/7dI43SQFVLpUIWc64blprEkq7p/1lNc
ul+6Gqg9qokNzat/RmsKvaU5QfiCSfA25RjPs0Ag/psexY3g6GvDIaOLmJY26F7ACd320RCVq+xT
atPoZUypKJatcLzS8K5MKPVZA1OUSw/yOuoBnhJh+6cMFU4m9Q5rAAKAmcdDSiUWE5UMmZSBaOFi
mn0SvI5Ki9igcUJ+LwLTK5QaMMO638pyOnkgr1RQvud5/FZzwpCfJB+h/40TYJvPCtTIqpjACb9l
IJtMcjz+Fzz/jgF4vWXSiemoSCV55GGopIir1ar/lVeqfCk9Xb/MCE35u25z3KFe6YrbjAj+idKo
9QvKIHB63Zo/Fz0Tc9r/hA/q5m2+/opyk+tOVfGIOGvgjjtVq/123GxPu9KSt5k7DwYmpBuZ+D/X
g8BqukiLNfH6jM3C05PjOuB5PrzmW9EHZzWC9K8r5JWLFJHDT1hWQlW7nAzzi4hmtj3R5vMKr/vt
txTozJMwWc8fQ6+Hq/0L+hThWoK2Vqvun9EsXplxk6ABfSCmI/gliRrtCWB7BZINqBV4uQSPmBsL
i/l8v4QxOxbQ/FSngULu3ufNdzJOJzswbpVpAaQV+20JazevYUXlkfmVm2UtcMKbICjdMCyYw8tK
F1eft40zDSCTyFg3Nnf/z0sK+bWYS/knOpVOwxjsutRplmyDssVeZgHsd41rtlPouye+PEe3sKaA
gnMeSK7LSKaU3AcrcLask3MXvUPvye/y14eX8OmAQYMs3Bz0SgXuL8HVfuVHtRelxTxEuk5rJ113
zyO48n4NxDQBCnJ1TazTGAJ2U0q3VzrVhZxQQCGJV8SnHiRjPZYS0fjqo2+7CsTK/qx5jzTjfYd8
XON1VtGUKbCAkxVf5e1LjGDPCvmwC9anVM6T6oHDHm+Qpj8tepF19APBRuoaqQfJ+fgKaYV+3WfK
BhBKAorpIKr6UisAMvcLXOg5Ann/O/ckUveOZfj52Dls41AUGBVRsFERmlksUniCsmduUD/VrypD
4wjwlfa/4dOo0Cs9ZTcIvJ/f0kw6674/p6c0PMsDzwjiRfWbEDg+TQu08yDdc4g16tpqLtoLW4mq
l3wluRkc+Hpos/QJ8psSxkj2GvxUcnJvJigI8BhpD5dVjJAh5XVpx2Q/vB5oWUqabNH1BxUpn4aV
GbB7wI7P7LyU2/StGonKtwlJwXjd5ZkklfrWeyrKcfTpoVotiD7IJL3wMo7SxnDjGYgn2On3ktAF
YfQl1bhVTd2kZucvx8yi0lCggM4B1ZvCxnP1tVezGhvkZeI7lGs2I7xKCo/G+FUywvAajpck1ias
mXIS1cy/H0qCLI3Yf2aRLVs3/2Ta5j3+7aG9PikvsblwI5bZ5i31iaqibTy/W35OYb4DsyKunUhD
UXN0n+gJ+DancDv74R5kw3u4aaOsiFkVDjj2+FGjpahPDt1gxohFtiwetbIG0tMc8efWo38Wcf0W
CPpG5IrGR63MuV8qcvyMjW8GgKlsuq26usnzdyUF4VNbrW8Bx/7Is17p7DkwgCM79XjZFjIzCF9q
HMoHsUliQgJHRIl1CRlc3XlYwjjV4WhrZfQN/5E0a5DOMoyQnTBUwWTQqkkkY6kXJ9uAz+iEsnkS
s8Asu1x54e5dyHbdndmKCjDkaTqxRLfk0HcQ28w9ID1g7xRk3hWC4ej/Sq/wA+lXIoet6B7cxQ14
WJrZl7BBmQlTTy4YNa5Qq9M49rv/KOgcPxMTSGjTqWtTN70hSXJdQTQ6DmzM7NjDnzdCsDzB7RO5
L/uFfX/LQlRi1579RPU3yk+sJcpvuCN5jDf3CLBY4LDO+vRWTO5zBuw+Tqega0N9FieIw8jIYGVb
FyCucj+HGX1RfUCsBzuqzOCAkuA1TmqWlvbbb8Mzv7ptfREw8WRFoKOXIuRxH8EhvuyXcUDIkwa7
9ur+d6CCv7lPMAdSYJUBdKpVsFmNJfG5pCPLrbzB4SFDlQGx1vTTBMEvClUA4XPsDji2EtVbCqWx
xKu7ML6sGIczEs6Ba48CmFS3/LJJfev6esr4EEzqpSto5q5btl/AuC6y73hVhG1tFCbIg5Fhzdk5
fcBlJ+cEIsQoQ4ywycGC9vVAc31I0/71aIRv+UBYc30tbB+5pLlb+Vy3sNGQdUw6RpaycTfEpjP1
EA4sGwynUCISDmyPWaAu2kyfMZhhKKrKy+iVtPERpLhz8EzfKuOjirNUPYf8ItmA7At9fpDXVEE/
Al5FxnUBNoTQzCmUFHBbuLkXFOpXkcKsSYVOLG2hfFNntyYSQK6j56tMmlLs8AFnMgV1y6b8mhRy
e9ZUSAiHilYhXiDudwwSxLIclE2m2HUIh/VaCcR1bVZCxnCXlvoh5w53mJz2wkQlGk8zyKQCcS1Q
8fGHK9Yb1AHH+OdVdO9EjZ7SYJpQ1hWNUS2jJfbC2ZoGC6dk8LmL/UJAklvNj3F4XH6XTLwLKazj
je3mcAIpbMLQJLRDlMmV8J/gCS8sV7iTpej3k1FeuDGB0IYS9myvps5f/mo1PsF2TNWRNH9OkMNA
rMtVEMtYHkMRgP+PA8QoRaNIltUCqq2eytLDocRWU32npjzqV9EEY9X3b4SmhSH+SkkAy2jXc6US
/izy/rJ+yDH56uGzhokhraBDXI3RUsoW9mTi3TzlYrcD+EsqnZuFvaj+yreMKSqBNj/fYMsxyRki
0A9r09b7MZ/SDIoiIhok4jeNDpVARuQIHp7kx/5ZAfnGAMKUcx6HXGvOYuT8fxnI3dXwyewIP/us
KhbhJVpea8bGD3t6i0G1YVISG8IUzFdsdmykJnDc83fdsv0vcLZK3JdeBX3Az0MrZJkw7NyMUUoQ
4Fz+8zfaJo7R+aCP/3Tw/SbqCc4Jwkkp2holJ/LchN7Hmc6qNDFLuefwl31V8Y2oB0rh+7njU2jG
E8pk/j7RYMgp/PzlPcgUXohH+kUB3aCaif3RmJB9ejAGuZJG32vUX/B9Hso/uHQQ4ovvvoUPV5EF
vHYjZLzoQnTW0nf5+BUNkdIM34nIUkY3rSxXU52ZnczxRqU+0rXuZA/3ut9kVs5JVXDf6IFLBj4F
GXr7fXOdIudkYuSKmqRqSuM4x5zOUrADAdTtQdmXVhd9L2s3b00n8+gxbOvtts6HlysSy9yRyStr
v0R89irrByaN7UOwnNqfjDpIsL/7nmpQvnYC1ncgOn/+H/4bYDGzRz/CrCMXKx/OWfteOdh3bDDS
euyefwhJXwjbtXfAWcqb4IXH8dVTIcGKZ1Ih3bZ9TZ5ex5YChdKqxbzpEY+Pf8/AI5iMe9tlOrIg
D0nflujvIZbx7R+Z7fC8ya3SDEZZG5HZl1AFqfYPrPJa/Lo3+0RuEtmJPEDEWn7UZkvpzp5/oIHq
DtNNjFXlXb8Gl9yktoBglsB4crBFYgWI6RmG+4jZ/Fv4jqCkOooQWNpVbXCl8TqmKhxf7oljAxvq
6GjPWWYus5fh22THAl5z19PekxyOF35FVwbZJ+oAI40pC9y1SdMFqDajDKFb35UhIKMdDSClM4dn
brExJcfzrA23cEQg9v7UoN+nepdB5Ozt92UaRmJjzh/xHMat0lQi4Sr1UbKiccbROxPbkb7XU8hG
S+fLMlt9K25RLUqGWnkwXnBnuFLF/za/bfIYO2Q2R1SS2Yx3Ovq++wWIpw+F4KUQ3qOPsGNDnXJu
WETtBItYUzPdvVu4YMZgxlT/v5J71z9pZRzJw9bD/5I97ozm18dM+nVzwkkQSUtVJ0FITHNl1SWM
yIBmy86tjgb2rwmGbChAk6adFXkf/5Tzet3SDdgXXcwCh28VK/no47LG406kdji7aHepMMUMvX4e
+3m+9gbxqKaRhmYQq4Z/3w7nPsC7OflQmfz2wgWXZvzow4z8h7vHyoyfkrYrsBuiMl7GoGCwwUyO
adfCmkpyzngrGwxG3aDkt9jU/NHhpfz1X2v4dZvTxAUXiXvlEIBMbh8yht6Vrf6ipR6SfS/3Urf/
DpTjPJ91mYVQyjqUzhpKQ+rhLmcSsjGUVW51Xiqd4Y/QZ7i4ImwQ4ZFVsVP3GMOJGeJhhVr2C6HX
DRnR2utS5f5Eu8/jEeW+pWWQiNdDw07FRv1TSo4KB/QdmD44J9V5+gTJgeC1N2zw3Fbn1UIbHRXA
5Fv17E8pWxbzV0hSpt9lYz8fCnNe9Usfi5/L5R4I0QYu1YB2y70x6rkRt27yiHXzaa/LzGqsLbK9
sa1Mrkx5q5i0newYMpm79kuv9paY6To5APa90s+ByAWWXkFPQf98Uq6ojbiL3UYDrY4nCW27lIn6
ST8cU2k4J1lQo/EqRv4gOd3upZNiC8yD50dp8O3kqLernKYT0BbQ77/BkCMjO1y3ZGP1EUZpKjkO
HZGSeJrDjhlk/wi2NBGEsG5iXYwKCE+2uvHOaR3ZXh4lpA3EmeYpXKzh6UK8we8nSsPBRfao/ONy
NiFs8C3tDJiBdO6gEZEaaQLyrvKtnMBJVrTmQguaLWinnTOtAwzWzqxg6BT6YGu0ENvXf3UH9X54
BlVVBGjldhg2LTlEXHgQGwWVfOIMZBzk8d2qxtblaXEsJh+S9cRjZTpYmtk2TwvPuR1pp9X+qQ7Q
C4M9Ltk9M6rWF1xbd4iGkW6EPi+ec58mw3akPv23LoJNCMCYhkBhRLcB1eXCoH+9YcD28UAWv4QR
GCsmkUutEg76Q4mLf1d24n6K1nTcmXu6e8GAU3VwKBdkhj7rn2latIWhnQ7TSGJdxHzdtqIwxOPd
UKMl56rhlMhdaxAsWuOzNanCIf5zwrbVqF1VpYrKMHhMvrf7jY3PqsLJz1BF255wdqvxrnOe+Uqi
e5UE3OGwUIbyOVWeeJwYR8oJ81Oo0hLqXaKjUlmEoiLN1Vbo9rmZcza/3SQAw0Akr6zPiWdpF0dX
IrTnHEaabWDDJ9Uu3ATmg2mCzVNp9kxmxLDrAI93hj2h/bpq8Aoro7BtQRiUyNFBWll//Geu8UTT
15KqcYKtDo7gu7gnWp2y/BonzPbJIPIykugYB0+wwFxkJroZm/JiPYoGwOw7oqxNt7l2JPDXtn87
w/9uST40RdCp7NcwD/p6E6r1xqv+bEtlL6ngcxhh+Xrfc0+HMxI3lzmBTp4dnVIkYmRuT3i2gKbS
0RtmgJndMPRljvQ602yb8AhN83p8egNkKchjbZCIOczpmVmneM86POMQu0yMWR4Qh4aLpei8w7X/
kebiqmr5c8XeCl85U9ToeQmRj9I1GoABU0mYmuS04NijHMWBJNNt7/ZUCyhDE1daa346/Hz4HjJH
12IplzsFWK8sMBnAgpOTFD8CeSX4LdH2Vl3QHAz8HgDbn5pV6UHMRx70p3+BFJVtTNuBB2PZabdp
GNasGWIcxt+YbbC+0BUyBw4Q92Ag8xSp9oig0pvk3MvPNjpPfpOLoMOnLQ8cS7z9QkXJaRm/nxzx
IiAxYXbA+z4r/Xc/LMGJdbePDmPOzYOhSAbC4m8C6tFvNtBxrppbPbn1xs43nVL7z0vnB2L/Jacy
8OWRZyP+Tj+9X+IVIFvb2C6MAZY9Hti8a0li7fvZ+1wqteYyCHLf5HOTZm4GsYl6cWSWGTrwvWau
tTiLnPvYPpX43kJGna5RF19Gn2sjx2N7h8U+3+zqEmuRBLskoWi2WQoPFVsRy3/R4x4GDf5qEGrE
03Xe+XJNubOVCxsFfd8aYkUTu05FNOtI9HqZwxgWDFBtprklnwl0b8SfGi+ehhAmD7zSeTmF2AjX
RIbNoKEEo2DMZzvgyWjZnnHlZePYgWJ2ktsa52OJtqPYOM22ZorV/sLAUOMjeNs0HR3XRSDM0zjz
kZj8N7NEY7reA+CeITaSzHKBx3VzuJcsNoKgFyfjrPaUN9Tx+cjJ0Iy/G2W/DNKxHvGYtSbgiTDq
PXKZmS5tskMq7XhL6sxz9VwP9tlYL6rEUrot+S2rXL1J+koLBmZV70Q8lVV6H4oB/4I3wVu704KX
slVVnj9QeUk/GAz2JZn5gpXLjOew430iyYZaZDFV3dnsb3z1SRZJQLtUdN1RcBKbne2K1CXxJpPU
upCXOYLz6ysRtl8DtLCOWdiVPnONgLRhAC2OhbjPRBcXgJAvgia4r/mTdeh/rW/ezzHVqH/h+wlm
C+7LtnaU6dW3QWhi3AwXeV57IroYuV79CHrCbP2dIH4alV0NLA0xZ3FKAhbIvZeFBtDcC0pR+7Y+
MrrAPQhY7Hf8Wc5cqnWE2WRMsB8QCQ+8w7w3DJffQ5HqDmfpLjrirvWcLxsg0uYtPcMWiX/gY+NK
QqHYu6BD1vGrtIj4w5d1UejZPvdzLuKtsHqBte+7l+oNO7ZjgiUG1rtRtBodehAj2A7o9yYwQM7x
Vbufz6x1wch8PRWB9fEVZTUxM0BiAOxIQjavd7uX3Oceyq87U+eBir6UktuhicblsLFZOmOiMtpn
4uaV5r3F12ViV+NSPdMerKsSBdhXNq0776tsrfQgpftbIojHZ91VoATK47xhqun37+64YHy//WAB
QtYu11FBioI9gPRhlEfgg+vCBTe/HM+ityzKm87B8uGJ40hPOjmMnVMxyU7kYMuyvYGeWoZDIzhI
WTHXTh960fAMWec7g8/QcO2wQ9eKzZDZQ3sT/TMl1AM/Wxn0lhTcfWhZNrw18ww7k4j3UsAQRQwI
c6vQJ8dx4PtKVQGq833PrwkXxXbs3+ohyls/oJ9oMpornoXlXVptQh1YxNRp/8/OwnbR6RFGK8/n
eJxuRdOOgec+vUxrSvZx4swGeGehmD2ITTkrxBc4Ga3ctPDeZ966RrRdA8o5F34nXEnDcgub+ocG
R9fc5zfAN3o5OqAOABykKXk+szFVk3LFqKMcDxL95NovqOXLgL9DRsJ6CROe0QMC7jziLIJ6jqxY
PU0ORwx7gO/4oSexjrpeVnei5eKnBx/rDa4ofXTS2mmUPjMXxgGiNtnuUy0j4709rr8aUf5oqjZZ
4fM/KA2XDUqgsEfTttqFU0bjnQ8nK731K5/Iun25Qe9sqLD/VeSjo+dMBDL4sm2x30l9CstyuIdi
A3hVCwWyiT9R1JPmIiTvbFSnNo5pR+7ei4RIEDpitTVtjPxC7UgyAfdeJvHickqXMoEE70yZITGs
2LEABvcrb6KPByUxujx7uBQH8GN9Niy7ryXLQBKaubRDZbV41W72MEkvJQZmO+LVJXAbqX7xnMpY
QGDpkH53PW3lfONkoCRQ9BdGQlTuxGNBP/AwZKZx7ZAQVXlCj0WJ6DdHupfgzj/v62xm3ERf3zd3
WvFYSorZ4wQ5dbOVNNhRGeNowNbRxXM7ced69FHf+5PAxTr42itutaZbQEPmVmIiimOGONRJQVqJ
zRYv/Pd1SgMZSNhta8e8qnmuaW8r8+ruLcDJCLkkWRwXXvfOkDfS/XXwonH7ET8D7VM8hjpJTB5B
VxDfU6AT+1iRyIdgrWkE4SEL5eWQuW0yihbd00mi9TEYXz7i/QqyG8RCeCFBdXPfSpWXP7L8pRbF
RChMm2Wf0jB60rMB/xBXkt47DD0+LyHtgGlLlexdRXn9AZM/1SBJN3KtAgpRvd8orbAj05rpT0su
zwyKRrQfmf3mB49Frq9/j+fHTAPyy7kW8pyMzOyUzJV6rq8TrwlJuE8PXkx7rwrtNzyarXCuZPaq
06iQUsjLdSUEkzmEKOperPCFtnE8N0T7hyA35aUD8tW77WMuCiSPEWCKSu9MIcON2bBRi0AQ7MJF
uJKrNDhFu+FB/pJLjyC3c6XOn5voSFS8hKyHAzsQ1Q/0h7bSleIzR+mQ/BKXIh8baun6iYULQC7z
c8IPuEuzB7AeUSmYnoe0+16xGfUmLLEUymrg69G31fvfNwIxuMGRlLZyjrvWlgRcAvPbQXKwqoGi
44k1LvF6bMSndHJm/liKq8UE3Ky5WmhqwRcdg72uSiLmo6OC4kc7O4XaELgI/Z018sjL+D2oH/Pg
w3NBtU2sBGQonBT8RmquZI0QAU7SsjkLtLBt6YlfhoFL/VazP8gTeSypWVnCTTZB1FIuUPRsCI1H
OnwLNFQgpyJM80Ifl0vKZHg0iSps5XTFjyw7lb37KCVbE1cS+Hxxra3l0ZOfunwcPCR0d29cYTz8
7TohYk14jgvstu2Zq+Yw6/Rdh4HWofVXxiV5Cjbr2biZKNIij4XUo5yOwT/cxM9GPBNZr504VvP1
zGgFrTdNJ2ZWxIHCDXMBuJnS8/AWSrR1ayB4arhIysk41ak+eCGusOw6WXCLNeHljG04fUgGG+Ha
4mZpQuti4ToKE24BNsuD5H38XI1IvDaxhTLYBEaLqX4wdwEg6+SqRiDq5pnW3sKcvPPDxuDQCbY6
0KNP7/QOJns4u4kRzvO+/TlpkyaPJGN3MahNGRIGAnQpL6cSbWZlRZ1qnTROoj0qm4rUBTNlru9n
Lls3pRsWCEp8H9dPFAOzh/e9BhnP+5d9ktWlJlI3iFjjNBUfGR2Q45i8lbJaXRqYdIxGwjxPCWgr
rDFOim0/DPc+80jZYobsHOwqhm5WQBDazrW/mG7OEMd54h2w104kqO2EI1OQRBee3swGV8O34xdq
O+Jw2mwOoEjZmeT72j0851zeZ0zXLLIiBs6AkewWTnDe4FUkBId9nU3bsFWTWJ16tbiKHj5xMKHp
SZK4s9tV0CbAzMOfQzgGa4mXLez4l1eQg9PRmzSf0KK6O4qfCz0Bd5pYsv31TYQ3i7gpB1wttZbI
BpjWR/HibeQxn38Df8uW9BFDjkRDgNIZYEMpNiY56lYXI9r8fXODBiBVFxs3xsCC1YM+ZD8DEWr1
7cQoJuh8bAhyk/130UF9QmmEg4zf5HfooxSNpx6oSpQmC/5hLmeHqb+wpBB5F48fAvidIzV4Gsft
t8edJRm2h82LozPGun/uK7hsQ3j2YowCv4qyP1DF385zIedVxU0AbcuuTPPDd4msFgvikHtmCtaw
yBom2f3+YeiAXEeb2u0hS2rjLx8S+6IHCzkJpTFDJGaa3kywZ8RFDl0vbabHRXDUDXHE+Yti+auB
sXaErbsD2CYnUjUlbypsuAhKcT8qhertYhJdVzD7TiNqpYG4oJzOJ7ijC/33RRNDC84cMyxsvXUQ
+NTjB8P3nmYtJyandp2y7Vmpx8ZqGlOJoVbA1NT+yuVTel3Oo0RFTurnaOTO+NywGP0oR2w4VwYw
cxi2CPcfXHkFIiI9odvFO+9b1j/mXnVx3FZ4+Zik6JoKnbslI1YILKkPfhX3kZHLP9z8sHisyZV6
ZmaRXzQpUkwBGV0GSPrbjL7YRoGVTGZYYL7xMW23JOC9uRV7nwWZYPN4kH7VopsUEZgaRmwh8ury
m2j5jsHqN5LFcHN1SqD85xsJt2hjkL+m9fPI+0xgsDdZY0+m6kBJeAwmO891ZnQ0whtHiT2odSEv
4aPJ+aypSCpQU5TcKlrytDycd5v8L6x/tGyTsjJLfCrLI4wxJqf5jgjTjY/FTabcrEVt3/7XKQX8
QdSxMcyzwuySmDRW3PsI7ZtwwORz7NZEbDkSbCHIdPWVZXjJYXs6dzf20et3oHtUiSmWVXT4j7b2
itAmMy8vplcKiiOrYpPXiIkAJsMWjLBqb7c7dsdOB3qae9vdAPmxyiq2QVfziDUIij2qM4lDtxJr
oeOD0cHfuov+4F083RDr3CuAMRPY8v7vA6vvynVXI8BHOClKPJmFEdUZVT5Dt/xlm18o2xh/G5e1
ZytuRsxe+YBTEbO+snf+lJmwZH3ecHJVtG5X/e8bIy3IjEfvgsL+5rbtMocnenckV0DuVAZzRa1R
3LIeuAggiV09FvCnehV9IcFP+En/SUvKDqh/osyEV9uz0beTEk1BMNxoXGdVvd2PvOBmBkNAWhfN
CHnKYnTYnpO3SFDMZF6+avFF4VGWkMD1wipYaAd1c7vx8tUc+MCeUQVA+cIiYaV5/jqa1jmRLveT
GtBdSpg9TsHJSJMJbY6/nxpREZV6RFuxFzRqgIPNGc+2kDUEH64O1KpR8gi9XaeJmfT0bdDZa2X0
VbpaogoMAbwVP1OmigIIq0pZd1aspJSL9JV2Ab1wtleq44s0EdykJCtjMKAImrLflptEEHPQRk00
Mj5B+a89NTmks0yGFvV52AZG6YUlgiuYmexDCmNDJuP+RecJPKQea+2M5daXEJLRwJNAqvOLwcKP
nqjskWP7s5D5BvCvIggXRXlKYydrRiO6gPP0QmB71VTqCZ5fMiYFTg8dEOwd5f1tGtqrm4tuR04T
FGciteQPGIfwnRQQujZXEJsMSX2DLgCjuB8vI5LSY9zValmltnf8qen2bqW0jSaJXyrw9kJuwses
C1ii/jBCqnGB+guVotVnJQ2W8G+34e9sDPKQnBZAzNY5xsAkLNv1iyYL+n8VHAohXNRbPUvbwIn7
rz2DlF0VGRY10O7s7Yo4hhN2Ik05giqqSYK1fiblBTk38ZnEJdL/mOtWsDDP7GLK30OAmOWG1Ua5
L3PbkT+OAU5QC9RGuax8poziQH+Hbk4zPrzJxNdK1VJEeP2dLHfvajaCfOGBJcbCxBa+LmdqEL2T
2/NL+XAF2NyFN+c5H0R1OTdBT9NwLK1tV5xdA9GD+sXaeVQ7S3Tjw9tSaPIonQm88Qrr5iCLvqgT
Ru/reaDLzL41JuXgwPEjl7LB645pY0hzqN1izftqWYj622YYilqej2FeKDvOm3vdKO8m8KCL32Ve
lteuVMZtmjMw9AfAVbS5kcpQ2f9CjbBCZ+M9T6tXbcASFxV9mcbt1KOJTURGYGsn+LbHntGZg77R
/e5zFM7DDH/aqIaZ7Ls3A62txXXnj3ljPdICww6qz4hytXXrftTkZ+rspHwz0KGgTsi+gltDbS7q
MNemBS96M0ov6rTn7pHfsdVruboW7ALLaeO0R3f71XA43HxOHUtJxGN4gyNS9WPAWtqrWAOWgkUc
NyF2v1MtiKG6qlBkxu94mtJIDPPZcXdv0WSkA3OFicMXLT3Sh6l4yndH9xv8WfnjjMSkSyiiD18m
Ut8HVpVkWRnJAlbETCVqtMpq0q2hGV8xJ2w3z12KxA0J4mPc/NPogkiM55zeYvuhbqlpYF7fEG1e
pi0x+5pGX/l7jOWSExu/YD4Sx11BLJ/ikRYZK9CEhXl/0I1j8mj/lrIRYacZksS0BCeWQnnAuusj
LWmmcYO8ukPw7f4gG9cORWXz0vy5ILDHRG45jjIPzOIurhkVFvyf26fPlYwiZlWh3AyMTgT3fStK
iKWQ4yXlLXAWTVfjqamwgQsAvGbgg05Kwt1+wLi7w7UEOEyBvebQAgFLzFLtZtytTStSkwphaN7l
Q8Bp4chihXUREGVRTfxn6S97Vk+nbtEaBsdb9srI1hsTVmtle54g4eJKxYTvRCBXOfB5Z7RKUXOK
Ff91rLOQKWqzOuHsed9tzdD4ZngRhzPtqV+WooYY7kRbpJgEKfYFUsSjhNhpGog0aTWxkVKvbWXl
+kPvtCGNUKBzSHfDXpjLnSkyrLk9Vg74I8RHuOinAH/A0tjuRX7MjCYH+2oCzgQFnBcXX3CL1SO6
ZFjsoCCR0P2aQTrqkna76FLKiaDfJ5Ca4lmP9OjnUb2CvuPQaJVhsbC/HoTtNYIKrsKlI/oQvHsb
u5ZyziPRyFXVfH55fMl/G/gblaG3Wytt5JfKcqkqSBqXfpz05XN9ENYAG4BGCjjLNpWFIXn26LTH
RdTtkxSvE6fb+aJaU6nkdsimtg9DFeqGTafxarz5Ca1ilDJmRzYLDGblQj08RsBttb4El/xqNpVG
W1LQUauU3Q+HQJKF37ZsGYwmuYvm2uQV7Ih/xzLTF50kjwgf6Gxcv9WEmo2akeG5FGxlmzs29C/r
w7ety9y4etSjyVoVGkXKg+eDyYHzCCAhdSgwI5H58wrWSuIlL3QlW1O/WyBlhdlgKVvjXja4IvMg
k04A4gXOjC10twpOR1eFuDmYnWIPXEubIgKNt1+08YR9bYgdzMNDHjXP1E35RIRfLdjvySko9tU7
IUBglX//ojs+ECq1OLiqV4L41lmK/Xf9yqTldGLsslQFASEE2+xTBQ0OBIQmqSzQNQKB3JXZ2eD4
kKWf9kLNz3nkPeFCft+2U9dkRogpQBODHVbOE58hniBzJgK0TxfH5M/eGVyyQbOvDvnFYeeHd+hL
eqfHmKL38KlnphdyDq5pzfV6QKPNO7pagdVuya6YAo4U9JGqhJB9wvCBzjIlcrLwszJNq86k5Nwc
l6797uCAXkHJIsuGMTb54pZ3Wkzg1gksJOMfOd+w6RVW2I5OyDbg3jFIan3gulodMMut2rEYh9KB
Rp6Q0WHtES7eXLvu2sYoQq6dbra6FP/hgUla4Y+gVDdJGIEvevl/GjyvM3flqz1JJvRTRDNyJBaT
4SwpevIWpxJjAy+AEJPddnrpNIPhKMVmagWyAixKuoUQ07yz/kXwGSB+DO5tWkAEl/MD3LHzAoX2
fakbK/Pb1lP+kvP/5tw1OBdln8lYyeWixRjbV9LubvUqwhgUhf7p3LCMpVMu1Denm5YptVBGjipT
gOYb6JV2OKalqy47qbYieZ5AIbwTtzQE2jqo6xNgF9Ho+VVPtCilWqts2wsekpo4jpar2/29ckVy
OA8VbV2RQUq8CmVCQJqC1mfuVBpviqL6U5oeSZphnXDYeC/qiWZULIt8eW/eHZ46hbA2OS/lRDLc
534oubULSk6xfjICJqDyWgXUYpPUKkzM50DS3mS2zUg4W/XUCUbOuI/mTkRe1o7AwAGsWtZgg6Gq
xqxTWXCZ3vjAAFHnNZCySDCiHLHQWuQoxVHGxT12QQuuCtEoPa7oYWISD1MHNlL/oRXqI7YiLKS+
AlSbBbUW9wpXvj27gfdGyCVnUy1bPYJpKLp+RBVwOxYMwbo0Xd3Dop5fXWf9d1rPgpvaT7ihbFsH
AmgQGG+C6JWw97yHoUhFh+fnGiWYQVm64T88QBJC8rfXOsIl5TvOsZBevhR+3wRRVYpJ62uAfRoM
XjcPVSncOurfkh4vn4OfmeVMa6WY43J86DcE5faD726+jKIZw7bEV4calvqlsAQJUC11hdGpS5OP
QJ9Of3ycBlH+EHL6AdvOdg/7Gv0c4CcqR7UTFm7EjaP6DLyUWBxDnXZdAZYEoKM2qaf9ghPhQksJ
h+ezSgR/aRWOESatc4YpBt3uVP1BMM31WwaeNdSLw16g39p0Llhq3Lz2B1e+NtDZSv73jzSoRgG1
fSPpzS1TZIAqMqcVzh7GWiaA13ecqqowZOlRkHi5b3KBr9GMAbfM5SxgKIjEmBuH/U88D2Lvigkz
fCt8jeHLxC5QHeEPRl+8/wkJWpfEhuKNW3aGPuCMmu3ruQwryerZ/IIlJEkua5tk+uTCKddCb6Yi
f/uJKpVHW877yTGkczIeyOkue8jecUYUNytRwG56OZZ+zM+ikzoHdf5GIcgL7bhEiwo88aHzU3jd
gLVrWnIPPDvAgttEZeHeerw1c/0YNiPsLFh8yjsqrHxCbCNHLOMMDI47MWyegmMxmM5lQtvLMdVH
6ha6LYBr5P1FdbTevDCufvQl/nvP79Xth0kwqCUbSrFQeXGWEJb1qxJpV6lsMFBMBjGnIuXVgiC6
dH+N0QY9kM2HJGbkRk/FNmVwOpoITIOq2CdtRdCUTGJa42IUSH7j431A6NBaMS3eU1Qyo383MI3b
Yzu1SvOHKNHW34RawT+2aD92+kjXrQ4kQio1A0zmNjpvuKNwVgFSxo7r6CgJHqVSR+9xZEtccyFx
AttqhgJnXILwSYjTxSAxI0Benvx54XZf/D7INSm0idUespRNaIPQgo5z/rkzyK8n69UQIYDpeXl9
/JU2qN6qnYWc2JvpY+CtU5MsuzIQ05YsZRaUGi0XUnOGutAOR7u2gUhX/SRPOT9S2UVItM4FQLtZ
6dEpEDqaFfmrLIvX90rDyQl1kCJN00xZYTd3LuMCvvwgbhRZlEharYSeMdOmpXBmqK4zeP4gYnUI
maCJMjN9fTpXX4qg6ycy31b7dva098y3Wz2xk8GrcB3t/DYQqf8nQgcHNFePd4oI7n/FK8haD2Im
ePkP+hLW6QORI9HI5Z+nuZQOh8ucqGelZla/S1lYtIEBAEWssjknpVFgb2RfDToq/LzcnXOI9Xop
Wp73HZ5mvJiqtJT0ZdSciRiZVIjlLSJYXW50/OP48r7azWqijQS3iotNMb6RTfObrF2ogQORTBCh
YirrfvPKuj1QUn7exqQ/UJJBkPV6rCLLd8Q2HQFdV4hTRYrdmB8GbZ5/kBnetB6BvBmhNTc1FxC+
I3QkHN7WOXn9E8E5E0DnRbUaCIjns5cI1ImZ2IsvJCk6HdrYeDT/TNMD2e2gKQoZyoOSiZ83r3L/
3FCttof3AQfK1TFz0pFGtpfyfFw0D2K7+kS8M8/xd6jeOW5flF7Vyl1jSjtwBqWA7qOkXNIK0Usn
vtkN8Hb7m9er5+UzQwxdrcDb1uc74rDIrJXUkXZqswkbBWiCgEdKRSLB5VQXpaEdIjYhJ46VxxTj
rVCMTwzd9s/XFaLntjqnhdDIaMEtxcTiOngqbNiDuCOX5xpA1iufpDiAJ8DWtWFz8tWjs0DjTZHk
dj7ATMaNzUF60iQAonZGP/8E5GSWnNTeGgf+zpiQBWAwLYCmCbQZXZhqlXnb6G1VDN6G6h2CtDIk
lI3jUz96Yr1F0RCkzzgJkvy0aDsWSHslU+qKikX18b2LauPuW/D7sy95u/dMDFmuaQpwkDtRKub7
LI0HjUZ6LlbC7nTJkF82sKdDjx5+I9v4a0RXZD/9rpv8eX2x/criIFNDdCTxNk9Km+IF4b7qLBQ7
5v9Lx7O64RfFtvga0+FeKtYghxk9MCnnG2OqBBnF05+htTiNlwujxB/WBOboq43gi5TZbcLxuI/Y
6KwOptgohXOOix/1JSi97wYA3PizJmBQCxuFEXsy77A5KVsJ1G0glutwU8FYRwBzYMsMAjt+AVKV
kiBV/86gre9o/DKqdGGxSPpXHbnnBUHNVLe+1WtDj31XAkbDRBoUlyG0alAYMz3/SS9zzNjqm2OU
ITW5vG8ICax2Q8jwHqN+e9gNokP1tF+/ug12E0DaofBcNZ2lMweugzz6mQukoHNyHJKnBp6NenkC
xsxGqDSq93wY8v4lcNjzoQMeQUuG1fUPb7u7sDGSsRrZksqKQ7r7miQiu7ODXtqyh2EVjmChofMg
Wb79mwCRkV+1uu+85ycpvCnaTrpwbhYM27sBM172WSpkRHqVwySnoJOxDLE1u9YxlNtVrIX5dhBf
YdAYLcGW6oSmNl0G0yiaBA7bFRoLyRhNpm4M4obMXkCQUYtTz+XI3VAaBX7EzM2FCLTBTsDSfBHQ
hcx1fk3eBAOLB1LXmpmJvtvNsQTkGbROGAx1GZ9pE1KCAeXHwq0skcVgfneg+mGRaUccyWKdOsNQ
xnobpKY7mO399jARbcXbx0p396fzi0FXorfpC5zZc/aGcxjU+dzlBa310rbajmotf8c0zshrkQpl
TRETQDSMvJg9OJCm5hrYT8TPd8wlySFQkmtf+D8QM0+IMpJr4qx5lCavtfkPtV4wVzwgCpjJpfiA
K8EbOiatLWGzFM4nrrzo44BqHn3JOj4GdY9gT6WD6qocfTh0TCprfm8w5/tF55vPvCELKN52y/ds
su73Z0lh0cW1XYz2Z41BYkpafBlTei77je7cMDYrKE7km0Mm9HY6HnGpVsYrCaAD9ctbZyU+GpMu
UyRk/Taw1iwA2ammsDykjsF8GPxQ4veOa4iP8ffYUl2kF1pLc10pJs7DEsPD2QgSawHMHw62LhZ8
W9WMypM/oyBiECKQ/xrzvNrBPgFBoDU+f6Sx9657tcCNXYIk44f9/GA6PA8iZla7xcpRqHCEGv9w
bBcZLkr4b8ArgdvK3CAdUm0yrpcVNKkDPV8rMV0VdekyUkRsGh8G0zVeIrlVOyxX5g9e0lw0ujcl
45JFAlLStXyoC/RQsOifQJOhk/fGIrjIB72XoJeLI+AYgwzL5NICgYV8KQ3uIC8ZSqxFiJiR2QY+
QfTFTLQmfnuX/JZGhbwUYLDXRCTYcZA5chs4qLW1PMMir3Af1DSQjyqzbD10bh+GQf6QLRaVJFCG
qhLarZ/Fblq0GK4rgznJYuZluDt5ak+U21oPO9BxuT1+kpvLcTZqnYcSbwdMGGYLEyx0LBspNgmv
+fhnePaUDN8W7xDqcLFof3NZq7ceWIbL7z4OyL00upI3En68r4gtrXETfiooAaBCWy7zlAhY2NbH
kt4Ib/ez8DLrDhqe5zSQe6aSAHpAj2P27zqsAFLVBHexU0Vp0YE/HZkhYMsacrfPY1CjOS+WGuT0
Z5g9cto3SZilu5xLkX16ZWYIaGCbmEJGS6kCpv/Hzz3RxJPXnZBO/N0mJeJeJ64mqwj+ow/RK89E
W/2F4H1nXJwmD9bygygOB7GPBOl1KC0CgSoY+zDpX6UVtbPv3tVJLfzJl+gyqc8yVAnz3bje1PIX
BX8nHNbsNXOcdHA6idcV/ZBnU0GHc+G7TDpmz4XNp4+eI7Jfz29sAOFYEGdRZOYBmChF3ZzgRrNN
BgW2G9BtZizhokUMXBaN7Vv6pyBSFjXGd32HNe8OpEdWiit8oFfg+rsJkzwbLXbghr6RajNlcUka
H01UEF/VOl6wYKkppprQnbiXh/OcYiM0p7VKxp/8HaYBdac3DSrFjbRFm5yv6BF+Wip+PQPi5V/a
XTqkwtvELVMbMcNe5NSF91yhBivY/TacRRqxa+588zDPx6OfyBcZ/mxVHLvVzbsKWc8iVmpgc1ES
rbzrxboKiOSsesBCv8ckimoAhhXOXs/vPyokUa/1xGOXIjQ3ZEPyGkebwaUoStmKReLgAgZOs+o7
7/Z/a6PLkvP6UQnfKsfwKziPujGKbaWIdU6VV//iwOKpvuvlm5r0VCiXwPaX5uvRbHmznpT8UI7h
mHnN9a8OIC5gZrm7rCGi9OV2SBwvMbLeedxlw68I+HElJvhOLviRkKr7LFX+7+yeMulcHot7RqJW
bVYc4aX3Bgc1tsT3r/retReWAzL3IDcRIq4FkTpl8Gs+cSTAZCn/ln8gpwrCUiVAv3/G+mVCRfS5
yNW497Q+M1Ige8v3aTxXpixVt1XOV/MwaR/RLEfyf6rSlBRXWHD/AEiETtUxTIUezNeji2lR6piC
AFyVR8vrjeaU4BnNbzATRV96kDdLvv0QfVMd/QXufiI3CRO+5i5+VA6ENL1hfiK77ziYtJPBm6le
VSsrfVQKwKNuQF/dEOypkKcbYqNhgzqG1kqT2fBDZLITB2gl15Ktv7QiRt29sad2xhHDzpXk04R6
6U9MLG8lFXjTv7g/W8yuwFYOQhvu3SfKHUslXGbEMkhrXMjquB5V+jgf/pZnB1XkvjxcoekjzHdM
NsVgMej92qiUBLJU0kEjlIT+aPsnv1kWJFJLWXLSIpEiOQMgXBEbE3FpPBYkbpLUQCy3m1KMYjB7
dfLUowhIheAgTzSEIT9evzrPoPfpn2SS9QQGyFBNYNgo/THoffXwUAarn78OblZIeJ7f6reKQ1hV
w6kO3CO83qNEjJ1FahKZCRiv63Fisd0huk8WXLFmM1jCeVP9cUjRAJh0ZnvpwMpiJd7gHh3saqnt
6OGlJy5nr+e31gpifBPuEOucg/E3BiHo087usV0OoHC4PSFJuufeKzfLQ8rvGRN+vkAP/HjZpyDc
GjqskGvwKxd64S24LmO1htM7qS46o2cVml+IHNAts7BuZkgDGSmmsXVXodO4EnMRkg6glqu7ViIQ
gVLX5bkL/2CPny1XbmIU2I4ENqa400Fa94/RdAdLbHtacPLoDais1eFIaAjac4/2ujWkR67r36oB
1IRgkiBvQRYoOTuay8vywAJC8H4QTceC/UO/Keooi62gzBqWeVgI0ocZJMpAYl71aA9dcjcz7xPR
w8p8QlOulJbNRa7dNmxVY2SJA9Z12FCP0IoJ7GekAsnbjYjycIJpXZOMT5z5csFFlXBAZYOWj7n7
rq35LthZ8jygOOkwhBnJJWnkLLQy8Oy+FqWeMVMcvwDoxkkneIERmuxFlvZpsFK50Nn0gpdrbyf3
wZz+P4o5cALPZG+NR/mzsHNBCPPazh2h48OEjnQbcmiNugwiA/66CLDMLmjrpBrXOxQh9EkftqRd
NrTQjxgYMK+qBIEOwLf+TVH4MnG/vBoHuCcxZla3rb3zLBtI+/L+DGcvu09+6cLdqBvTFlu3D1TZ
lyJzIIw2vulwEot5wkuOeCHy5F2cid/zmHFuwVFlzNaNfELX8nQTNNk4DTXIx7S/N+eLHqbO5Oew
WErUjdwaupKReVpnXbqK2gB5xUE8ivSLUR72P5t6e0NRL2fwx6M5yty8RKlfuZWkD1Z6MGiquDI1
zotn5bzTn1O+C5un/+x8zYAEZgdjDX057XdLftySLUjhzqY4HcCDCAcrP9sc3BSE41q/zrKaircI
z0B3hl4P/cqmGFUYmE2nY5oSMobRivQ+q5KuDBxmUKsEqh0VgGXfdD/O8PHUPSa9Xiw/8Igqp8Gl
w0c9ODzQZ6ECFdhRHKtpFqjPsA7FXCELCAKOno+8Beo/2VvrFSt3J9gYOXGipx7Guvn0DvEzK7PA
xqezyB6RnJIl5hdm+HvIf7xkNogMuc8/RSQrl9xpATgX5hnrV5RuK4NIj2n4RydYahFfQcm8zp8K
rhrCwIeL83jGQO9wXntJj5cMmDfCIlIlb6bALR2LFL/cO7Dk85wudKDKJqo7rLak7C+PeWk2C8LQ
ZTBynnVbGglCDF8vSfLrTjDsvyJWtWB7WV6GapecoU7ZLUk7M6Wtbi+z+KeRBax5r0JpBLvC31BO
dG2jGwxov1X1Fcpf4bgKnLAIA4yhSEFFSRExBd9OZ8BjrFTF8Fs21HMbXSLNo68vQS38fg7Dz/aJ
Z/mmt8VnQo/XIOteUs1XXKfKM6hRtLiQ98+udRJd54H21Y8cui8V2Y8zYwmST70WrHjLyVIKr+1R
tXQML2+nL8f17RAkgOIqRqcXNJMo6fnGSSgkvcYQPJ/tmQ0Ci4FiqlFqZm/IWIvIZI9vkW5aw28U
3RGdS/ogwf9cWK9JzspGktVOql2CA1XAj/qeUFk1Pn6l/dy4Q5F7N03Sl+3jBic/GLggAXq+LkhT
1gLcQfUND502I+AfcoPA+Dc7ktH/f2Z5zbA7widO5/U1F8kt7oDc9I54Kzl5JnlJ+j2L3yT4KzKc
1JRm4a9ikC95Fe3v89s+UAEFtSKr5jXeOAtHELMssJXbjWGWacN3E72CpECRjeZdpwitSVb4/5Di
E2i5C97OSijRRBq6ROoTr5JGzYTyIdbqHsw9NjPr+qBk5iPtX7KFB1g0ClT3EtnorxXdTh5uENJe
ZTaSm+fjwUwdgyolGdl0S14nug2q5CgJRM9NMMCke/fpRQHUX4NEfCmZYv3HhXemYf+Atm4Ua5I0
s+frYdiDOivheA8D1Fs0R+Aq1If9ffbJ+WvowDqi8k7ArtUsxFfvhmNvBSxD44yFwBAeJJ2PGlgD
l54lf+S2rGg/a7X39EJfv6+1qTEAWjzFZdlLQyv9X8yyemjrnmO2M3MnpAv8ZDs2cY97b5EaQlG7
eYSci4wyabXPstmMN4iJnttI/r9Wmn8poaVVwnWkwT9C8046hYI09FHk4cTUYtljfdBohW5oLJGh
o/95VeWy2g2SB8xwt5qH/nW6RD/S1zKEF2sTfWtAYTqEJMPslr4nzfUaPD81gw6gamJodhxI6ZST
0p2/YPDHdQX2psrJWcxUR73tUwRia04MFHLWlXx8AWQlfEnJ72rc+B0m9d19lIkN5H49dZcXSXkE
J5u82ggi8TezYt3SBP1fPD0IY7hn5eeJpOdQ1zwY07CtBUGoRp9hARKAcMMuPFDif5T7k3ELP/Eb
JihKJLaN4XvyjZnSoIxUlHmH3GAHc1+XeyFemvq1NP5RrBJd63vSpxoRnUZMA5QEWJIGA3GNrKjY
CcnDcf7PVDkdVpnoF+5+xF1YS54CG681RlyBJYiqec4D+qHDoUzzKsO1CULPwzBt+dJfRyLXshci
buBQ5LdvNm0HPfkDStTQG0cgVi8D+c6svjzjSTimqxE6UmepEaMOuFkO2Ta1lj5pdkjyIOGswJRn
nTOKeH/VoxL+5KgXALj+FdvJcab+zBg3TnwJZnjUE0AKpw9P3Z82IvTfYI0tUf+W8LYCgZ11JErL
AJQE5+BeIralArjxZiDGf7kbyhOYHMcsWR2uR5enN8HB4FRth/J2Tp0P9cMzo41T26o/TVT3UFPa
XUlxwHobDJj9CesdrKfLc1vF8Jq2bHsRCIh2S4Cdxfx47THyypmGzilon3NlQaSDVjSjIjhqtqA+
HZ9y2JD/5H0JC9IVV10aDByBNj7IHy6yHypopgVy1UzPXKMlr+o0TJtb/Ixbupl4jXe5hk38oAEp
Jtm6NlUbmByNDKrhES7cAd5iJ/KmiloV/7weS8ZElDPGETYCfUEGYNqCeEknHRePPJy+y+Z+1zmn
z61G57PK/Pci6nE2UdDgXS3itU4lz3zQJRq+5K8AJulLF5xgfPm2rnadFgHzLg94LVRmF4KmvxYl
GJVvu8AUF06Cl4fyLSpGabKARDYU52Ksgv/3LWB8VcGpxaIiMJg5AptyucbNIdohVHfmAPR/+WYd
UgfZkrnLjNA2O1C5txLNsIwlHMCCw5wezMmacblmuD2uV7yZv762YxiCUuVZUE1NXgkiaVBdie3s
b55GxfW+oddBGrgjJZ1x5B1djwQMLu153IOjphL2JSR3NluC/sJY9R7Y+03TjvGM4YL0RM7wMgcI
DPoXFHnKyX8ih1r726JNGPfQotawqQWXceKtjSqlPYP4+fL2Rf9fmM5hLJv9pNA7NU2vo6dCHjkW
gFTJ+OVNxuGh9zeAzMyfvSuMD0ytGgnhx+iZ3mPOogglJvqHCQrz1sLa8L280TFwpRZ/s2QC3ZYT
Q7ndRAmmfLpqVUVsxWQmnHoRJQSEs0rh4yzXJGyX5GVt0OoQvreDfixOn73AWQnVpX4Synfv1xoS
7I4w6t5imCwmiO8oJK4HaUa1ILFfZjiPZuxqFZ4ZMk00dtW3SfIyfEmudnIzLa8pv6Zt4T+SJJ+8
Tgdx91WNYfTqUgRVyw6GR5at+QcyIzj8jigsOWgvdJ01P0dZ9Aei3F0o4/eZGcmCVqkVTbCTc/fx
O9j4PAFns4z01iVvLINx2fpSl4J/PvBwcIDsSmL6QQa4lfiypAKi5cC4wxocX99t67949QhYEptC
AzhfH825bDiT6cRhCcUnNBJOLzKaGNRc+uFCxhNxiJVqYoGcZuLPkkfsaoUE3f05LiVf6MQl0Pgv
XFZTvq9GQJmEKxio6T+S8uJWWP2VO8grGrHK2Oa/ZW52sZ/6NV5XGWGoE7wpjlrIJb4Y4eMO+HP1
SzvFTUSkwtNb+ZLEf35aIMYxVcRB+Rf2sa2D97aOI+791Cbbx/+pgzZrfNX3eLIq58k+nCLZUyV7
cnLBp4XhMiAx9UaDSwjvv4mSH4Pah480MeK4i0Z8j3VxOhRRnKOXhnFckySvAy73C/KGURuRKdRj
Q+ZhAddlYlDsBVMvDcVdu7v9REwxJwRtugv4IGoFcj3e9EZ0P2j5frPPaTghCTa1k+zzu+Yfui1A
RttkajhKDPMZ9mpTo8pcijZCxGvFbU/UE/cSlPuFdt+QJYAJUcwzpqJOMuTbJ4AtBrlYFOMHJe9K
wGu0Nm1jJXuXT5zxeaOG7B0GKmGpArYBOAgRN+zHB5IJJRYZDfcxsTfKw1YtKCYORpDtJSqnFYlA
RknwaimNK3SrtnBDOjO4Ath0VR7oOBvGp5A+oeWIqlWVPo7TtUh8sQN5f9aTdvXs7PWzBTQr75C7
hsDGkLmcLooq7wp2x8an6PuswJ+9qpsSua9MPn4kDT+RbuEUOFXS/5WW0NN3BldHK7LWBA/TrdZK
F1Go5o0anD7APsIf866tUUzdfrZWWUKbp2uESpLwi6gF3jtUbGdWan5srj/IdIn+e+6joE85eL6Q
NwI85ClHaygBE/3en/6VlrqFdJG1+9spO7UaU2WSgCa+xNNri/r0QhNlAPDwoaO5PoE4LgJP5k4u
Q8Zz7qd3AOm2ZVEgHh/GjmFs8ey0RHKJ5hWSkn1sZG+xE2+KU9z0txhqWEajSuCBQ9cmssmyXLBU
HeioXRzFD+l23PQfYCwnhLsVSR0L/6HYajUTyMGEKs0vh+oAWSSegel78xU3r3BINAGGDidYMQAO
/aj3Im38LPtYc/+Kgi7BIrPsTVj4vW4lti2RQJUczAMp4l7Ous6xlB/p6X0Y0OQtW2UZ0LLA0Ttg
njyKedskFm794EqbwZjxhbZeWdJJdh0KQcx5KabXDzpzkCOWMintDmXAWn5jIdoZ9z0i/N108Iag
mLQqfthk5+hvcHTmkgK1A6wAfz8NDvU0ICQpWYw502khbRT3vjmID/Ao1Iw+NU8NxWuRXhf82gwJ
crMejoMwGBalYTtnIm4qBvEzIE38HuI/FAlh5v6SmBR4GtqMqcYH200a+xdeT4t3COXDudbFMCq8
KwnjWzoldQJWAp71/bi6BE/XqdvU9n9v8tBCV96P/JTbi43ZuE6RZkeb8QzbmLjaieyY3BT5xiEG
NqeTnArBmGqiSFRDJQrDPGXQBtrHIlhc+lre+ctovWU7a+VXBdbJIKraVOeLWhy6aIygc1CsyZpa
VoiiG/MD3HM5bLWYVffJlc00dlZFozTBgbbY+lkp5a2kVoPAGKgg7w0yA+nziTWgGpx0FOdVxY61
esmXBIOEvWPZ7sM9fb4chnZDK8izqEM2gDtdnJgUR2WldEQEWvR158kzq8X/PH0JaeKYDHSsGfhs
Bixme6bk5R/gD3IWp3XlfCbQm5Z4n7+c31/kwMtM3OPYBzFeU80N7q5Ol6xNxNnAdgeJwGfbvhd6
J7nfMSJw77m7VYBRrk0p9ldXh+NxWLZlo8pCish6Xko3gUZHoFZuhkcnSUnRrYGhKqdMTKFMHefj
kB3bWlOI7Wd7HTRlaDNpPS/HDwPCDfr+X8lOdlFBddOKdez6MUAScYLWOvkbRu65VgT3oZpihvL0
Byc0WUNbPJwytvUYcIj1UCnQOhirrYtHrQ08iGCkLxA50hY4JfWmSnNAPVnBIg3CI2qi8Be8Z8An
IQEbUXbx2WGtHKRD1jTGidU4CgUx3x56uYLldFWLaob14gkU/dxDPxwUU7VwabQYFqFHXNrCheva
7dBecD3FRGlAP1rggIp0dmOVAigaIf9uqZ60+8ZfAS9Bv5CDOlsxH5uIvjuQzWdTvFqPb5oMgEcK
+wUQWp4TJEPpLePN8LJ12b6ox9rUxpNT6YluX11eWagwhTbjIAj4BVP/WGA3aEZfPRFHbLpuztEL
7tzGcJA7Xh9irw7/+/59J6mFB+eGXcQUvO8fjIkM4rz0fwb3q1Fa+lW/fuXO0VATRd2T4mng6V9m
FtwwvP+9bkrHooU9Cpp/Qq1KpmAi8Ws6qJkP6YSa1e90lAhYqZWqB3tEH8RTkPwlXKowT8clAYEx
+8jUBb3PZ4skFfzvQbyIPpjqiWD3f/BQ5l471NpsFiHSfT2HVkbKp5lnyqZPXnJgBoIcvk/lUE0r
bA1K7DaiZvdoHLXIYVJfLpDQo/vewn+NGZZKg4opOChTz1qNnz9MTSLusF5fxOURw8hd4nOar5Zz
RjvMPWMR6gmh+WoSIJyf+c4Mh4J7IrKGsm/Di9kHIbzCm4vADf2FjO8tvryOAloYo3DlG2I1U9ej
tkk2qBKhedjwmCFZKyQLOsHyCeJMfcF89A/YcWU9jD0kZLoHh6raqeGHPOmVqxyW7khU2Ay2v3ah
56C1L9YVirICZaGCZ2lNC96sgMi/J9qIrofygHM+UE1MBhn8nB4Up4ygkL+mWl1jPYxW1e5TW29k
tRmTIRx7Z8QRA5B8s9Aser7gmEFjmOgB/RmpG1JmaCiuA3CrNb62Y9xzwOeVKtgBsYn4tV20lYGM
Jnob0W3AftiKIVvMncMIbXWYhGkQwxlm7WMn4nToPZ350FptBM7RVqzeKyESuvB6GjxSd8RyoLVd
kJDrs/W7UQ1Q4euNw/BbyqdCx3tHx+rKrf+KynEjHkaEjPvVyQxI668tXfVWkA1XC8D5K4aUymLl
HbjuYGWWD8ivYfTf5z5C6mXHeuhM5QL2gcum0/mMpzThmwRS3caMR544oo2Bv10GdjkCF4eKVEfu
VVYRgrsY80szH5OxOsuygqAsbEw7rvw2FLV27vtbDRqYJ+QzwJPNUl7OMMtuBSl4sapvWcWCltYU
MUo7G0+ViJNB5fjLE4ODaL6/aaSxXhpr7eU8CWgD0Hx5CH2/280WJ7RBfVqOKhvSD0s4mD9+0FiO
eH+If9EtGCLtyIYtxVQpH8NryttiBmCNtC1O6e9/6ykPpfnnrIarWgViZuF5TxESF2Bbwv24fqbT
G8tE4vHl9wdpIZ5f51HLwoQoPfuN2sgimtdMT81jDXfV0pBPoM7IRYGe6nkaJOwniHSvlSxXA5Xi
+ZB4sef0iXs5HwG6lE0R2EQa6VqdwsvLDRtlEispMJHbjidIeSu7hfK1bDzhap+m6mw9INWESz9c
+q/K0jaylPdrPRIODyQ0mprkNNha29ugbn7qV2zV3A+myQS9r+493CLpb+lK+jzg0L0LeiK+ZYLz
Ighw9i7vDr+p8L/m2zZvmJJma/P5YyNiYKX1G021p4GJ6h8+AnyPSNmLI56TmIpRGFWWZAG48/ho
4ktKBl+4YncQlMipezKjWiOT45LF36S44bSah3SwxsIYZwAt+aAgBmgmYluu4oyrgdfP3ttOMHaJ
fHHiHVLtMan1fJzpbwWb4YzvogkS4WKiPiGQeE+cEaV5FShL6n71vF+PoB7QheGkhcGqSI4bZ+WZ
BFcmVChkUv2TWefGfrmn0aZBn+33T/vgGlbKSb/kRoSfygNY7WdNuUIEcAOK1amrre5fmUQSe+HG
E53eESzoHx6smD8tSjzLXMgV/Fgny4dVYkbaioYMxBBH8HBFJDh9oImNx9CXNlLlx0f0ZdnypgWu
fZnM36Zz0WmdYUmFOlSOj+Qb8EROgeAzsFZGw2fiJwsiubZ/5D8PcMrIf8LrM2dbBqJeTvsxGLpu
g/LxRAWy5CT4dVpiG7ZHd3HWWTqFeOZ+IbXtqLzUlQXqMAhyRPcII4hn2mqfqaypMotH8YaO0iNR
B/tzRDQBwlG2EmPNwKgbpdaoo0F/V45DeDri7IAqtNefr/d5mvS8YxX3k5M+hpVYABREcnjssM/g
jc437wsLUthn3mJpFHC2Njq6fs2mTdRpsZ3GdU3bhmTwkpMDkDVGoLWpivmWdGn81cjA0Y5uLwND
bqNWVOjELNyv5T1KVMTS+T+a+8dVinmL0ELyMeKBatvcoXynZ1x8IBHMA4gPkqbVuywZpNL7K2Yo
poppyHx6GmNMl2+scoar1gzYflfXWxEuWdqwhpGS+CMlKDfWuq4HFfMt1jMjqqRTOYjQTOGf7MRP
ob1ATd2Atd2hb1RjOc5xlvCh39IoivVFOc7ds/2ylSs5ipuSmi8Ahay25vX4suP85HxH5uvcHW7B
ieEGtB46rhgKkwTRHEce7EQLZVFb2FqOmTGFzL9CGWnxYaCY5dTLu2SEzsCi4hE7Osi5CJ5s+/ld
o6uH4XybRljur6rLfNTL7QUz0goYtWC90TbFqJ48vQzePJfH8YZScvRaK2ZSqdqh70DM1CBVDfXP
hw3fjkxCZm/sLMD8lSGcpQdtwsbQFiYMHnaTgKogO+HoW41o6dWNIzzJmbsRVL4Itsitwg1sDQvY
Q5lOphe0MeqImoqJ/udAeFZ6t/Pns8wt2SIfD11UWX6QgMusMiu7ZUcrTViLqHQ3Y1ZJZzpeUHzo
vgOzWyBCEINT1HPnk+Foy0PpuOW6yK1bo+Vbc9qQ6lFh0Frw1PWB/J/DjY8/VD3Onn7S17V6glqL
Tv76fIFc1VBkugD9ngxUwXGb8O0rHj8SVVFSFzk4t9fojZgmCPt/fuBdQ/1N974Vv4/eDKRwjdPe
ZgW3QU+HFmZNRQmZFn/SpEmQA7XygwE5wvhoQVajzyYbTifh7zsFmzb5q/HE6gcuJBXpOKWbKEPz
AMLWwYgnGrf5PFGLEx1X2vViRqNGV2u3KaB2iMBgYcjqxucdpHM8bw6wJc/PWiFhOuRK3Q1RCj5h
8Ks6MHjm32qPu8LySgMv1R/qb8I18muT2jthG3DtvALH1b9WFYu+fY/UuATsztMrKbNX6TUDfO9H
K1QPTHJ5qkDDqJp1FVyxdq6cVjW62fOz8fqxd6J4987RNm7VJ11qdaeAouY2oGrJLyb3oQlOfElu
z08pJjHp13WIY/x9k9OSy0VhGo3+CrH+uIeUgT0vT+z8Oc/ZjAQ8WJV76kJLhBSJKVA/mEnWm5dE
XLoqiTBSquKGElk7GYWqsNmviSr2idBOG74bnN9QexlMCJcAde4jBPuTSkwZZUTX02ZsL89YFeMa
0uAJ+Hhk4KcSGh4kBNbkG2chnNxCmQfz8Gw7HnID/CKIKlTLA5FIgYJfDQqGl3BFeNvgNDrX2grN
1dcd5H9KezCj1nMKFSSC0yWjMnQUvjDlDzwaophRMkWCjprBL/LcrASif5mgUW16fwM8R2yLgQ+E
MbW08Pvo8KpahyxtKr3RwXskPiWNePIKyScFeKYQ6xermwbQ2/qxP9VcPF1OS1GvMQah5omq1g2I
UKQWxrhWmYGz2ImIOW+nTFNLRLVcYycqN5NV5d9Z2a6ouufbJ5V2BVQOTrO2bKxQ+gFBzVoNq1wn
u6H0eGlFrGB5oXBix08fCrpSBIkokW7cEvgB7K8Wf0ZFIZ+aaNvi9wi5jyWHFzakzTL2ZBd/y7vK
eEJ73QNy5VI00KLIJN1+StCafKd2tLbMsfTufWrE3/N8ZzFTTKDe9DSSoGBD4qAT8qUkzcJ7x9KL
sxjx/ACYV8drYGVGz+fEqoDjFcX0M6O3W7AKWyCbJ0pXiR4WZbTWGiCi1Al8NfTE9UXY1TSNxsOs
Ue8jDRKeD27uBN+sT0JTBbQjHWdACVbvB2wpOdDzteLAXpn0ztoCK/j8MSgbM0D0DtyEjFsQw7dt
mxDQEqimxIi2CIEOhE5jXfKmoYE889tpBtN1qsEsgi5n4vo968wq7Q86EMKjsI2M/hFm3+F97EW0
8LWPxQ9vPvh0Il3KxqQIzeKpqhIR+Gj3y4kZ9zIl7N1IC/lotvANMG+ahQ4YxY6yQSFDLQnLCqPq
QSETnZcW5QMM+mrax1RkzN5PaL/0usVB1k/ibrr0gdxZdbhwE+oBvetQjsmskiV6cIBZkdNAiVnl
TJygUfHhH6ROORPUHtAEEgLt0a6YsXPztMcEeFj2F8rzzPcgbpTKejm/Ir202nUhE60fw/ciTaSl
S0vNRH1icSuTPWCSr5dP54z9iaGp/wS0atECt/u2Nzhsepo1G74EBaRFarJW9ugdNbaDVAwmbgS4
LM37M8LCDGfPFJnovp1EA+H8sstbPuYVLpOR3iDVgKQ/VEsHBenzyNjoUhb47n4zNbbTXa7VpaKr
23WlmWcQ64PHgU6CE/u2uHobJz7zgZl8Db4pf/cu1Dozw/HY4hlGff1eT1Ih6+3PICwO3Au8p9nd
efW5vLcfme3JDFJIYF53Za52gd+EpUN+rlvgQlnchlQz/5YojrJs8Gef0sZm0tITbyLnc40mcntH
noeXT6kxVvT9NNYvtrRcYvRqvBoitQ5gozKBUWrY5pa5XOWdDHTWQVH1mzF62BYeUaj8aRmFOZze
pdlKJ9ZMQYRgIdploU81Thc3sHojTnwUGapeyFoE4Kc6ZUQyfigpYDsDurbzqU+dCDUCh/+AwQSr
SiGMkQCn9a3avaArUaWNllTLGnkkQwVXII6u+YQyChgT1Ug3gYoYMhfh/UKftwcu22WNYPtasAEn
fx0eFRi4jNEtDporjWAG4Vy8E/g9tp5DfNHCLQlTOzU5s1AF1IJD+A5rdjydKuk2avWBWiZe00J0
4BRnsZJh/HeQXuikZAPdsSkTVMQpV5O+P21221ryjVfafwM1dPA3XYFptiWC8juNxXP4MHEAI8qp
l3Gl9UwSotYCG9xirMBfkgp1iZ3gUx1vDSsDFF6E1Xfg68O3kyRQ7rMsWpuXlt8f2XjiKFZ0+kch
VZUIrG2OOziKa79yPicrPYiJ/a+IClhu9/FckGXPebThZ4q473dZ/r7Esf4aGifZUUkx3VH3dKLH
G7Ejng4095M2ovcwf+1Rm4SkvuEyBxGT0xvLzCuNVLCEM+9SLoZGodaq/h7Yqz2G7GW5cRVSfyTE
4zgol7wLDoP3nEgB9m2xt5+ck1DBgkFnBJn7lJbG/lhvngbfakPzgqJG5MqQddUyyLzuGYCP5RBW
s9YBWyPMrCZ3w8mZOvTakaHuVCaTdpT1jL5BrNKlyAG6svyUZMS3iN7semQLdG5rVrNx6wy5x9O2
PxNxe/mbiXoMasaUtyLGbaYn2iz0ScOUYbSHGNw7h2S+f1cPUKIL9rwpNbUn5bF/OAZpyafeEN3U
D23tEPDa352ReSXwG+TQuW95dyd5PN4u4FxzMXCIfmxo1CUOJjpfQJmT2Z/kS9Y6/ni/haUEnOsh
vYrn+Vti7VJtKH7Y4Yaubvkg0Ty3OsjEeVF45to1GtPVCVBhj0MJzWQI/JNBPy0quQt9gvCRELgC
w2W5bqB5ucCQJr86upXJ9lbrN7a9VNtcPEng/ZvNjnBWi3fEeYtvAg/vuliltPyuHpjm9YVzLKNZ
kUrJQHrTHq1F/LvzCN72xoMRdBfSZZ67WrUs/jpTR77Hc1xKiBAUopRwnSyxbQSTKyA/ohK1VgJR
f6UI0eyaepsoVHgrDuDFm+7i4wNJw7VU9AFXP9sKrhubSqsCWNnqMhZHALjHy2kSLgXDwPJOwGve
n4Y6F43r+JBb51sNPrva6lspOi0zn2IZVqbpKUfgFrUggbK6OEQEQiuB2uoBbLxW6Gg34rzp9z2P
u92oqwcyNHfRE4r0AsshAMPKqRnNgH0gzjzmde0/CzMtpwiGjHoftX9j6XsRTmqfT2RcnbFEuEE+
6IPCrL2F3wFsfCTGYcO3Zt9U+QtaaU7XoKedyJvPnuIxwIhvzZaGYb6gFjw3jKFFBi/qb2D6Km8M
JrJ3g2d15GKnXYcpxIrjnAvbUktBSxo4k3IUgxBTWURM9ySgym3OodRsHnbvDtxNc7LY4y+Zc1sr
qc6vEXrRYCaCv8mmBG0DUQrChgTjt3unY0EeaTrjA6mDxJowR9aASkdgJcj7QCfrIwEip8WpKx6m
Hq/f8PdE69ABU3xvU0G6liJqN/nt/OA6HtoeFA2BKGd6o6LuVRwTwckEfj/j8Dr6QVDi37qO0P9u
EoI/hsLZrsEy+xNqnPuBrOE0MxFsex3gwiGqFDrwL4O+R2IaLEiv/fzi0+DhMRrldx8eHpJ8kSsj
X9n5wXOG4XiNB5Wc0jFEaJf8x7Gaqxvdm0k5D0xX2kjUEc7P37rA3VOaCbeyP52XGeEbCVyBrUL1
NRNghXvXwNON4UY86KJhSom5rNyoPZhkkEoBvAAGxEE7uoI8ofRrCOHMG01dpqlYZg4rAr8pGLsM
O7N8yfbxaym2vUpTRKH5YsycXAJVQX9r0FTmm6By3DQ/dYOqxjcAgt50y8qpGZ8YIVdxduq2gd5e
gZkutZAE/eXw+uvXb9gpzxMH3Kz/XUt9xpARJONjzayvsQ0llhOmC2PcKVOw3yrXFvt3P5+w6Ljj
mj2gHWOVqEgnTckYBNwHzkv0sVF98VUuxf75A2nvBXNHFzmDL8r+WC2dljxYsF/j/l/SeZDf+mdv
+X8ohhX1TDv6E3FUQ0dJ9pB41W0tu5P33Qu83lFt4BJoqOWMdJIaCqtIOMas8XDVUeJUr2ZUUS14
jmcGhM8HSSQsqqnHs/rM0TVsHwZMeCOuFqnqgoGskkCg5QMw7Uc1EPE+NcE1s6CcIp3XIgjnK2rU
V8r/fyvltCe1/56MGhBNpVtDx1L/tBhpRO+sD1WVoO6Zw/15P+Pcri592+/2SNU4hGV/g9GQOVEA
PAyOodM9XHNgUAc9rXDMwnRhDgEiDNgP6wvqhf9ufVB2xABJff74YHesDhAU+4I7FH83lSzC61t0
sF4kGMLZr5EDVMk5WlL5ygm7f5hExxzsSvnsJXg9bGpAylwZ0ooSt5TTsJTzJngNFhPjDzDL3GSr
a6RfsaRGsnRVeMeQVs8kLpZXErO72zvyVNZygpgoWbVHwc7cYUUeLSkrlxbFxGSW046c/Jwkomrh
1qIIILB0HNChY4e1pdv0e87NZCmWUtrUT4pDUH/yMkg6U5XDiWyd10Pq550oDPwTTc+TVqHc3EaS
ZPpYkTgjvb80F/OHv62//bO7FYPQXnByCNoUga9esSp6H/aTjA8TawBAJ0QDdp+LlGUQ3UR5fjk/
Y0DOODdaINTmOz2LUTFeCK6cfSa7XsST1a77VJq9pGgiGkjb5vr2SAaS+YQnsCd2wm4FjFvY1JUB
751l6eqkcHNApFIWogduYA6pSm0w1lqY9uIJRuC44z9krbAY9MCZYLMThDRo3D719gA3C1z06Dkv
qvRd34TvvWW7ZbwsAWLaTGN4tM79+da6vAC2rf2efz+apa4DwYpqfgjOaBODq8s/O6cZopcC/GYs
6a1ZLK4zS13GETAv5NFrlMds5RZDhjweSxfjXIkvcOYY53SIVCkh5NMq8qhrzfzJgTEgdxGu6WlQ
Pe7R7xsZt63+5u//iXPz8nWNAfd9MbYWgWfF0JW7tumxaYKc315/LU31Gv1rLV9VpO6sJL+lmiSn
VtXSUbLRDE+E8MchRV8+jXMG2tHNaRngRJ90g3+pejXeOmqXt+Tx0ebrJEcNfgP208rzZP3ZA7Ko
7oHHbCFd+jK9LfnX0Sy25S5p8fU/HoA/sq1X75ECHeT1fkEsHWLOqLRbzvMfx2Spu0v6Q73iCv9i
DBkwK8vGQmIpRqvFqGQ3eyWQhcyjaaoH637/fqcEoyaob5ex4zKVX+L7/1WcD5Y0KUEeVE+bGtbM
cdN8Hb5cFxGV71PwKUbP17yJYTFBB4avhr/zBf4jwkN9Qpe7M6iyZUglJeLgR88odUbiGa7opAmX
h/J3lyefJCsBD8qPABRGsFdC3bCEwauPrYunnHpLoLOp0EecvafuUuSoDobe+sTjMuan6L+2p1kS
5SjS8RzC2wNJsCuNkTqIIAssDT7aDrQHRCjXCXGLRyyqc++oxGnPkd0PJv5sD9h000C5RcXwTHnO
pZIEu+93vJPU1eUeCZDqQr0ZyULu/qcmtD1rTyrYAJMFUSP6bXpyHjU3APUGSXOZ0wy8M65SxLt/
pD+jqfOnkHwDqfIoR6LFEJzdWCTBg3Gggc+cTS4xslfArubQciDVa4HCy+mDLbYKiZE9Fd8VhyuY
GuiO9Scr19F+LSYtccSnwgt8BgFq+NsjHhO5CBqDUTYBRNbNTa2vetXfLzkqEq6L1rkTz84Gyvl8
sHMk8iPESpgEeBa8YOQHsjh7RwZmwLj9qveXtS/gLrnk8pEdmAphL2KwOKtLhw3agjROK8ALiSQl
HQ7ashKVp6PeO50IvrAU1xzbUsxWrmOmUva1sdY4u9dSJzVed58Rs4M2RmGw4PHH+3ce/dtfVZFk
eu/Z08R3usLjszk8Fh2L6Kn26GbYANtTdf8LGK6F3BZKlRdzsJgV9/6mSLNxphtq1odn4CJ4GUqD
3WkrP7yFqVC7FJ8GkyxYHZer2mowFA0H2nTNgFtd93DLmeu5eXDjdx727tMgBeO/msrpnRVknSII
KfCklWVzwEQVi5rGzv1hPAgp1fVJShHcTwzNGqJfeCjMHEXXzyY8kXuOb2XNeH1HVGBrdaBQ45Db
KQsmSNKP8ERV9aNpe1fzKplh6TuNoadT5T24sgkrJTKQGZdDi2pMf/IxMrqPI99fh4HI2cjxJHMb
RySpspOQMDvjL9MgbJBlLBT8+vZJgirsLQBcFsNhByOPH21v8PIIDGEBKa+a1AEKhbypCWwNiD8r
bgkDknEqvka2lonxlXCTuA5gw4OCU0GRI+ndVEzqTpCDaQXdoqkhby54B2SV3Xh1Hi2j45A8Z0f6
H0WqE8w3qum8ML84kvaGvToGlFl0SOKtPoTh+DC5xbszU7QNPKuOqR2ARTheMJNfW0l7svks8ytc
C8iuAT0YGJJL0TAASGuINnBJSOCZ2BS6Y+lPaCeiY+Lv9GWtPfgHvVOuDFT3Cnm5cCpiGC/MU7yS
Dr9Jw+Os2sglPuzibqb0sHzFslRTiIEsfMCQMdJBRfjQEOh/zEIIO9NknY3cIdUrWOxJWFP16gKd
Tf8ciCA6Q+rmTGkwMJl1um2euq/bYAKFl9H3FUwiBML3xZObwUjqxARYls0VdBUwupg4UVHEpQ6w
dKmCnR3HdfWVCStPQZDr41q1THX++Hlac2Gdkt9Nh7kbh6G3Mr3gPArGfeMToas7IRaYiNNOrHic
MoVk7No1Ig98e3jO+A2BYwnoK7iV9livRXPnWTznesdOPpPRK3z1CwDsdz7VOQ+ztAn7GdDcLg2F
8M52nDvLRJCpbcHye/R634eE1MgrIjycR7NYD2XafnVeVDv8E9RIbzSu2xoTkdnn56/qUMovkNux
3Zk5T65h3pqHXFFFCvt4ZiM2AU8RWkAhx8SBrgHRehwB3vE4TrVyV2LnSJl6+jr0t3GuxxEF4mYU
vWJ3B2U7NVo7HjWKSXfW0iv60V6zSbwMclYNMWTXh4GUcGgECr3wwfSmuIHRWVHDmV+HeOxDtoqB
lADIg6Mqa4tO0ry+9LR2zP6jWv9y0f7pGh6wfkodcZ2drkX74m51mCJCQIFzGxjkVlHpKK6V+OiN
np6JvVNHp/v7VBraZJPqVyX7XXCu034acwHVDswI9yD8lKUYzWTiXo9Ib0j0lfU8pyhUUMByf3zK
1VXXUBHpT4etZRnszynIQNiH/BXZnLNwnq/HmBZZlwks3FHc2F1OvH3VhVKE5193HuPaEZYTjJrV
IKdVj9wvXaGN2TQCiPuz0EKcXDRrsuriaLBTNVN73du8RXJGIUetQ561pNFLFXsSbiQDz582QCyx
UHdmmdEMpVDnINAa7o4/vL9Hw3sZrhGjBMrl9nZaKdlUqJufuzfzEivMAEKGi1ASkKdz4+BG/ytJ
/R3TVaShQWave/YMimFj/ceA05XW2v+WNZV1QmQl32YJ/PMWs3Imbxp7VsfYo/FTsQXhlIsjfSs8
OGRy0GSJgpd3SgmJM2RXjPVeKnfOD/47LLzpaVAeG6L3RNDpJK2Co9dRHvfmgUY9NBYIMMoBD7Ke
ogiGLcd/Mrp0IztlSQ8/Jv2cRnAsCr5hLMYYH/+Z3phWMLDbE1VmljODoVsJ4qS9jeWq24wTrHsf
tm/KfgjrKRMcCEM2hDWJhgSjG7nh/25XZd0k2FU3x+fKbgIkohvqWEcLFpyICY+aMUGxHsFtgm9k
8n2mXGiXdTlBWld9gmjcMyUd/Ioybh6E1BjjUB94M5hg8p8okaCRmQ8sIfWjeaNMv62yPzZQr8M9
rklgm0mOwW2oM8pUZ786BWlmYYwmAa33NUzaAN5XhAOcrKCTfDKK3fRG16rGHzGEEkK6iyOCKGdd
iD1MBpq7FG1onW39Y+5niVbhAvUOb01BdHfS3RU1plTfw3vEdlHxW7rb1CRv4ZzzKvEynsE73eoD
oFCTMNqacknJzxTadv5OXvbczetx+/8BeXUWneVqRa/vsTeR3KFoxxqygUfzfLbGhrIigQ+4i2NA
3oMLsuYWF1ro/xOc4SBpW13t30/YzQRcEh/T1010nJ4/WLjqxRxzI9F1gKWtxwQAx+8yCsn4wNdx
MVwnVxtecQ3maC183vD5lYFNCHt98+2nHS5bSGzzMB0oicGXCGlXEG0Hhr1gcGZYch5eOTPGEYdR
SyJi3WonrLw7KJ4s5OcsFYhYOkfJ1iBHVcKg15GgsfQVOB2ZDw3nV1BPYHdayWEa/DFNdfzUdr1e
lsI8qaeNwz1ztkoK0A5yatuftGz72KX7hmce5s1KpLd8htHqoyvhRtcZD9PKZmovbj6AeRt+vLfm
OutebovHOER3B/Dkbkct0ZxMW/MwP5jxOw4xkPKzg0maHQAKdmIhM+ceSJdx38Yi4foT9OssONoL
uBBK7Hp4CEnJeuFSY6W+IjWDtsSDezFnBS9uGsohEmcH4pjO1Ftn0XGw5JJWHZzg2Y/7J8xSV7R7
UcmliN6pyk7Jii3KAzPcoKcGxC1GcgOpHv0AfCeu8mwzESvRu7OJl7JhtoA5TQQ+de3JWukjUHmS
fXymCrNHRkybtoBvinbuU/PBaV/xc/fZsdBSyUrUzv6k4bt39EMn7XAvm7ES4ledJkzheK0e4rBi
ZC3pYVGoQbU80gIzxodq15cBA+PC2o3EL2iUqHDYkDGPdxJj2sDU/U/vOPoeP/qGCLv+Vv4/RqBj
vZz8u4fteqJY1xX531SNouQDpjyMM1XnJiYilWZdVFpLqnEBtRKKohYMbE+e2iSeG3GUv8f33e/Y
qI6rRNSdOOrFhrMihuueNpZILo2DDuSFvHK/2oxgt/8cnknao9NbPKl4IyM6b7EO3a+dUtms2q7g
rYZmHhuBa/2wDcQsAVOqa+4+6/AL4/yLjsUQcNet33jKjX6igF1HCaDsjCyOuDLZb/Cc9PSiwD78
Avk8ANqxeOp+WFXy1Cx33X9+P1i5FVgAPB4ih3wFv+pjfO2lEiuVckxFFByxd5D6PaGgsh9KynN9
lIC9deAs1wThr/TQoGEfzM00y2goPJiBhM1TQtvAlY69AOatDi5KaKBRshLSlS5iRh3j5QAFaoK7
MzzEeBjD19aEEu6Yd+tOT1JJxHZ2EPyC83ji8Traov4PpaujjhjYB5JXPorACPfEiV1LAtCVwPRf
XpIcPLkN7xDR7iiDVapa/U9r4lvJibEO89v5ZCDwJosXXZ/nplp031RVhzJNQpdoid4gCHxLsASt
grPbIX8VP2Y6RV4+3rC0N5XHWgLr82iglNVtm7kxv+Dciugqx8Pbwl2DNCDBBRgBDnvDFr4/yLk5
I0bu9GLkgTKmXPP+b0vQ9pvFcbNB6KV8J/mARbVFIH32otSKEiIhp4TGZriZzKMhADkNvHfIoEQu
4gcCyCPW6KlrVvZfNQDuQzH0ndfimuEoTgcpEL2xuI8bjd2bACjFGMh32nbTxfsJqVSyqd5xTHU+
YoHEQL0hC5yJ8k+biGWeUhN/B5jYIiDfT5ZgMoajqTayygHNlBqt3PUzecLMULhbhLpnDHYYw8UE
GPp6fyyF9U4s/qkTCEyKBpIzIqnxbmrgb7/clDwW2+s46LD2vtN5tGBQxdAdUtCGQuWEmAB+H+FL
vu6rbJTV0CLqbWmxBYSqWit1+8hLzfVT+xDEULEp8vWgoCsvH1ureAiovl9J71uDHcYL5e656ZnK
04AKTeqMd3xzX527w1I1SbTRzRBkXw8C2zJWg/u47pbaIaQRfK+ufLLy9Dn+EhtwbokwVx2kL6Cr
39NzEqEG2iZI4Bvo+CpvVZGANAU0IrivrB47T1UfNTqd1lFiNHoNr+t8CRgTV384f1UBU3p/xCuf
Rz+6SvDYGeTcs+KBM96sRECjSulE+pkMqNmcTDG56dXTnVlg+wO0HuDtbExNhUOfFEe7sknx4kjm
npEEa/Io8p+FPKtCWgfo5ezwZ/64ZJklAjyS+nurPUmxaNh3TFXBHVO6/8edlgb1RDmb246WupXf
OHX0PMjIExoVZptNF6HfJ0o8/LmgAEgESnNVvBOMlJsOac/OscC/ieBTPdMY56gZIGh7ssL/W0qa
AFxku0MJk87Iv6X6VtrgKKAPQDYB3W5YHIaZ//qYgRJ9QgVZzT5DJUsQt9WgVQjUvdSjPfekarr7
yYa84SpyuJ+cE236nFPLrUUasTczwUfeDTlcXBOZidGaoBGxCv4cpxE+nkxjqnmh8D4IskuXlDtD
rGDX6wvS7PMjsh9S03SmWTq3Lyq9xSOq+h+JL3sec+HdOJ6aqprxqPJHaezrDdFwc3nOWkyVm7Em
IV1OMw6DowW8+V7/PIWDZKbB+Hk3LAshKf0m28kLjw07qwkY/ib5BRq1HyiHcHej6nTSdweEsnoe
1xxeSwNH28lln+PzNrrtMU973h2ysqn2lWRRTyDKSaUlK5V39NkVtJZxTjdGP//mcWFhOR+Q+EHX
WEKaQWtOTnFlDL4s36S1nnMJOSyodZqNZg5gGTK0YbttyKsnY64qZzcX3JB1WZkmOPd63yOcSPvX
AkPNV7DSOV6g8AlvfynVQvsM61gyOsVgC1KNoTEk2aDhC8SCUzOB4n0SaIMyyplz013DA4olLF1U
KYlsVcD6MoJws3Giq1B/R+AFJeXVKm9DuZNCpZetcjid0YYhjWzobYiXlf0WW76Iady9OKnPFC9d
XpIY2nH7whiUwDQfsU8T/fHMmUnA24AmuauR7mfLyu5oGobf76SKiB3hmsz1EGHKTxH090tASmbb
EthYf7tTM3f0j2utLIsj5XlfVXh5rEw+rFMvRVGB+Jh4sdWJ8KSLQCDOuc+ebsTcuREn/7C5dNjb
meTACOgf4E32CV78D/MdcvN4VQXShmvcL+cd69aO4nkDxNArAYl/uWaKOkNGdH543oo7pnA51TWk
RsTGnRlMlS7RXCGob3WQWWBcwueFi7b/UcqCbyGGfcDXSjW6L1NC5Zte8+WnAwm/4h3L+LVZ+C7S
LGoVAnaR59f1Yk2ZipwxQnBSxEqjPuSp3SBmMLoqfqZ57rRwqeJ0/umFlGRY74YexjAxM2GdGL7c
8uOPcFXReqFrQSvIiC8dGW/45e51o9PDPx54zgX3tnbP/0qWXviX5RUZkeqNsGsc0hUq5q+Fgqsb
Gg25uFwKDgbfzG9CUpyjY8BOskYTJgcvgoT8eUwlXFnvXJevqj85hJzlzD/a5jgKVGGKvQVTwHBb
jS9buIa6hwmL4KJRW02odiNNaa4K3dj/6vgwlLiPQ/tvAOZC4R0Xcod4co0S6jeXhs/xEkDjB8dn
aLNb7S5nlw5WPlyRKKJL7BLjEfffokr49ntZH/Rv19PjAHVImcavK7Ud8VpOx36NZtvBqPp46fJL
f2xFAiFAE+5AkLNs2WETXHAm8fwIMWm7nTsCOWd0/Vq6fzUoJgV9/ucTH3cuiprx6XwCluGzaqkN
GarjU86XRyBpSroX5JNHIEc9xle9XDTcxI/mnQ1zMrECw6PV/0ya6OSFJg/srDb5gvp/+23geaIP
LZ0iw+7Iyez5t/zAEPJb/gQFWUvkhaaKP4289ip82Eoa+Aeg+PTGKnm64erb0UtSQWIkK8BNQ7/E
dTePpfddo4TptlJEPbUUa0DRA006VVSUJAZ1ZCOGcRdXesAXBHljG4axa1ZDWL9feIdtnkwqyDu0
TfePPmG/CXEuQTY6F32N3P9ph0UnWoSTFSS1NRTcxYw8Sbj/C/E4AvkWZTq9xZWJI8tQ+frzMiCJ
xNPDKM/nQu6FfSm1/1xlykw+njWAh/F1m3xFS8eWEb1Qqn+f3CeOEyYUEXbZvODqNkxCSky5NS6+
KUhnuw87IqZ9aC16ziGB0UOrlcw8Pqo2ajGHldYjxYaV4sd/zFqjWb0NhVbssM5lgULaKfiDiq7Z
hOZ8RvqhX4SCIbPG/oUDFYDWqe7aCs0kwkB2izfYn7BdQ/7F/Hz8QRVqBwrKQ2j+8mqsv0vG2j3d
zq5ubGDATILgv6bc5A9WfZ1iUwsqQgGireThKJ7FljX2a2Yn0bza8ktVfAg1sjNSVdPHGdwvzyhx
QCW++T0U44R8PTQtKqGrTedBO6Z51cPvBy23exUtGarHlosUax1d9i8vC9j8lb4zaklG20lrS+Uy
NkY51BIRvdB2058L8M4XYMAoEQaGN8YNhbBQqjZjX4kQi4dUGqYKvL1/w9BgtcMMk1qardYRRrIo
JjlSIuOQbrLUJQNTc02XvgJyQsSOl6JAJQf62K0ELuukwAonxWXzhxEQ8++5m0WJQdz6lbtnyKnm
DkpcXZxK36zjHUQRsXUN+9gUMGVX2YKS87DGW22eU808aStrzhfGV7x1oQvALAERnedMtG1JgAKa
WJV+ETAcFiVLQf7CXdwSUBcldyLUC4NoLkixpuZd9iyJoLSGfAvARwMG8uJjVz+KY68h4JIdiU5Q
9jzqmd0Rdo6E6Wn9JvwgxDPt97ZDPDi1Rc9W17JWLuci0H6LQ33oo3aLtl05DJDnKjiVKZGT5bRm
HS/O73dAuJR5S/fIFA5zrYpeIQ6dzgvK29ciiy7ctG4ODMSk+h6UETKTSLUkkGxUNTBqlh4cz9pw
keuMbJW/Re7PL6C8Gqb3Pmim2jXLWEDa/YqU2Xs8YcsZD5sy0Xh+uZMKi5zfr/ZQkL+O+apTjLny
FDlf5w+Ae3qHM9gy10+ax3eQqsEZvJ3A5WmrbtSTLP65kmwPsQmuyinLTnnm7EVxXkuAhvGwasyY
RPb2ki7NCd5vLybBedHWV+VbQdCBaPvlbn+Qy3yClKQGdAH5K2jBjteARGDB1FheRk/8qAAeX+Ju
qKTHQdfJn1bGgXDfOcX8Dk14ZdENFNU9ALM5bbsfy+tdiQinZesJsEaRl/6Ia9c52bQkUcvPNT95
0oL6s7giIKpAOIeOug0wJU1UfFP6r4h6oJSJEobYb6UtYusZbXbnWDdUL+qLrdHkAgxgCXIVo56g
Efrs1WOcgmTLPHQACysi7AGttkl35FiGcGiZ/b09mTZoukcZRZ7l01lV/lYQ/oqUQqJUpQ5M2wH9
yz+VtoZblLhtRnQerIWOoaPhoA3d0Qvo+AdcriYltDag/E6MCMT+vKMkabnPasPYJm1qpyHfUDY3
pjayi6CEUWPxPPINDQcihAY15fAgM1AxMmAbQV3BiGXr1zs+ETo7uK9ppBRlaaaPZ69pGmU/NYpl
ENn4NV5I8TJkyRBnNjnZ0bGyqGF577NbIiK8pAQptXMfMgYnpetfnt3RS1CEdvhUL13AcaACEQjo
J1dXm5cmt+Y9gP0s7YSvNzVyYWmAGfpFuZMTjL9lqbeAFJ/yl/JLFfhN4M/zH8OLxjOy6PLrhODI
1CwWHxnwZKNRI4e7g+rZp5GS8PUX3UlrJ9TaCjuFqpurga3rxIpJdN83T5aZarpKbIMcwb4znLCV
dIDNboCJOkkTwAxsLFWq/Q7GKpYrbWEyV5TAlcLATV+Qygc2X0ffR7frRwKXkDX5ojBUCMFC20dy
bzC08vTTu2N0dE2twGlG/xg/vwMawmvUMvjqWzTU9AYwp9hssV2tt9bA5so+FbBUbbaC32cnSo0b
BTWc7+pyfuyL7CnaeIWDI8H2VHcqeXfcoE5PsNrlqXkefxP03UJMK+wpDjlsYd098rwxMw5iUM8h
RtdnE6rNNxiVSSraMOySfNOXQAf2qsszHnd+2jv+xtfxwhsBE5w/TEqQIOj6dTqfIg9m1X6XMGc4
ozf9s5vPnvJayEkRb87pP/OglKzfufKwRKJVJhtNb2SnoWiP2LP9eRsS1XxdjJfyz62dQ/iwv4qt
7jUyPOp7LAFWzYBK72s1UTMs7bQud0DYJCY9KnFKrDrDhkXVo0Uyh1Smxx6YQVmTrA6a6/dSNY5n
W0XgmuGHTe86s85tDMYeHUCV2wt53RKPOavcQclcl6TZGxF9ZDZCIlH6d7t2h276UH787YpXt+Ue
8PusmHoghQl5cEVZBbRiR0nN04ewtPqt1mlRE6CXqbOl8oSjBXf2bOteMBjfKkLjWQmjwnwWxzDF
fAm+58T/D5MSMinmZzwy1yARorFD9d/n3oU7RHczl0/j1qqKsvEHRcJS0xPO4PnEQYbI2pp7j/3K
LAfZvZIfrJvp5ayHJJ7QA2tZUNSITPzr9DkcC3dUoG49OE0vpAnIJwh3hYMZQ541fwG33Agf01yB
Rc3a8bl/qQuMYe16Dlg3b28YqmfCVQlL8p6o0wFIPVITKIVr54wTI+mJb9L5DYMmNWMzxsAzB4wE
s4LkAaf/CR33iLI3DV2FYk84DD4fZCBvyY+PmHgK8PQ0VpG+BFV88gKdXuEL2tTOlv0URLtr37SW
flGJy/kh01TApDxl37S1DinRLej7fTaCY3JoncrSxJE8ncTkozUe696Yartw3CYXiYNdbHj6JjcW
ax8MU41cmnIc9MLM6bpZWR0oHsj311v3uXgpPiNAjMtVrsMW0g4Bxb1TgBbsoYseolArxQp7X0Ck
6etPDwpGiwW0rRmze5b4XsKC3MQ9qVmw6BScffJ8bysss5qokfccANLASV+8czfREFY1LgKyLE0F
z6LsWBHegMZHL63tSxY3vAacV29RaD2DHW9pss1h7s1sm2UXtZIeQGFzOj6S1TEsDEj3oreOyn/I
45KP+gippDyp93J/yv8f7QHq4djyHNZlujh54cd/bvY1F33eytcunrSCnOxBKmY1TyQTbeR93Ql0
qnE+DcgYwUadiEnTiJq8Sa7Aj1OFuVhOzmHXnF0EUCOIDaMBcBx1lwn2FCjuTXNynXEYGhHnk57/
RtZLJYp/u/BfNXFr4Y1JSzpu5FPNJ06yIh3T+LBqodfg1+svIg+Qz94ptVI/gbo42M3iiVjKEmLW
Pl/GDSOudpfYYj12/fVvXvHsO7J94cBBJbYdv3HIy8Ug4qiikIif6y9Nr3pbokNzsf75ap/ru1UF
cQvPIYGe6Kaz9mzkm9+S7POgbbovvup1nEDeqoHhORxnGvoNiOM4MWoNA/7hHyKlZ1mkdJGmEfVz
ywEcPaA4TqvfrKZIKOInfIud1EKqd2H9KatYBnRgn5ta5eBX9z+PA/ozNK+pbv/ZxYv1zbpvqOWL
zRR3a7V1CH1XL8Z7Hd0SEpHI2rJuq54BAiG/JEwy92El4PmKV+kh3MbO6Vhiql0yrD/X343lPb8l
hLVtR9iAHCDoAMYhVgJxdiCQ0na17XoBdCTVL4Oleju/NUBtQ0ewvO/7e3FOaVnDY6jzfVFbvYYc
JWRGEkHI+Lr0ndld7JGdNdI4JH6YDAb8ql5jYTA1bpmm2LmCFR8RQJmHSzdL/QzYW0pcYRCZHYz3
/DLqRuIgThVjAL8E14qjoHjgh2pzwLG4ws9FhV/dpCCpxS9BuLPaPQUpsOCBrugbGZMmKwlw174U
DD1+FzZUctG4EqhT0xO/k0t+6mH59wszQzK6fIxJIY0RTIJpd0vKXDERpLp2X7lx/qiIi/R4BA10
avJPeB/cfN5UfCrcPwTdC1gqfstt0umGn+yPmhoa9zfX2Xon5A5M5N2H17vIQLVgp1kIPUWkrNBy
xjRkaDCIUE0Bp0gVYAbaUSq7tPfZ/TA0fJ+WE+d/4ZQsFQmQjFSmM19szxz2TbvM6rWevPL9qnSC
TvPo+ykGsd1DVTJLhreAAF8hvCT3DAVUhpzRkmIkwZh7S1fjODWFsTE2yvJixFLWfWuVVfbYZLvK
ncZQGg6x5esFo8BdnYJUcVEKUrHjRg18dW4Og+Kt5ckkNHOwpJuGZkScKnbB2kcrB1L5GuNX310O
/K29A4hIKQeyvdDkEeYfKUJ2rwCiuCQWP9iBWHNUCh/SDIlBVOSgytVreuJKGeRMZwE7QFObeERX
J/IqwU0h+7/ybVQbn4rEB8Tg21z5odfRnPX2/UGcCfte6rw6ZHJiKlKg/8D3SZ88ih1tP3WcJtSh
zWJRwZNqJaMFygfThjCl94ceWW3L3HSbcEJpUJy9yQIhlshsSd1KO+KzU+CMBQe69xXtvIXZm22R
GnjdQpAEnWqztzb3AdgklGBFgNf6SM59aciRpS9x/NzVW0Jm/Y9w/pyhZpIQ0IqbDhvW42QiaiWW
Tv9/mr8ZoAByZ/DTZ/L2oKjZ49pfdgBgJhd/QAoik71LQA4+qv8snN23FDuzUvKoFTH0+XcSFN5K
tVYn7AS+3wbrQbFWWRh6XEYW+6Chi5frDstbZIKsc7f+bnPHzLncASScQQLbYJVlaS78qFron7CP
10AfCEEdSBHzPmFu9O89AQCqfwTcOJW7PunOR5paEPNswJr3qTmecdiXlU1ktR4Yb2n7Ri1ZfBm9
G2wc91FR2UJZiAghTQ6EMm+8HZidxq0SSizDTAWXelYhRFPeZ6NY4njQgnQI/i9Zrpp21lABN8is
7tt+Icoc27rZY0nq2gCCcynTrcSpd9mzfUqa5xyEZ3OB3vP7eKXsUyY8rA1YJFRi6BydolB3MuOy
ejPjkroB68WgbDNwg1q3llew9+pn6QrffiCT7JFB420xpZv3bbX+KkziH5i+Q2O3H5Q4ArBok4P/
k2sof3Jl6UPyV4Ua66RMJYETwxBzWaBhSINOK3uJOTjn9zad6GWmp0Q4EWCy0JqKQ1BwhQboqBbp
IGwxiFlXhV9mSGYJOa+uxFDFIqX1UXcpBPn6GpiBUyv6RM1A4jRNP4wN/fogJJI1FNT/OfG6Fnqx
euI2/9ICbDov1yhL6IlEXNfo5iNy6I1Hb0AtinsJ1GkkfCTrDGH8WdkIeb5oRkbz9++n4pBf5c6u
A4d4z0fRZFcVKKy2GJaiWu4WwZWQmjtaekyE98+R211koK4zd2InmvFiYtvVxe9dnJjXPmZAuiJQ
OOEm4UveF3sN2LDizUKfRGlqbciB0O+I0cLNUMUtf6FLxtnwFKr6N3CAGxQvUyMxxQokKZqchGKr
OQrYnyOyWGvQE8xgqiYvfHgSPlGfG6Ts2BrVaMMlOCv3bnzVXE8oQM5Pp7dvfUsLFBv7MqyXQord
t7CyVR2N5uwDVKUZxfbxYsXfmjSY0niAg1yfjXe1klHwNLRdYMxec3SSinw8PqQBvcXgYPrwmPqA
+ZS9d0U1q/LdTsgxOvOrc1f2UioyOfkafJY0dS/CDdDj9PaJNTYSY869pALAg0qSiQRjhUS3Hbzs
s+be04VLMLMGZi7zu25zGVcRdAnOe1O0nxiaSJSDK+uRYWi3aw1Fgy/4v3m2r/O5KcbusZRGr1iz
NYTnuii423D0soKpUo4HvcPTr57qy+l5gjW1EY0MEPqsyFFrCm24zRJKQjq22ZTJhZP7/ye/1OAC
wvb6+2h868XAL0+0MGCcV8s/k09qTwNNrZQ3cO3gVXTO0iAe/IRaDaW5Jm6WCM0Jqgh6uPagkYR7
7mhvztsAPKiveYlmNt566By5TXvpkJyOyw9svtCP7yZ0ZNmlFROLwjRVSB2CAPGa+BaJCO4FTBR3
oXHpt5FNKXtQDirKpW3YN/FFzWUr1aeCqdnBHlYV3S8y5fLNrFMVtmqLu+W5OaNFpYtHd9a4ipRd
Wcj+OG73J7wYXQVMiqQpzxFYZTGXylXf0vKHY3cDWk8xXaxK0Ntm3L5cO9YETI36zdq85hsLX1lD
uMQp876y/TTIgegcWwRPkWMzW6qnFYNj/0HF7/KR1donQ7rW6rSF0JbUI9phAToWEpTUA3cZDw36
fZQL7DWRVjwjWHlyNnOROxXQEatC50++5BsJfcMZ/stf2g8X8vtUWxT/4rcy9sOzJE64B6mAAEzA
CUG34wR5lYqxIke1HWIZD6acLzs7ASxCi8FUEChBtCkPGcmbN+g62ucdzKWlUgczbEsGjffOlaiy
pbQEn57zesA3Jg+4ARVhwfCag40swzzgIL9wBJPyP+Ynks2Co/IIE7B+fWiQPRmg0t1Ffn02+ilG
KumFMBniIdaGbReE93Wqqie9ENzolQMYIiBWbdPVblQXNLBig03iesXNxOYZBwBbAxRGpG6HHank
TZFcL0wNOyHVDmaOzk+lHQKzKYk+/50BIyFCdNZMDzCqbeCeYmZgplle+LlGMLXcq8A5lx5ecwEJ
Va3gBZXozBHWSrNQa5dEJH9nkHqK9ztTY8XoJ5m7Yd5nPpX3PEs0PAaM7+9DE9pOV3ZYDfcFd7bK
tJKOPRbMq1A/zjir8acjGAGPC1s3tvN34cNnU7Dd4SeGrPiHwFNQTJ+YCw9+lyTrMHZkB23FOM0/
CQsKQZwb6CYEI83fKXCaFrBcWsGt0UwkFD0e5xxz8rQ/of9hNMdgmjPH7spSu8PHnBy9NbwgYhPE
zlCYztDI4nepSsU9fkvsFOti6sLcTnR9xpIV0Th0NMr2AtjhihVynNcDk+hUidae1fslxG4SXYWk
EYp3GxSdLbnUnZLtBM9qg3g3rn2mHIVjVNPT30/w0swSG3opiFZg4cxdV+TnKLpbv/hZmVAFvjT8
l4NaPKzPHA6uN9z4e7esY9nvZDxEIyEukSv+v8l13wtk7KTd/LlbSE+LT1GTs4zT4BQZqbUVsW2R
RO8EnEgNybY32uc2dkxx6JV5p0xBv0dU8MPy3SCiOte4usA73Ikj48JeXJyYZYVs64aT4iggb9gw
04WFufLVu0e5feS99Z4N3rUVP31SE294avrujSsGIbVxbEpaQjIEufxzaeH7wOaX7C3CMH7g6dp9
BI84LnFfuRAWf8dkXQdNe2ZsUH/mTOc8PzMuCt4fl5QLOhf/ZzezzgdzqmnEYcj+qf+/rItl47MY
GhnwDQa4Bd2A3Nm9kOjP7jGiwPQhpEO1MWXnlNOy7K9n0kxqfz4eUdODOM2EayT+hd0wFGvDat3e
gh34kVQE3jmolD4rPEfA3lLRHTul3ruKg4YMPX81cEH5LVlUlcC5EJdkdSjc6FwI+E0QvWNw8VxZ
T4WvMt7Gqkqp4kV077QlbhyrgFgeJ2kil4FY40G6h5MKdOQS6hILr3RAjarSEQS82u5VeSGTPqni
bk/YoEJUeBzx/96AwAOGJmhGXJi6fT0HSLwCHdZdlRz14GkOcgXt/b9kLENBByG54axBn4wacBl0
zeN4n2lJ2PNuAGXXoegJXNYzdh7ddhbYBkqzIusJF7RAJ54LJ5MH7ns7h05cHePNQeLpd6Zslvig
fusYSexSweHcAU/rzLOxG+UKNrSZfgLTeLIyaCsnjpWVGsonT5QGSKFQGCFnHDneiTsjSteSfpZI
9c+g5jqvj2sLEjvCoN1//vvRtrF7jJ8i6R0o50a/dwMD5a2NCfg1PJQtPNSAuXroan4maNRlBh/l
+BMIWX7h48ehKu+RIejupbBcB1UMOPdIYpeHDuPU8PE1C5/dAgssoApEdPGVNbkv6ZvJoU0WIc24
IpQACN4uYyN4z0Q6eSFQDlS5cUVcx1CTik747yM9wCkNiI6tlCf8x9r1aJPp49jMjr05Q0B3P+yi
GCXYiaxe2K9WYaaLoMaXZIFYR9hh579nXMskjISc6ulCYLPqWHckJQxhaiKbOV6aOtk8bs3KCgSB
W+1i1fYdxck2yxTFZ9jJ0VTh9co1ktt0paEo+x+Hga6tZ8JeyDWmv9keHxJy6WC19xXp1ev3VDGe
VSRKYKdODAWBRtJQA/fSGuOD7EA2IeOFXBOBzJ12Jub4CsSfndX2UA1Vy77bUSO71D3VuQplGXyJ
73/pr/soe/k1hiEwHzup9+abckghrutZLHqfkFWWEaBn6uTPjHvYBw6sw4pAq/PRBsRYRdlMVC8w
gU0eWa0phMouJVbmWgCX9ZozLYy33dnCrSxjiZOKznb4mEsn9ZNVIUj4e+oA+oaFbZ+vO7pdwSrb
zDmX9upgRAEZtTRh7pUeRygs59p01IAVQtbFXEXlRQxqCs2QdVzO3790D4/zaQeciXrvK5awc0fl
Bzue/dOLzm5KVGnMv/MDLJc5bM9y+sFjN4D3t5/buDA3b1+ouWKUc+MQhTEKqPxGVmBW0LAsd6pS
0iPVil6f2Gp5wP8Ym6oz1OknAzGNvNgMuiOIK+IwOoQ3ItM0rkdx5DrryQcKcKCNSDGsYjZAKkFP
A2pToKafyUo8SSFyJ4pEOu4r3zxCPN0q1bsZNCl8vBV+U5zUPa5IlVi+7U2HKooSrDhjkMKVtRaJ
QDNqeGO+/6dH3rNfh/3zg4w89i8kzAow90GKDUQ3kZ4Vymzvnm8QLoOSpg14k5RqLA6LWzyaK7HS
RekGeU/I4rkpw242u1NFnAy8i8A0YknU+0TtjGWFAUuYJnrf9dxXRx1YGNaUD70NF2fz3kmI4etJ
H2+hrddj/O0UGFHP5z4vVbGxImIZslcopBfilWFXJ6YmpCJEmfVRkihJt+0YViq++VD3FIOqFS6S
WdUpycGIYYHjkMOmWk7py/KGJjve+On1TYbFJ2XsycK/LQsRGV9ML3ic1O1sVGYrym3opF69YLaN
Z8R9WYOCq7lrGB1TRTtHGrDDowjn2MiV71zIgGuyOPtEnIfqyqbrmYSwPlaSxLrP4teAllxOAVCR
iNsb+rTp1hfDi6RouxN0KP/6Y04r5NE+gBC4re985Wk2s5uMJkGx3c32A1NT+ioK18FRHF9O37dO
GaJ1mcHGaeV2cZSWJiOwxsY3XoXRFbKOW85zzKuVQF0dsppA5BnHhwggJriJxLHpKYtAIS8OCSv9
hWXgMQlZ6HO4e+smel6LDqlh2Xc8cMvm5VHxTqGA3+eFNnM9aPEBEhLQjTRGnHmC1YximPNfOKBl
lSjE5lc507fAFwZYfbyvLKutlU+qUjpYVYNX9Ar1j+8JDBV3tnX94uG5v/HOO2w0CZGoURxDS/jh
hOGEBuBquz3Dm0ee9zjPMUGGTx1dhG/J/hgJXr/+FzWeyfeuzxsrfdTnAu4H8LtEiYgZO7MzbLuJ
lLnipzHDNRK7ePKIat7fNwxrC/cmcZrofMG78P7YBunFOqgr8At0lndx6diyIvESfimCc7w6PZB6
b1DUiBvStuVT1jCopCMqEYjCewJZjED2itxlqec6uhhhJsKtn27HAPA7QMaY06XvLlf1yDIMRP5Y
1zSpNAh4yT1pWssAvpn9bIwtIXliXqpFAZd/TyCRd7wX/q8qxOZEV/LHASYSN1qb08HCyhoumuuc
h4CsXBY1sybfd4jhZw3oEerV/Rwv56LJ7T0sqyMcJofhQ6kmAcdt1MTdqYjMCLOP7f7syJfP9Xw3
VLEVHx4Okt3tIUvHDK/Bk1Fh2qCQhJERNmCeBMl8LyMeONwknr1BEDK0yFvTdxPdE7cG4vhBmY1l
NJPd1FAO1npvSzJMfDXNr2Tziu3xj8tHczm6JB6zUdUOCqHBbZANfvXZ3O+amCQQcpidb3AFiFn/
iybTyIPOM1F72WSjrUiLz6omOihqf6OXxdaNPSM6tf1GIspqWCBOpVxHLGjV/ufkk70QV8EhzO/j
W5xPe5YYikkhqvN8dMgPkU/dxDk83mevXSVkYtzpjgttyp7jNqMazjCGQiCEaG6RyoU9yECngRAd
+yf6clJZmxB+1YD9kacPrVONQDb+/VagKzG+JZMfyqgaBwpaxgdq1SuyfI6uMNaPhTPAsjuV/q9u
eAG8Fy/C6yCmfJi+jwPHXaPLXhn/BYAexUN7kGLAfkA1qLzmXu4yWj+4/Bxd4u6tbmLr4nZLrkJw
dyBB+18UFh+9DGHpLz4MIXFTQjroseA3hiNutJwQ48j0wKdkdXH53DfyrEwBKTp+t6Hmd73BJlDS
K3BaUjhXBbxAaV/7QRg+xOjWiG+jl9wG8IYvteaGwvhxITuImVH24mzoA2TKRF7FraxGFMpDQNOm
JhuBzfdXIrii+vakVfD2HpIeYeyY604ULopNP/et2GXgruwsMXmi++7zoRK3hFCXMgcABbHlebgn
q8Ay7yfSC/hwHK9uziwC3wivHoRdZ0L29XYZWkhtloaKWLSQzn5amLWZxM4kArniIucQo1wshdxe
8DXu3cPjwY0pqjghAaoGzIW1tbUV1j+YiAneoQeNfMGs1CePYGUV91gHF4O+AVv/E7gPeCbN855C
p4WVIU9ZzNX1hB3zOVpsZlAeJLp28jK50ACLjX+77oMYTOBxmFvgFFetApcLV8ghdICJYWSqgRkw
z4RAkSGaM4Y2Wx1SqtVDEIx/0TbhQ6z+0iuVZ1JjeolaVdhjXTmYTWsQ6Dw/WftQ3T/z7NODY41N
YNTEe78FWAXvS7czamOrDuIRc+mc5Xv3GtmjVbo6ccPvzLczmRLJJs3MkJm6OgjvdhQ2vrNNzajF
0wibmleRGOEwPlWJsUj++ypTIsdAXJvFLd0FHDujjNzacmG13+FH4sdStQgcUax9RaotpnLcDWHm
FyhOBajIt8EnZHLHzAG1ZiDJRKPSb89qR8/HR4ZeIFUF7NZvVtvbFFGHpgqsSdvUxqm2t1QmK9su
2NpnVh3Y2+xa7ZdsTeRKbM8g3Uek8IeS2SSb/jt/keYqL5+jN5KZ7B21AKfAMRY7e7D7D8aOnDtq
bGiSdeRQpE9SSUUa89R29t8qFZWmgmP18mxkfgFgtlPxNmbl1S2x85BgZvWrLsYA0fdzanPDc/I9
V7jQy0EcIgLd+43hwsADTs0L0c0VSX5hPqLeBbrpE0Kd3mqcTRjO9Ni55FooTqwvSmY5Y0ZK5R++
Bw/weeiEUos/t9tA2vfP6o4sX3Xi0IL9L+4bMl1s/W9y2mmhfamWGeTMx0CsVqw7ktl0004tup5b
JZXiV8Su4x+fvdk08WO/bS9Z1699RmsNjropAvzOEmVsJvUJH1u1N1TsjtCkEX9nKWx3Np8EpFCu
/Gk+KweHHSfQUGs9i7VzTWfNDXwLzmVTKV9JNLAIGo89KG+EGmJSF8SMS/3q7+EKNu3N/Lx6/cgQ
70NXAB9CWP2wpy8Di+EuYM5GQOauRTeNytjipbPG3Vb2hZObZnn9Abv4Yvd6HOhagKkucSKVy2vk
2Xwtax7nC6Zyt2nrzGy8YKZwr3Y4j7lW0LK789mYMBi8Ev54Qpv9Yd2gn/BCXRO9b69QsCTKVvVM
782o0OLwH2KaFeHxFsxtFWhnBm74xSje7zqCrhoGN3He/+qE3GjkxfgJCifsZ7VoTi7mNl0Isnr2
C6kygeeplFe5Pu47+0UReK7XACi/5r75b/N0vrqU7e0qtgDzk5oyAa7qWyJ6meHa8TUFNTyh+dOl
SrA2/nJAzzENdbevjAF2I8Rj79ocKZyaw5qLqYKsgTtw6pnNTkafNwi93055o7aPNNyJaKYQiPQY
bPJ/di8YjLtnHi8l2twwIKRMK//7dSRdW6Y4Cl3dHZw19MSbApJiNZOdAbPuiErMudjYdEMm16Fy
tQBtDHIPaUt8XAoHEhrPavjRDRslhqERJR49ylcpo9fvgUtB3rsFpz31d7qiDCCKCC5DMxeSRAb5
aVOjSIXkq5CAZGkNRCDDGI602GCLPfVkvlFUtMPmhuMASmNuLrVa0gNS77XZroP4VCDQRuHjTW/T
zEwPFzWxQ/wPN4mA7FL0VXOQz5ui+fBcCQx6aJ1fybJXANG614LAKLchpfK1eJ63pQAgcl5efoyc
EbWMpCZkjmy53zBe9aRQJ3mhM8exfHQ1wqxN2pzBIfq43vzDHiAI0V+7aZ/PM3Wy1e+DIcklA37c
G1jqJP5N84N14Ps7sr4VlmtznqBj9yvuG+NSgDiHD1yboqy25Q4VQNw8MFXDOg2I52gYF5Lidq+x
NNxhSRtcCST9shiCrikSxPHayy5Kk2T/g0f1pZPtQq7tSJBzk/c3LJlUvmlRuMTAgb4yveVjYAFp
FbfTFaMsnM5oIigxnuePdtmM1YbRcaoJzLZ2RuftIhsAufxVFAZeweU9D6pMthANvV620AIPbinr
gByrkjqmfDLJhQQk61OmcG0RhvgXSOisQAiylCuaGzKQ8ZaxjYyA0oF2L4k4GJPw/ET9WaBeYHAc
1tTdk/XV0/pkbHD2fHWwX9xxcp7XpdHI/FCRwXPQe2C6jQ3BCf05nNBt1/kkxr2jwfawCPCKT890
yS181cI+JsxPEm0VxjaNGz9n0dU31ModuavGXTcJH7iOj8h63kYFd+Z0l8Mm+c6ijjTdMkmvNjFf
+fCJ5IbxyX479sFXPDzI0kE4VHboPWyy+bGALMmS0Y7viZxJfejXuRfNYS0bXwM0x5A6Cy0fh+3R
uSn4cZPAEBgL25gWeqoXTSkgO/e4r8SIfM6gaicrgQdh5OQv6GYmifMNH3ELjqZO+aHLtkhZqlWE
x8Paf+YZA19xW4Ckdqx7qyhYA4n4E1X8CySZYh9Av9TwPxcI+Yvs1F/WMWeNks5+Q3hrKJxM8ZYl
Rqiroz0UuVvXlaBWjSH2o3Ac+Jd8JUUU1fXh+SOxO/Eq2S+HEMq8AiKeaS+G5zDr3i25gvKl8/wM
22l6UcikMM4Qt6bDKNfPdPZ9GPuKx7VuiExslB0POd1hGPYTmQwsDpj4qy99UruGTXtT+tjIbn4y
TB94wD1ZVAajEx9ZQoLUGPqUKEF8Dk1fo7GcWHu5EO8R5P1CzjYunrFJJfLd5CO9zwC2q4M4EkcQ
JjBOnSY2n/hyLzumQdoHUrOjK5TKYlFW8EyHwnuHvbH6XV8n0pxcl9rtx0HRzWw4m90ERKrgvWjV
yQLxSc1N6sLmO0IJ4o+rwRnqXQteWGXeNqUBjakRkCHHThYr4tZw84fUp8pgkDVnTXKxt9fT35nv
bFMftByLU0Cg73DdqvId8d6bdO2pq+bdAIWz62uY6qOIjw/uBrctPxE10WLJszJ24r/k1kRkbRaI
ORYjZ3SVWvy8iB1Z66LZ1dyJghzkyCaWQYSR63q4Qh4jOwYB2DbHrCBrcGMUxiOmZVcocPdnl7m2
ZXkC3H+jFrKpONvnCuSKZdjttrtbOjBKSDGNLxEsbqtT+C3z15Fr7Aj25R/6i+WOx1Q5KLIKzgoh
aSTqCHiHw/e1llubfzsdumLCSNL1WRtwU7FOqYkTalcuhHYVf7g7gz7Ly2x197G0Qa6huqROECxJ
s9n/6O3KiOWY3xPThGuuJZCGVJYqE88mvfggFIcdzLC8lpq0EJiiGe9pyU37w0KqKmIMXZ2KNisd
4B7IrmLmsUdI/kuCASRCuOJuN7GeUdkr/NRE2Kjtkg6g+51ChaU/dTVWJy/tLa5ixV16WHRKeWjF
PCREsFiIThbTfqfytOm19obEU4UD7j/ouANnYiJMhHbtqJwHEJGOpecjqObkvf+ExvFGBiCT6D8k
qwLcJydN9BE1eR77FY9/6j0yufJoHEevgeeLkhYGRzve9VKCnmqqTPi2BjodYdYjRgwMVn/m0ttY
9Wq3hqsnxxXbsm+7pEdy8VFVVJxWjajzMXRc0jtb5LNV340lgoMp1mmtqCMMI05asjPGlI3QBN+B
yfwHALz0PQdUHJcj5R9Kzid+Ceb3+J3UpJBTyx6+pBrz10bC+VkZP3aw5du8wwdWbVPUE4r8OWh4
iKRwcViOmWqKCg5tMesOVYSXqcYtrUYhlVe7JImdukMPbrRH+Mwh9tjwdNJcPJjS9dbUoP79eQkS
SStBY2TGF6gIZSQ7ujaeO2XDeEYtIe6dRrvt7frnXJDQzqMyBb+qBxsf8FuulNpXsTFYjJoJ0mSg
NdxZ1qm3JErbWEjB7WRT0ZnIyKfEbIOTIXbKNSV9v93oDjNyesqzwDXNOYuc6rP6h44Tca3YBQOM
ziBDOVh6ZuDNYjZV4sWDGSTwqI6/OQ6APH6KtXxFDq9pGP/nBjl5NL+hE0J+T0VwO0OAPTOalY5t
e3ROV8MOWQgVLtHaODOd0TcDne882XUDhB/mEVUiF/C9ykoX84DqXZj9JiRAsiMVOAPsmIaRnizo
tohYVsHnMhpPrkHH9ym1PhDHiOzZkgjJHUoR8jF8OzrRzn+jLw2nrk9AzzzQ8WsnKGo9zjBoys52
lkdvTcMlqQSX7GA5Jk85SDhPPdbGMwsQYawLyKnZgTxNhJBgMbEskFay9xcCg1YBnHcfjLhIEjIM
UF+vKOhAXYIu+OfTYBDx8kUCvbKFG6LAQ8V9/oxNbVCIvP5LHYn7vrAHZki2HGlAZs2/3GurUea4
QFqtOomxjamurbBnDy53p1EiFTFh3qq94OXgVY63XsU724su0IYeu4YAMpz0bDN/EeloxxN7fGUs
cwxDIbfB3j5iLNcwa9iXxnUzlqsWeVg20Wzj3ANeqwn542j6v6AHd7+ssIofzban6q0aDNxQNnrk
4U9+iBVdKHCINYWD5oqwnEdis9bkbLP8Vz9wyrMqiHWdeyZP3evey2U6cC2ayjZSM+ClK8YSu8Nq
SIOyzmPqb0QZwLePIyeH088L1+nWMX6aYA98z0acxaHogvUhKdzH1ZSrpXi8ppuVbriyM9IpS5Sh
sKR3Yfo05O5yJfHgqpGk4eBwcvFL2xZku9bsJ+U/F5HQD+OhYFqTPgP07zKHRcjHBylRM9caUJkp
pkU14WHTNl0h1xQmraDA2F8z75nNbmlH2xj0C8/se82xOnS6Lt3vlDjU8+oqooMHY7ZTXETN3QpM
XF4MEkqXfBnEGBcpO441719mW72QIORigYJQZ3TWT/FUFSCqasB6NpcQOjaR6uFtjEzcTob81IHA
mGutw6o41QPVbz06yxTCYgbLSyg1hbx6eLv6CIR04adzXpu0KNP1Fr2Ld3rdLTkwFfn9OfYU7zTu
p5zgt0qN967i68O3HLQhSYkELvTWkCJ011xsjcBPxzi7IJsmsSqrhQ/eDBB4PtrJkkD1FTah8N1A
D+xTjA2t15L/f3w7kNByZ3DnkDuVbwvW/k1+K5RRPKIxOgP2iA2X+vjMU2r2fGbcfDtdYL8Kexe4
6vjC5vDE19Oc8IKboGcXuUX/k7nyakyoaPPilIhVNUqLfTHC0vGKaaPN2xoUAXItrP1M3EXN/r4H
VA38Gyc7Tlze0jMNFJexwkvDSnyrAkpVUqkeVD6vEqzbT+ObkLZsiht0V2vNp1yXGSOEEsAMBXb7
BJpXfcxlus6M37tzFS3alQKrDRAGyyjOyQ6TW6OpkXGFkEtrRlkVDxCkNlk+Il3ZtTdwrv7aGdRx
AbtNFScoVxDu76i4A8/JX3nZOvDswYYvQcrpFNxwmQsF6mBqvbqKYHT2VOzev2kpYtkL2TOiX7Nq
PtO9bWHpm1BX6vWjSn/4x9Imk10hLoijy/4HPaILyVxahhFy2sij/YFcprYRR+tuLwuG+Ga9R37R
cWvKFa/ZmKnujNa1Ryu55CIflR7bT9rvOp6ivGvhYB6kxMbrIyh1FkL70S5ZodtaSZCDW8P2UunB
4GNbJpMJHpYwGuJRwiw7SYrrydjD8gficz+oRWCVLRAgf40osh69c2OtZ3e2zvqelEy7Yu5tnZBU
OW3fgNJe9D9+VRGhj+/XyA5t2By1eyX+8fyi4MqITr6iPWfxXBY449L0D9ttm1T+WmF/u3cKGjaU
CSDYYL1HjRS/o/EpZRfl6BLKYaCvhoaEaTbAzCjJera85ojttXVJYx6YbT1UalThN1/3RSVPdLp+
42ew8ObP+lS3gdod9a9Ng1yhp+8V1EMc5YpSzKPNFX8XcjX9KHUa/n17TRxTNDE0kD8TolD5zRwZ
ODlGy8ZITnZMn4GEqks4r7O0mhcudLzYPkMETNr850/01b/ASSpiRojFJka/INNoREjaWLJ74fE4
+tJvhknVNVQVV4qHJPRsNXccmvoScr+loMuXqWjDPRlM/+QGrKEKMSLZkDYrvk6jtr4c9wqMW02C
K9V39LRnE2xnRmMEdwa7n8HeOG5hPz8AQG2Bhzu1c7yDWmc/3Ykobh2jg4BuQAjRlDRcXUlKzlTw
t5TsCQSoq7BAzfwjcz3aMOKrrQW7ksbme5wpOwNFaIq+DBYj5aYPmwV0pwJ5dJyUtePhi7e/zcOX
QdpolpBVO+4+FVcthMKVcOmKNQDRKA0n9u/msI035R5ZorbaQgPi9hVCbuFiyedMg/tuPK2RhhZR
swgUQWT1S1eXEfb4klz51vgndHRO3+I5XDRNOU6e7ebQt3H33MK934xH4wVAjK8cNv75YCLstFbU
OqZ5HsoER84pxBclnhjNa+FPtZdZHc9uzjrQh1yrvj+oOmuwdERj298hBZJCpofJNLvPM9K1um3I
yyhhj2MRsJGYBbg9rnRw8qQPJj5ndpLQHFdXTyeHMHNriBDz3R38PB1fTrNonf0ip/5dVNqYlHT5
tYjN4/ocH4ziIsN2I5159uZF0sibVoWCQtJtvHBDzRIj2sxhbbHk+9/Zi64C6DVyLnFDx1A9rW3f
ic4SYcbHCyoJrbE3KwTn15ojs8+EXrNLs+DMnii/xACis7SZ9rVsz0/XZjb2/bW65Xt3wM279QUB
uAcRXRgdwWRs6qZCcDhtMiE4rpMiuoX4l2pB7OZqyopQA0ve7n8Snfl+TACSB0mlOcCAZ8mmdVyf
0+TV4yrYdPfwZKLIYZhLZ9BPCEk1UoFtlwz8kqgqsBpzoWjo0LVoTELDkIckqZ6KenmQon5nyka9
3cMhKvn1OCGPOUhshcT6U1PQzxFZ2K8Gk9pBxITDNbR0cWSx1sTfhz725wrkjSef5ng3udcuT0yu
xmvao/4ZlAbbEeweFqAW2tlQmi4ALhYSe6BXMhTU9ytBxv4NQlTxzLCXYd+I2vwpw4HbqtaJoCGn
nuNMMvbvBORkZQ8wyhnmsYYHFBhKorbMzBmkVQJRVQxPFnrBUuLTaIrcLAjduIlmqfbJzvCUmYSo
m6AfWk6nugns9LkxvdrvE6mWxn8ZZAmtoZIQVed16w6iFTSjMWHSlCrPXHMJHpqtbh1s8R1oOQK8
UcW6BQcpDlLWRdfw6x1keea2runqnoWMKJNzLgTu+mRAs405/qKC5+tabl1y/EuGhNhsYbqhzEOo
nThq8kpSH1nn1XjCAGV+ekQoiJEnvklzo54piElCE1ZAl3+yuhDby3uGfp+4gYakAnGi1NuTxCCT
NyTSxUWnYiGNDfyH9sJNCljhanKN4pTMr2FNAYUtP0epqkF1XtvasCtLztJIRVQkDYQIYQjWEvhE
Ha03w35Be8y/8ozDCq4hMxwX2oPFsldjeQq9qDtGSLSphxoe5BhXrZGj1a6lebiInWFLybBCOcEm
m6zeUCalbQmjmke+TmlPo0+ACjFuW7ujSZPCw1BXvTdrwfTlbhu3plEuvCmceEb7Uf9VaiAbQkdA
PCWBFAwdexRRXSOZ1ai1CtRnb3SWgeyGykMGEkCGYRVOHfbtEKdnFSSqC2rcPeMJAXwzGEbHYjRr
Io/iBZ8amZx/60NcpIvjLxbermE/oWcOwIShomjdTl6vMCWJnV89vmEYoBMR2yXd4fETBZ7z8//h
GACwC+xHFch/RXzpHkGNQ+Ivps0LPx7BU0pkbumQoHigFCWrRK/JMDyYte/gdcGc03PgqgDUZkYZ
DHXRXwz5aW5pZqLAdmtrKeGurfyJ30/CgR0RYoN869tKMOwpvL7mYqBdb3nbhktUu/jRSkZs9r2n
h/Op3cIf0B9ZwK1vMD8zlTB/cjKySW6DpFyv2KMm0jnjdA46DKtlqncsiCE751ITLXUsNOhpYEwB
1sGHJfQWbplGyRf+ytwd9ZwzAjwEpf4ktFtK4fA+TVXwMmu/4mdEoayl4k2K2Dr5hCZ/DmG0F6Ye
2flKE/pnC7++1huKWtg5Cc6sJciwXFHi09PBo8p4ovrjMpv3nymK+xCl10Zz3TonTe751F00DkhY
CzY1kXBHn3BZ6MoByKSAmoYQCb+YGiNsAlEuhk0HXl01o9Ry8ySAM3eNlol9NJWSluyiUVi7PIa3
E1wCmSlW4VXFEEIiYlC2TH6hNwEKbonHDvhnU8d3FlzGOaBtYsTsbozJs/k5dyQCqS4Epf79Fvcn
Ct6sd4g+dJ96z0+c33eHS7/qz6dLujblbvA82Oe4TGqFDiG/O8QjRi2iu8BBGx5vPe1gNJRO+Q+E
BUI7/2FZjENQQn8/lPrlnVWRCadZNMiAKknTBeoLK7TGhToTELruNAL3+9A71kcsN3ADWvG255Du
HHn0RoCFNFnWqPB+Ejtm0Y7FoXeebccUCiR2hF/+sfPRcdqrvNmuKCNpiSg7dlfLNYYHTvNGJV9R
I3zMEPxQOMkFTKH6Idakp1P9RcoiTh2pcYDhmsZieQ7OG0Boizq5P61YQnj67/60StaBoElddlqw
FeAjI034ADYPlO2HoMMb+1hwseBb6OvJBdBCBClPAAf5V10jNPwAC4VaNc6dHkxFTEyz67rJ3fmw
6gLd6QQiUDKuSpsqfVem9KvncqePYlN5U4eL1+pI5KIIEhoIQE9zlwpfPvaUaoUxdzb+rhvef5/k
wWyUh+03C/rUKsnyYPyitqfQnOhIC3mZo1pHicHxI+aXxqExDcgGoscN841dpQDHVRXNwywHYJIX
3adM4R7ZlmKRKjV1LxEUBXNwZ+KOlwrrFZtq/k4oo4FKuavL21F+FBWsVMvfHxduu8AH8a73rT3e
s8opKmGuOh4Zy3gTU87j9VK/3zWnewUguczI9DN6semMCPP8Ft5OQqQMBwaD94PWhFb1kgO8ljOQ
g/Ex8K9BnaltXYt5uLqmGxc62K324PkzNl5/Z3aPlGMGfLr8ZqRh7itilbzFqF245FHYc+l4A3Fd
P4yRmeCKbq+t4of9M2KXeAQeHtaNdGc3ImCeeuaAvpVqUQDgJsfvBzsw1Gn/zhXsyDFzYQ6WtAfU
PwLwJnd/fBYJnuXSE1Gi/4ywLBSoO8LXVen4aUt5Mc9a8DGHiRz5c7++Lt4P5CtJHTIdGX+1W/+V
nEbn+X4mCTNwWFQx7ruoC8TP+uPrW6fDeQRm50CW/SxUh0EJpsWS1vbuv5KTJLjs7/92/k6OmG1p
WfG/bUIQXOOuEZkX7tVu11h9c7fMsHz0zGmGjv7KC5Zl5wqSdf1Agc5h0lexjnROWVW4F0uEpjji
QsnmbOWElcViRGPRpIrEhCJdgxGnEWmKgGnvfBFTGV/WnHuD8OcALAmi974E8qQW9yUhDDwzvNXW
5getfP9PuPXAk65eZKzw5/BH3+Kkpf4XObiDw9hnhpz9J/SaLu4qOuvIxh950YCj2chIGMyytoOT
R4AhUyJcoOmyjUrk1oFlkzYBUp94LfgS97434DRaMNXlQQ9/HomTKQpUKphWyBcu5X5y/RVMMHFE
AxbWhVPMymBqgBG6swDAXYzTnIZxdF52qgI/ikdBswbelWtTzfmsfvn6U4dG30NVgsWwk/ki01Kv
FHVcaZebvMdpPaCZc3mhg0hbVctfeztwGYnWKX7hplR9MTRskb61j+0eltAgnl4sMWX+RDnHW2a3
YXqDJe1Nhhuj5X7x8bWClbpS2HWfJzPq8RD7+lfQH64x99kIBo0J+6+DPjw0yF6XZkYSgj9TPSv4
lb29/6DTxXskFTxgno7aCyvtwR+JQKjGsD2aDq4YXZh08PYnGROHIPO71ZYxYXDzLFtSG8z94/V0
tZJtYZRPBBdxjNpV9q8NYniuZKQwpgM+M34yQ+wC/+291/+Bsda7Tjk64WL+2L8BNChZ11zIACox
BabHvMeqytU5loldN1aDQUx0hiz2/mm8nrd67u1blDTrthTX/4JYw/rY8tvEmSXjr1GZGn6tQg4c
gQX8v/9ZLdNH8x/gFIMQpkL4fViMA5xcJaqF0lDXtWuI0G/ELgnJeoGftJQ4yxsdZrUWNf0VIJdV
ULg43g59L/ASJWdKqMoyA/J22MoJLrR8rrQ8+cI3iSnpffK2Z5QqDXrkxcOZr0dsI4/XCXvc7XzH
u3yRrcpB4oaPH81SgIurvTuq9tllvdbgsYGmoNoOj5kxVR1Q+nw/0pUqC/ho89lkrYiZw7GvKj+3
tWzhip+XJcfcs9ZsLDXJElCCxLQOHKMcD5bdzXKA+8iFiyK7hwrMg+hhy58mWMUVi2cOTpaEOc5L
lWJClPXisTFHxYctdTkHHoE2WvNOqEgENa5XxTJo2Rmm7gXWHxpdpteTQMl+dKaPpiuMiGSZPZb/
6mxuv4huXubHNf+hr2KqNOJh7ANW6xvIcxR9etW3GRT78AEY3He6Qx09uIRtiPtoIagNMONJNlt0
w6FdDVXvWSPaN7Z3bXOHmHPwSJxrydrohiLkm8FAxyDB+usF3/qZ+I+g2VDa6vvo1kvoJcquzee/
4IsePMUl7FLoAvyQyXQ3G0CBPc2pp0SWdscNCk9S52CWX7FdIpgAeEzmo858rXXdUrA9vcCHpuPY
uZqPIgZ+GwuMpbDOf6311kurqnL1gdeAnXahKNX5ZsJgr92vYyjbs1yrOGIEdLSHUPevMbppdyOT
yrtJ/T2fvZ2f0vHvsFtzCKuAq816M+ccohR9E8yKyhrrQk8tvJ+0CF0qMxXp9TfBy0fsLjLY+wrh
hFBPgdmvCBb25OnESPqVLiXaP0L8BzonZYRBeeg6CrjMTEPERilxli3FlHH4rNlezuDhtHRnYv0B
/ukjiN76lyopnFGyFDb3UN9n66aZLe146PcloybXMwdMSkNBOyooJunp8aydn0qWXYRMue2jk8pT
hbNQz1T+/ky+Hck2Mrfkg0AJqC2ZeTs1rN7R3zkDJN8DgpFoiUfpaIXYZS4IFlqxpe7UndsEtxHH
giMpMSk9CvoJvF08PGVEYDy9LeX+L1/WQQtzVETaLbFmVjK76Fj82+gT8SfqCUBV9HRFhsF+UAd5
9yYCdld7o3G2cv3jK6f5g4+N5Yf26i2UbDq/c1EknQMMkbnDDBm4zc7iQVr73vkE+L7xv4fZhjG1
k38sThOv8RZ++RV1doa1E3Rmm/rRNyBxTdGgZL+zQP/D8wWD2wDI7kVDORgOyncH8m7D+GbAxGka
6bTE/qz58j1TuxIyunnN0iU34LxdaSnWkmf87rBRWGPt809VtVK9zNkR1YtBkU8nWHLuNm6Rix8h
SwEKbanfsxROz/Hg6ZlRRJBUGQjZs+95s4Q9pK2Gu9k+Nr+zQ7tOVRqtV6PaOFz6u8wkTwNGf8tl
vOkf2sROi9F3DLq2SG4j8pKnu9Q/8lhN5zbE9M8xrFw+LSkqmbUuv5BoYP0PYQXMNoNgXm/4D/a4
wroBam0KnoPrJcOFSIfvEttv8C65WxYRVIui9b64TiYPaaeOrc7OCTcNg3H/5MNG985pAHURyTgG
QdMaICzVIgSwE3vckqhLoQiisYt5LnVJl4UdjXuFEtqb8lXe0bq0hz7sO2b4+m+LM31U6NdGAyAr
WrS1XOqLvlgXtH6H6OsqNyrKTCgoZKSG5j8TfBT0PiXW9uGANRXkho+/jiiNyTIF5ah8uPiESazz
1zQzsbBKvxM8M9yBFcDQiVRlWT/8Q/KJzdSaQa1/2lN0yN/B4t4xMU5MJAc6BrIUZ+QGWgXwHy1z
jlU7opeaCUZzWNOd14vo+F3Fd4YDlZPRYhsfUu6oz9Ut4i7uim3B9vig52FFLL8cSa7/g55sZZNg
zMYTTLTcBs0D2DHu8+0Jpz+FJ16A1eiMaKWtmbtc3DeKE74s41x4eQdgEZBAn7tpkWvJeBavqE18
iehbz4mySbiV8UYVwMELtMWLtf0bFU8qVpLOlHDfjDb+O91eAFZtyeUJ+6QX57awuTnNNNcc3XIF
aNRUPmaob2/1MzmHqhwEDCaGHu701Uzj/xbFgOXQ0JObCC5NfAU91v7sVxbT0UtfPrqRhUbS8ZKP
SzYRPCFTySladVL4ILAfLMQOS6XM2Q8SDsCMnelKap2dWdIApMsNt8mfXZkS4MMuFkdekjYo481D
QiJlLkGl6FH9rb3Nzp8MY4pVptPdP/CFnqTbNxquEFs9U6YqE2QTb58FWar1nYQnStzN/Xk2ZVkw
UimcU6XCJ9UcxTiVLlwALpko88NtPHCtXaQIclPX8FJLzV4Vfg9dt0qbx8RQRRrRMZbcTHATvo6p
pwj9YUifMmraMrRu/h04V3OklbNmb6rjpWbEDod74txlmufZd4BvqeEBkHcjbvjA3cRLVoKeUOtc
JLzMzTjjrnhUNHHUUziwtU4S8YzZ4/Ujiojw7MHvI7HSv6toDezNSQsaQrwbyc1iDXnQd+AeyKYN
J7ruUle3AAD/2vw1A6AD9JjNKTAl3J1MSA38UlC49DyqZyhknFcLebZDFpjbG7RSP0MfEdQtvEtt
Co0hocXioEQp7HH+PRXY1obfsc0hZ3ebjOc3TN4D1V+khxChN0yIjU02I8DWkBMr8pxGlnB0k2O7
tWxwTf0JhksIz/xpppGwOdSyEquo0vHePy+rOcV4zFFnq7z0+BMvxQEVhA5sBLa8n3nrMjX3FWs9
wOFtwgKHv9oD8+SHHAg53+yHKHlUv4iMQd+lzbx28sLrVl8gNTvrjoVcJHmtDUvaNjJnoRQ7lmKg
9jQJltrkRD17bM4fm0vHL0plDX6/ruJv2CNQFFU+VLSs7gyew73ZOTkXx3Ha0blDZBXuJINDLA+Q
XFelzzzjEWd/f5AvrnT6XMlvxfxLi4G0YxsuwYyOqgZIDQxF5KdE/lgL1D+235hXDo43tZ+SVzls
/Rw0fJVoZ0yPLVn43kEmVJXYa4IX7Urfca24V0dwY0099+33OW/JzijR4Dk6vuk28uCpYiqj2eX7
Qs31ltcEVgpiP8lMJvvi1n9x2dOL0qC8LN1GCU7pL1BJM+vBZchU29ef5BXJynT4k8Nb9eX57a3n
dj9vFv85bfFsbCgg1FEWInWDa8PoXeUKczGRGPDjvqd9he72iPEAYs2GjMFtFwIi5ZKjagr9eexW
bFjpmRL/zemndWcmUXJN005jRIJhee7gJ/5hQ7lHTjE3shkmi1afvK3cu+ytqySHRextxJavHVDO
Q036yt40VVNSrAeMWLBlLiR18+yDFymlClw6KpKZjxGg8BcLLcUCCPQodtHUYnHENAefscrYrOeQ
mhoYneTT+2HlrQOJby8cRjv6FihnOXpxM/f+VclZu0aI89NtoRS8sXmqTfloxigiDvS621E2WQA5
kmm69oRK1EaCVtzKEUIWq3IhELJlTKXl3V2TtVGFU1z+uxfuCpZVeVD+j+jRUY+R8rf7PoeoC9z5
nBW4N/TcZZZo2Xild4UJU4rm+MaIrNNhpjkOE61LNJiG9sY7x9j+G0P6nOQqe3YJ0IP/V+QCygqd
Y9uObGys0YYV4nocxMa2Q4RgaDdKbESfSoPEfj+ClNCizQsYAuyxiEM1AgSTEUQX1Qx8N3XjZB1j
+M9iFUYO2NosCQBKSryyvtEuowtsT5jNq6x5mJ6EMNk2nmtY2U/WbujMpGhdQz7ZZJkTUCLPmamx
yvDj2dzpKoO83ZDhKAWR29bKa2rbJ8fb8ZGFn3inzHAvq7yah+jWFhLC4xV/n4D+5xzl8H9Kkh3I
hV/nZnOXTA6l6dySNM3O927FG35u7UYcsMRtyfVTfpFM2WZklgvj9V2eIAWwatr9M8eymEl52jo7
QLenAXRO/WJ2JQ1DnsjfWXzsLcuzp29Ht+KP8vG4DRlVDhFO916G0NBvjcBjoSyxERM/RNzkUJBQ
fFaULyPlfz+rUsMKfqCC3pAPa9IjSVJbNJBT1OMwQT+81eRwLbq78my/KjGaIf5CM9AyMpVADRtV
d3XYU7P9yp2UZJOp+dcBdmBW6dgXUb46wC20epeAsiE4PjcI52RbGH2vvnk6YX9MF/4/4rODSoFa
C4DIpaSrwAABpi8YygAR73BBnh8t1sfVZy+8ohHzfU6yG6a/zJ/TlosLl2SAA+lweGmszfwCpS34
IrtIcGYGhnfldzQaO5dELfOcou3jIuFRSjnyeJfKBR0sOPQA+4ADvFc8fGeKIe1egLLEevzyFyoD
X0/7BppqokpyxE7b6Gf74uli6Jy3G8H+bXQYR/cEJ/itBXN+VvtwaUdBAQqXLUhyic+Bd8e6EvYg
jUmmG59K58l1KEteZZ8azUNajDSnwhS5iR17Zo5e3hnTXQNu/OkUb5qh16ovd1Aur/UQT6TPevNI
/qPpKosLc3i5EoxskE8/ALR+8zveSUd7nPQR+vYYMSXF9W4P9RxGeRhCD/IxTzjrKHFw8TfGhg99
RKS43yQg7wKSJZi6dGDbbiTS6GvfNJXedyhw9D52JP9npt9N6s3Fs51MJrjYYziX8wCcZbg0PepK
H5xTXrhprahso90Wa+Jmx7aoahYPwhqH9y0UvoP7mxNnLUbNvL/o0bPGUjxMaYDuewCxe+1hp0F6
bDWbwQ5sfiAyI/esncFFwu9i5A582CsYJV6MmQx0c5hhsEiF2FbxCA89hOPNzAXd8LwXlgZa5t2Z
FNq5EKi3tiTooWMWoWSRn09TqhrCBcdRT8Dv1gRUCNerYDVaBL85N51USj7Pvd0ve7WaVodK1DUj
h0Otu/YJ18CWC97jOtVY1mCf1pWjS1gBLqlKyGqvrP8xieG5TqvH3L0MNxUtDX+UqV9D+/94ySA0
GssKdoDYuqtxfkd8gB/kHM52xCwG1Zh/oaIIhPq1IBpTwx1LP748U3dYk/zez3mlwbMm8x+3p5Nk
i1mkF70fVP9M5TMPL1pjzLg1K6yAv4j1jkOksVWvC3P+EIZh7T5KgZJ+/jgR28u1+bdaclh10w3e
Tx2lLR05a50/fFdzvNOnFyd87CWxKulFJeOvE7mS/8EawXC1OKCtd0sp6G8pes7Wp7tM9K/L5CiA
xBIysDrxLC2knLII7TbQtITCMc/TKREP7XYSqy5S9W59krccnkujNUBzp1hRc8q+tAWwqr2wLCce
C/1UWk4SIaM9DiRDoKtSFAOPnXAt+2g146wi/Rhw7JJ/8DqeT+GdyjuoNO/B2VDZd54QE9hwE7n6
vvzEl9n3+1dxwu7Z+eA452cPh2yjC1dOFsm82vp2ifS9F70fzd5x/S4qfkN4feZni8pbtEbPP9oq
majxvTKQfNaFlOUYYcRcMyffowKwvYmHorhZsJ8IGVkjQsP49a8k8CljBCKHSMpIrHxhndOZjs6D
1QcAbwYtu6lIr/14G54i+dT4HMrAY35aArMJxgM6JoLygEgS0OHdhmnZHwK6iTzPdSRVOZhjNOAY
xM+89R+d/0IV8CUpzxQVnHcDg8wiwJyIeLm9gVZSPKa4Z1TZVYxJj34ZacYpAN6zDYb5hDztsZVS
H/s6gvLNGeb4NolILP+BsmGjqmyAXI1Tyn8eG2PIo1sBkJEG9JKuK/rhHcftiSwUahM3NUpUtDd7
gkU1N4WLNvbXIAOxoKQfFRX/fPj/iG2QTKMfzDCHbIr+iZ2mqTsJz4BWZRCPbCkMPjcNAh01sL/M
3CTl5wOcr4T/FNdJvCyva3GYO715nWC6aYF/dxUgt2r/4orqs7q/fLJHoLXoekTBs0UGxginP2zz
k6P6XVmjBbZOJf26XSz1Um8VI+Exq+KFybgGn0NlKb9uooY0mgXKeSRl4dyzOUllE88X2kz/um0/
VcodKdK5jhYx4RTcM6ZF9Q4xcSD2fYcsuLheUETzYGUuGpgeTJTNg0kFtvf/mom35DFeZluI6n95
+pom/JlOv2y8Vz4qDSEqXot8A5b1/pw1j9zYaLwMOn6VxCjBfD8+5wYgXEeRi0UzfnUGtSvjvXyI
jO4yXEH+V9RKYzaHvnIH/DBHiN7DGQxmZ4QE/4kpEbEBhU6yFCuXJvZC0es0BPB0/7Uj+/yN6GM/
p4JW6yN9NxWSzL/t0deA9JCKbstPK21xUimHRykLsbR5gii7v63wjIDirorOVHKZsSrr2wvsrdo6
6VaAMLILUG/y4LMjdsYjGqw4KhAZEf2ALntWoaKiqY+ieswIBkbbPF5j0DngxBImpGkLeJjqC0fy
LAr7p04M8SLjFnMl40dZvMByZN4ultnSGAXxS9nWYv59pBkePmMRVud+OXPVtb8swtUst2lWKBDz
1Qozeu0gbIaUKYaowXVkh31VVDPwD3Yd3qYHcllvuVr8LljCsDnlw1O8kna0mxrRhCBUChGxg9KN
8Z0XdNYt1painU0EO9WrC5RbHFVHbHJPwjxuiYd14yAfQ4ugaWgwB30rPVXGOlvPK2GiLEidjgON
Hnw+uWmdpsrKSgv5N2QwEhycCDNt8oCtAx+ODmXN+J75HkcD9YYRH5x5hUMZVv+SOE5C+IPcop74
uXayLbSAT5EMVJcdM8N6cb7/JaZsTPMPeLP82U2kUf4hWaFSwEndqGoIgIO7g7k60W3zw9Tfu4IP
WU5OjNHZkwVEA6VZKh5rkk8wqB8jTQGL+3B3SGrbiDNyDUGeLZgA7ZUz4qjaMOsfTcN5ojPgXpeH
1yQf92/7ftfnRHHOk+MG+fS4joaIsWv8Q5G54FCaETLZ32Ox8I8JbDN5SFVZAe9S0KOuKjePb4ZD
At+d9McFkntJaC/ktZUNdqgDQIuHN5N7QjUIsBgTFEYpcEQnDzOCNzUrMtbO5GRSDgDu+jQbbvrs
U7QBInknn5xMTLZJwNT1LX6yCd+h6PKhNnMa/iF1tEqv8SsO3DlU3h3Nxcw1nBFakvKm8ZIewDq/
oXPvCskmoK+Qi2Ok1uKxt5f92XfteyvYCWCMC24/m8Mpu+F/+aiuBjzpFTSqG97jrywnDEgRK8dG
kSQu6mEQQRYP+TGapTFilmYQRvPJAkBh2ND+KDE8JrsM/pto0N6316pCPpHIAJWh1h+RUu8/q1dZ
jE5XcM/dw7JoZ7rqWxFmSpXllI1frJR/VxFZ1IZZsc4dNUNjE01Y/250VlmWgsDIrj92M3CyBOJd
M3hMz66V78S12pxT1FG23eeV4lt9gej2eY2nFpEjOdM647W9zgyWvM7rSVg2TWF4uOGvng14w1Ym
t8pqpM7kF0NGO0BLUuskeHWHn4V65fGIhPHl8xkAoBVDWiElWcsxp5XJ5OulVorMzIwp+ESbtq3J
32hQwGRhQALhIZZhQda3dDSch4BHoNTeKKLT2MufMGlEj7NPQSL2FRJWue613SGI3yz9YZ8g280m
X+7EmC3Xx54QUQlGHLFARv3TPo+hw3kwtRJDoe7SjCMuxkSn8Kdw2tahZa6u6Lp9/Klc7ZFP1zVx
iHMHHm+hSOMD8/bv6RL/Em2f0bfclZyq0BZ8fJ86xma561XK6mHo9QJsVf1/1CVdoCHOdfgvLr28
OmpA5qjJq1EvwOAZMUdQpQDqlDXNwmYBWjFcfxp33de0x9KkXvvP1C20LX0/a76QYY3Cs+uAta4B
1/0QXxOCunC9qw+ebFtpogDr5c/llVyxn7WTsCQJJfuGheo2r4gy4ABF8RCpQd2fpuKBMe0vfXnm
x0St1hmd1ImbICP6n48CSvpL2gnRVimdzci/nqY6uBhkBAUZ/Xq5RFcYcThdpOKk6/DH+74yMbFA
MA6GX4se/lbj/+dCp4eBAjTMDeYaXzi19Rx86gIydWV20ar+C+UFGFOpJCpva2TuofnGoG9kveBU
/1gS4e9micI9/yaSvHa6g/f0eApnLq6POTtL2HIJvsQCFFLQiNJgpV63bJaKkSE9YxYduq3Ki/t+
S+/Hs7B1G7KzUj7m4H9/CGbpfg99Upp6LuXTceiwsUS5B2l8q0ogMBY5kzRhJ4FooP26WJtLXqSo
8GWgSpcKCg2187JHm7vUaz17BolC0wAHs39tePpGMZglgE+G2I2KNUVRm9iVR/ndMjaIcA1uzgSs
VHsuoG2Hd10KlFi2QdY3ATVrZFBM0gfdS+ITOxIvz72rYYpDZZUt40u5ERCJlqssIfaRS0DVFAcs
TFUsv1RUMX3G2hqTV+QpwWt/Wb8rU/a6zmtFjpMa1taBh+HJaq9/le8Q5lOyIxbt+0XOuqyKU84i
2HzWRnwVJcxz3uXHLsQjNp3QQbSCGPysGL/Nj7TpUDoiqPGVN/PgvqLrQlRLn4e2qK14LJVvQ4kk
llXMNCNzAs6/Adnq01QE94O2PLYpSm75sSvPpczur9aaOhI9vnW67uqzo5tRa1bpm5PCU8rtP6d1
FYJwjtiphxQaI1U6EqVAowpwqOY+kf08LteQO/tioDNjiImpu/drBQIJV5ovneosN9RPNtvFOAmJ
taYoJ5mHqtSFWkYZMp0glXC7Bpt4ZCNA5H8uI+RnBWNRq9u8mKkIByiBeoTVQR15wgobv5s6QyQn
9D1omxAog3LA3W1j/mg102uPPaAjiXtkKzLevnV0iWl94uDw+QhVg2sqE7QXWrOScPFwACRBVFJA
iKPWueMsGFRxkW/LImsOV6Epvefsr5cIxg3672Pp8ruEFLgcT8bHBXKWEYwsWOkpGcqBq/W91GgP
hdmwlYNiRUmqvBSL10TRIY3QcrcivjNGVGWgi/J/56O6hwwcP++o1SZJMB9T0c935fvNmkwOOLv2
y16pirYADWstnEWRaKEJscvhmKbi6DX1bPUV20htyhMmI1f90nUrYZDGJgMQOFULBvff5XuMw/3X
of9oG1bgUk7XIGYFue6k2R/yw6t2ZJA5AdMKvqEB4ySnnkn6ODcDKMmZ4qNxb/tODfWgHyrXErcO
vMNyo0Fbk5R4dbtAfbzT+Zmi08SbHNgn9RPrU7L9xrC8RNLOUbwVS/0ldDmcJkLMKMqhQw++fxlG
xa2DDTAP/GYtWvQLnCMsap6qw9Uv7TrceH2cJ9NNGwgKQhDhBckpsawyR/9rjOPaV5oEqhrHxyoA
ensI7Ugb2GABr6JLp+ukClgaNo3EF2IPqO9anMZHL8i6sc6UrYEWbWpyTh/jKppw26FtoHc16gh8
7ZDQG3nb4QvKpxopiRHkmwyznsLKjLfsYyiEfRfIZg5/To03h8uNNlOZLzwBl7Ycmvj7/vymlZaf
p0q3JLf7qWCWlPCq6vSmCyQef985Y7nWdLID+HyGs6h2wQ8yf2cFW/5cF3AOvIghkYQj7LmyhmUr
jzqoq4vw/QZjhEN2FWBkIxdO8zqssh0G4YmcapdDh0N8JV0RfIIVLt+evyo8QUxkJNPcPh58PH0k
PDbDcKkT81grIqR7mECctYnFG+p7XBuZK7K91Z5TyXdj0DaB4eofEneGeLkUXeNaCWac4gGGNZrW
X6bZfWGJ/8qDZx9V5p1ds+IdbQLTqnXW4f0HIfEB9FipZiK3kIgg7ef1bCsOlzok8ix1b0kPO6rj
JDgaVKAap/0FRRiNZQym1Enni3WRZPOkZN7b+hGs3t5/l3KAxJepoZRRElUGC7AI10RaJlCWTSE8
yF6yydUyzvFt7Z1ukAAMkfV1X1aAPZDH8eTZ02huJxssUcmX7AqmMlaF4ChFQHPf1kzVU0w6iRY5
R+pw6ofoXi4WHDH6psjNP7Bid7QigwlmhHTtjtTGphuWzkdbQVfYgVkIjVSA4i14iwWfShSOytID
tEaftQMIu3y7CxLOjvXBwRyOgOlnOXL56LtoY6yHBe7xBEREYMNJgjK6BpN42s0BngG4ZvSjzHaE
KEVDg7/a7zLTuN4zJRq3YmgR2dCqSqRfWwhDfrqPYpcAKfcRnxOiBlOmBKUAebejnD51Q3BPRWw2
vdQnVosJj9G2roHoQ8yvPQkJzfU9IOFrebArbAcPs1eGVP2iHdaPouqps31/6nwmUpur8piRd9Lf
3Tc/xc2mGc2vq/8UwePnnvxADpmnptCWn+I8mNHfloNGxef9ez/z5LI+sEfa8NbdOWn3f8L8A8jm
+sTIPMEqqL/uyVAmHm60rM1QCOMyXdE2yXjBOflNp4tGGYVeWSqOxAHxSBu9w8Pq/uaXWoVyaB5J
+yZAuaahFaFXVCeMQ0sTIGQlGE+cdQnVvo4NK00RwSWN6gMm5V/a0R62ma2lBl5Z9HsHidpU7B8Q
fl+goy1kVZR88Nj/hcs7YI2+iKa45ylB4npSTngyl4pGkEtvxW0nCxXtAAuQTlsH9bbl/iCXn/y5
SmQs5avMhCZf/tp+YfWgmMZlIgHss9Cas4znZMkoaGRWH4Wy1AYPtua11gCVt3aNVLbeCnO7nq9o
s3MfnH1oeVPrkqsNq5hQwogGOjPUA0Q7bbqc/cGAqOtBs6KE3BYiJexiU6xuL+iCp4giXNCsui70
nDBt65eUq3jQTRl/kTkeKLJmZ0leiDY+ZmZ/tLq33rmGw9mOHrrhFUPT8vIs7Kl5Vxnml7F+zdaC
8szaDjoMK+59Z4J/yyJIL5POqSkQH1MGOMQDvPowLAXO0qn8cSfKYRdbWxz3Xn6wx3Oplc9xhB3M
gNK70D+dSyPdRHlsdFitsNqWOeZ6dyEnFvrulFg9ykUy+/Y9T7jbRcQ6AwYrhi78vVKSz36udP2X
ktJZOBMrTFJCHp5XB89PetSsdmiZQbp4siUwGL87ldzSGRWwVeEt5ZXVHN+g8zoFjsSBN14IwdZM
1Wgc3ztehLbmADYr3xjbAvTMwzajZRLOm8Lqi03N0XvKpRF7h663fnHKA4xiUMk+Sxma79U4axHE
qCYZ5W5FinWaynSNc8as3xtaFSarB7cv
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lXGju3Qcb9WL/AeQ8Sm4nEZ/UYS7MSpREoE36jaE2xO7PIlVfVUOjO506GAMhok4T33TL665+cST
0ZHrTETs7w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Pxu78YXEh5ZT418tpY2vqOW5RHj0e3Wz4/sKvijVCSCV5C2b/sF8U5ff6Mhv7Hqjg6xwpSs8sk1N
GlRkemtuwZ1GiDJIk18Nb/zSPdB5WFyhdcJ/8zkzkcjUaiZBqYMd507UFHWZB7j8YJu4UMXmhNH4
GLAGxvGGUMolv6xG/qQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ljxSYY7vRbj090exqvddeN+RyC1xXv07D31HuGczmgr+bALPlcOglJ8jRKpXXI1URQs7HcT7NEg8
upUPZN1dNDA9CPFiMjm/zqMAuGsegUHwLC/BCvrFJQDnbJkNpGKQt1fshLRFLEpKUQl7rIVksALJ
6h44woZPNpq9JZCRv+Pth+xhsdwbLxdiyGsURJwnZuNZ5nIwcFZ6oBykqPt6JzFIfWjNLUzHxxFI
Qf18tZuV1wDxbc0ypEIo2yc2KvhJW5DZJ5miIKfTe1kQv731D9O2wPMfmI2qy58Wpfu8SxnnxxAl
uJfRowluES1W11XQtOcmsNzcpBZu3BPMy/pZ3Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x6jHQghzuDZIK68RFfDL+vjX6kXxVOJy6Q7IswMX/j++8bPEaDEDc8hNAd/pEaqUekDvthKbv7yy
GhJboHllEcvCJ/Od2ZUGRA97HL/F7oieECp2/d4woMBTT61fEulcVDjTn7+CTCS9qZAw7eaIwjOO
63p4WQit+bUPhQdLog0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DuOcJPbed7YiY+CB175hPD3feUxlmGvfjrAu9LklXTpbK5XbBTkT9u0Tn3T3InFq4tV3a5uZDjmP
Ql0b/HO9yYh/p+j/4AxUANCNSbT55ZPtLewvpwmjJbnoSqEC3AzPBrgRK9zqbmS9PckbaBPaI/Ec
Q0V51iW4eiSyZXoFvnUSq8UXQe64I89KQJR82TMqFmHOt5OvRjoZuyA5bU62TAaMJdL+m6/KcwIS
1rPmSgF2uV0E4EasBwGguUc6lSrzkeAxnIQaygnZZxWGcfMOxSJAAtdlmz7j8dv3YhqGh67HjQzg
XNHb0hnSihe6v/EWOF7rqJObzvQSRiOMNPVjfQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 252192)
`protect data_block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`protect end_protected
|
--
-- Wrapper of gtx2 example
--
-- Author:
-- * Rodrigo A. Melo
--
-- Copyright (c) 2016 Authors and INTI
-- Distributed under the BSD 3-Clause License
--
library IEEE;
use IEEE.std_logic_1164.all;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity Wrapper is
port (
clk_i : in std_logic;
rst_i : in std_logic;
clk_o : out std_logic;
--
rxp_i : in std_logic;
rxn_i : in std_logic;
txp_o : out std_logic;
txn_o : out std_logic;
--
loopback_i: in std_logic;
rx_data_o : out std_logic_vector(15 downto 0);
rx_isk_o : out std_logic_vector(1 downto 0);
tx_data_i : in std_logic_vector(15 downto 0);
tx_isk_i : in std_logic_vector(1 downto 0);
ready_o : out std_logic
);
end entity Wrapper;
architecture Structural of Wrapper is
signal refclk : std_logic_vector(1 downto 0);
signal outclk : std_logic;
signal rx_plllkdet : std_logic;
signal usrclk2 : std_logic;
signal rx_ready, tx_ready : std_logic;
signal loopback : std_logic_vector(2 downto 0);
begin
txoutclk_bufg0_i : BUFG
port map (
I => outclk,
O => usrclk2
);
refclk <= '0' & clk_i;
loopback <= '0' & loopback_i & '0';
gtx_v6_i : entity work.gbt2_gtx
generic map (
GTX_SIM_GTXRESET_SPEEDUP => 1,
GTX_TX_CLK_SOURCE => "RXPLL",
GTX_POWER_SAVE => "0000110100"
)
port map (
LOOPBACK_IN => loopback, -- Near-End PMA Loopback
-- RX 8b10b Decoder
RXCHARISK_OUT => rx_isk_o,
RXDISPERR_OUT => open,
RXNOTINTABLE_OUT => open,
-- RX Comma Detection and Alignment
RXBYTEISALIGNED_OUT => open,
RXENMCOMMAALIGN_IN => '1',
RXENPCOMMAALIGN_IN => '1',
-- RX Data Path interface
RXDATA_OUT => rx_data_o,
RXUSRCLK2_IN => usrclk2,
-- RX Driver
RXN_IN => rxn_i,
RXP_IN => rxp_i,
-- RX PLL Ports
GTXRXRESET_IN => rst_i,
MGTREFCLKRX_IN => refclk,
PLLRXRESET_IN => '0',
RXPLLLKDET_OUT => rx_plllkdet,
RXRESETDONE_OUT => rx_ready,
-- TX 8b10b Encoder Control Ports
TXCHARISK_IN => tx_isk_i,
-- TX Data Path interface
TXDATA_IN => tx_data_i,
TXOUTCLK_OUT => outclk,
TXUSRCLK2_IN => usrclk2,
-- TX Driver
TXN_OUT => txn_o,
TXP_OUT => txp_o,
TXPOSTEMPHASIS_IN => "00000",
TXPREEMPHASIS_IN => "0000",
-- TX PLL Ports
GTXTXRESET_IN => rst_i,
MGTREFCLKTX_IN => refclk,
PLLTXRESET_IN => '0',
TXPLLLKDET_OUT => open,
TXRESETDONE_OUT => tx_ready
);
clk_o <= usrclk2;
ready_o <= rx_ready and tx_ready and rx_plllkdet;
end architecture Structural;
|
-- $Id: bp_swibtnled.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2011- by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: bp_swibtnled - syn
-- Description: Generic SWI, BTN and LED handling
--
-- Dependencies: xlib/iob_reg_i_gen
-- xlib/iob_reg_o_gen
-- genlib/debounce_gen
--
-- Test bench: -
--
-- Target Devices: generic
-- Tool versions: ise 11.4-14.7; viv 2014.4-2015.4; ghdl 0.26-0.33
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-07-01 386 1.0 Initial version, extracted from s3_humanio
------------------------------------------------------------------------------
--
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
use work.xlib.all;
use work.genlib.all;
use work.bpgenlib.all;
-- ----------------------------------------------------------------------------
entity bp_swibtnled is -- generic SWI, BTN and LED handling
generic (
SWIDTH : positive := 4; -- SWI port width
BWIDTH : positive := 4; -- BTN port width
LWIDTH : positive := 4; -- LED port width
DEBOUNCE : boolean := true); -- instantiate debouncer for SWI,BTN
port (
CLK : in slbit; -- clock
RESET : in slbit := '0'; -- reset
CE_MSEC : in slbit; -- 1 ms clock enable
SWI : out slv(SWIDTH-1 downto 0); -- switch settings, debounced
BTN : out slv(BWIDTH-1 downto 0); -- button settings, debounced
LED : in slv(LWIDTH-1 downto 0); -- led data
I_SWI : in slv(SWIDTH-1 downto 0); -- pad-i: switches
I_BTN : in slv(BWIDTH-1 downto 0); -- pad-i: buttons
O_LED : out slv(LWIDTH-1 downto 0) -- pad-o: leds
);
end bp_swibtnled;
architecture syn of bp_swibtnled is
signal RI_SWI : slv(SWIDTH-1 downto 0) := (others=>'0');
signal RI_BTN : slv(BWIDTH-1 downto 0) := (others=>'0');
begin
IOB_SWI : iob_reg_i_gen
generic map (DWIDTH => SWIDTH)
port map (CLK => CLK, CE => '1', DI => RI_SWI, PAD => I_SWI);
IOB_BTN : iob_reg_i_gen
generic map (DWIDTH => BWIDTH)
port map (CLK => CLK, CE => '1', DI => RI_BTN, PAD => I_BTN);
IOB_LED : iob_reg_o_gen
generic map (DWIDTH => LWIDTH)
port map (CLK => CLK, CE => '1', DO => LED, PAD => O_LED);
DEB: if DEBOUNCE generate
DEB_SWI : debounce_gen
generic map (
CWIDTH => 2,
CEDIV => 3,
DWIDTH => SWIDTH)
port map (
CLK => CLK,
RESET => RESET,
CE_INT => CE_MSEC,
DI => RI_SWI,
DO => SWI
);
DEB_BTN : debounce_gen
generic map (
CWIDTH => 2,
CEDIV => 3,
DWIDTH => BWIDTH)
port map (
CLK => CLK,
RESET => RESET,
CE_INT => CE_MSEC,
DI => RI_BTN,
DO => BTN
);
end generate DEB;
NODEB: if not DEBOUNCE generate
SWI <= RI_SWI;
BTN <= RI_BTN;
end generate NODEB;
end syn;
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: rad-:user:AXIinterfacefor65816:5.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY Interface_Master_BD_AXIinterfacefor65816_0_0 IS
PORT (
clk : IN STD_LOGIC;
tru_clk : IN STD_LOGIC;
reset_65816_module : IN STD_LOGIC;
s00_axi_awaddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_awvalid : IN STD_LOGIC;
s00_axi_awready : OUT STD_LOGIC;
s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_wvalid : IN STD_LOGIC;
s00_axi_wready : OUT STD_LOGIC;
s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_bvalid : OUT STD_LOGIC;
s00_axi_bready : IN STD_LOGIC;
s00_axi_araddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_arvalid : IN STD_LOGIC;
s00_axi_arready : OUT STD_LOGIC;
s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_rvalid : OUT STD_LOGIC;
s00_axi_rready : IN STD_LOGIC;
s00_axi_aclk : IN STD_LOGIC;
s00_axi_aresetn : IN STD_LOGIC
);
END Interface_Master_BD_AXIinterfacefor65816_0_0;
ARCHITECTURE Interface_Master_BD_AXIinterfacefor65816_0_0_arch OF Interface_Master_BD_AXIinterfacefor65816_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF Interface_Master_BD_AXIinterfacefor65816_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT AXIinterfacefor65816_v1_0 IS
GENERIC (
C_S00_AXI_DATA_WIDTH : INTEGER; -- Width of S_AXI data bus
C_S00_AXI_ADDR_WIDTH : INTEGER -- Width of S_AXI address bus
);
PORT (
clk : IN STD_LOGIC;
tru_clk : IN STD_LOGIC;
reset_65816_module : IN STD_LOGIC;
s00_axi_awaddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_awvalid : IN STD_LOGIC;
s00_axi_awready : OUT STD_LOGIC;
s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_wvalid : IN STD_LOGIC;
s00_axi_wready : OUT STD_LOGIC;
s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_bvalid : OUT STD_LOGIC;
s00_axi_bready : IN STD_LOGIC;
s00_axi_araddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_arvalid : IN STD_LOGIC;
s00_axi_arready : OUT STD_LOGIC;
s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_rvalid : OUT STD_LOGIC;
s00_axi_rready : IN STD_LOGIC;
s00_axi_aclk : IN STD_LOGIC;
s00_axi_aresetn : IN STD_LOGIC
);
END COMPONENT AXIinterfacefor65816_v1_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF Interface_Master_BD_AXIinterfacefor65816_0_0_arch: ARCHITECTURE IS "AXIinterfacefor65816_v1_0,Vivado 2014.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF Interface_Master_BD_AXIinterfacefor65816_0_0_arch : ARCHITECTURE IS "Interface_Master_BD_AXIinterfacefor65816_0_0,AXIinterfacefor65816_v1_0,{}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S00_AXI_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S00_AXI_RST RST";
BEGIN
U0 : AXIinterfacefor65816_v1_0
GENERIC MAP (
C_S00_AXI_DATA_WIDTH => 32,
C_S00_AXI_ADDR_WIDTH => 7
)
PORT MAP (
clk => clk,
tru_clk => tru_clk,
reset_65816_module => reset_65816_module,
s00_axi_awaddr => s00_axi_awaddr,
s00_axi_awprot => s00_axi_awprot,
s00_axi_awvalid => s00_axi_awvalid,
s00_axi_awready => s00_axi_awready,
s00_axi_wdata => s00_axi_wdata,
s00_axi_wstrb => s00_axi_wstrb,
s00_axi_wvalid => s00_axi_wvalid,
s00_axi_wready => s00_axi_wready,
s00_axi_bresp => s00_axi_bresp,
s00_axi_bvalid => s00_axi_bvalid,
s00_axi_bready => s00_axi_bready,
s00_axi_araddr => s00_axi_araddr,
s00_axi_arprot => s00_axi_arprot,
s00_axi_arvalid => s00_axi_arvalid,
s00_axi_arready => s00_axi_arready,
s00_axi_rdata => s00_axi_rdata,
s00_axi_rresp => s00_axi_rresp,
s00_axi_rvalid => s00_axi_rvalid,
s00_axi_rready => s00_axi_rready,
s00_axi_aclk => s00_axi_aclk,
s00_axi_aresetn => s00_axi_aresetn
);
END Interface_Master_BD_AXIinterfacefor65816_0_0_arch;
|
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : entity fifo_dsn.1clk_fifo
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : entity fifo_dsn.1CLK_FIFO
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : entity 1clk_fifo
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : entity 1CLK_FIFO
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY work;
ENTITY FSM IS
GENERIC (DATA_WIDTH : integer := 19;
NODES : integer := 15);
PORT (
CLK : IN std_logic;
RST : IN std_logic;
GO : IN std_logic;
COMPL : OUT std_logic;
EN_NODES: in std_logic_vector(NODES-1 downto 0);
RESULT : OUT std_logic_vector(DATA_WIDTH downto 0));
END FSM;
ARCHITECTURE FSM_S OF FSM IS
COMPONENT FANTASI IS
PORT (
CLK : IN std_logic;
RST : IN std_logic;
RST_SHIFT : IN std_logic;
EN : IN std_logic;
EN_NODES : IN std_logic_vector(NODES-1 downto 0);
START : IN std_logic;
DIN : IN std_logic;
DONE : OUT std_logic;
COMPLETE : OUT std_logic;
RESULT : OUT std_logic_vector(DATA_WIDTH-1 downto 0));
END COMPONENT;
COMPONENT Generic_accumulator IS
GENERIC (N : integer);
PORT (
CLK : IN std_logic;
RST : IN std_logic;
EN : IN std_logic;
DIN : IN std_logic_vector(N-1 downto 0);
DOUT: OUT std_logic_vector(N downto 0));
END COMPONENT;
type state is (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9);
signal CR, NX: state;
signal en, start, din, rstf, rsts, complete, done, sum : std_logic;
signal res : std_logic_vector(DATA_WIDTH-1 downto 0);
BEGIN
process (CLK,RST)
begin
if (RST='1') then
CR <= S0;
elsif (CLK'event and CLK='1') then
CR <= NX;
end if;
end process;
process (CR, GO, complete, done)
begin
case CR is
when S0 =>
rstf <= '0';
rsts <= '0';
start <= '0';
din <= '0';
en <= '0';
sum <= '0';
NX <= S1;
when S1 =>
rstf <= '1';
rsts <= '1';
start <= '0';
din <= '0';
en <= '0';
sum <= '0';
if GO = '1' then
NX <= S2;
else
NX <= S1;
end if;
when S2 =>
rstf <= '0';
rsts <= '0';
start <= '1';
din <= '1';
en <= '1';
sum <= '0';
NX <= S3;
when S3 =>
rstf <= '0';
rsts <= '0';
start <= '1';
din <= '1';
en <= '1';
sum <= '0';
if (done = '1') then
NX <= S4;
else
NX <= S3;
end if;
when S4 =>
rstf <= '0';
rsts <= '0';
start <= '0';
din <= '0';
en <= '1';
sum <= '1';
NX <= S5;
when S5 =>
rstf <= '1';
rsts <= '0';
start <= '0';
din <= '0';
en <= '1';
sum <= '0';
NX <= S6;
when S6 =>
rstf <= '0';
rsts <= '0';
start <= '0';
din <= '0';
en <= '1';
sum <= '0';
NX <= S7;
when S7 =>
rstf <= '0';
rsts <= '0';
start <= '1';
din <= '0';
en <= '1';
sum <= '0';
NX <= S8;
when S8 =>
rstf <= '0';
rsts <= '0';
start <= '1';
din <= '0';
en <= '1';
sum <= '0';
if (complete = '1') then
NX <= S9;
elsif (done = '1') then
NX <= S4;
else
NX <= S8;
end if;
when S9 =>
rstf <= '0';
rsts <= '0';
start <= '1';
din <= '0';
en <= '1';
sum <= '0';
NX <= S9;
end case;
end process;
TEST_STRUCTURE : FANTASI
PORT MAP(
CLK => CLK,
RST => rstf,
RST_SHIFT => rsts,
EN => en,
EN_NODES => EN_NODES,
START => start,
DIN => din,
DONE => done,
COMPLETE => complete,
RESULT => res);
ACCUMULATOR : Generic_accumulator
GENERIC MAP(DATA_WIDTH)
PORT MAP(
CLK => CLK,
RST => RST,
EN => sum,
DIN => res,
DOUT => RESULT);
COMPL <= complete;
END FSM_S;
|
-- opa: Open Processor Architecture
-- Copyright (C) 2014-2016 Wesley W. Terpstra
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- To apply the GPL to my VHDL, please follow these definitions:
-- Program - The entire collection of VHDL in this project and any
-- netlist or floorplan derived from it.
-- System Library - Any macro that translates directly to hardware
-- e.g. registers, IO pins, or memory blocks
--
-- My intent is that if you include OPA into your project, all of the HDL
-- and other design files that go into the same physical chip must also
-- be released under the GPL. If this does not cover your usage, then you
-- must consult me directly to receive the code under a different license.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.opa_pkg.all;
use work.opa_isa_base_pkg.all;
use work.opa_functions_pkg.all;
use work.opa_components_pkg.all;
entity opa_prefixsum is
generic(
g_target : t_opa_target;
g_width : natural;
g_count : natural);
port(
bits_i : in std_logic_vector(g_width-1 downto 0);
count_o : out t_opa_matrix(g_count-1 downto 0, g_width-1 downto 0);
total_o : out std_logic_vector(g_width-1 downto 0));
end opa_prefixsum;
architecture rtl of opa_prefixsum is
constant c_width : natural := g_width;
constant c_lut_wide : natural := g_target.lut_width;
constant c_num_lut : natural := 2**c_lut_wide;
constant c_max_wide : natural := 3; -- If you increase this, duplicate code below
constant c_max_units : natural := 2**c_max_wide-1;
-- Thank VHDL's restriction on flexible array sub-types for this duplication:
type t_lut_romb is array(c_num_lut-1 downto 0) of std_logic_vector(c_max_wide-1 downto 0);
type t_lut_romu is array(c_num_lut-1 downto 0) of std_logic_vector(c_max_units downto 0);
function f_matrix_to_romb(x : t_opa_matrix) return t_lut_romb is
variable result : t_lut_romb := (others => (others => '0'));
begin
for i in x'range(1) loop
for j in x'range(2) loop
result(i)(j) := x(i,j);
end loop;
end loop;
return result;
end f_matrix_to_romb;
function f_matrix_to_romu(x : t_opa_matrix) return t_lut_romu is
variable result : t_lut_romu;
begin
for i in x'range(1) loop
for j in x'range(2) loop
result(i)(j) := x(i,j);
end loop;
end loop;
return result;
end f_matrix_to_romu;
-- Directly decode the final result to 1-hot
function f_decode_table(num_unit : natural; table : t_lut_romb) return t_opa_matrix is
variable result : t_opa_matrix(c_num_lut-1 downto 0, c_max_units downto 0) := (others => (others => '0'));
variable row : unsigned(c_max_wide-1 downto 0);
begin
for i in result'range(1) loop
row := unsigned(table(i));
for b in 0 to num_unit-1 loop
result(i, b) := f_opa_bit(row = to_unsigned(b, row'length));
end loop;
result(i, num_unit) := f_opa_lt(row, num_unit);
end loop;
return result;
end f_decode_table;
---------------------------------------------------------------------------------------
-- Count the # of ones, saturated up to the specified bits
function f_compress_table(bits : natural) return t_opa_matrix is
variable result : t_opa_matrix(c_num_lut-1 downto 0, c_max_wide-1 downto 0) := (others => (others => '0'));
variable input : unsigned(c_lut_wide-1 downto 0);
variable count : unsigned(bits-1 downto 0);
constant ones : unsigned(bits-1 downto 0) := (others => '1');
begin
for i in result'range(1) loop
input := to_unsigned(i, input'length);
count := (others => '0');
for j in input'range loop
if count /= ones and input(j) = '1' then
count := count + 1;
end if;
end loop;
for b in 0 to bits-1 loop
result(i,b) := count(b);
end loop;
end loop;
return result;
end f_compress_table;
constant c_compress_rom3 : t_lut_romb := f_matrix_to_romb(f_compress_table(3));
constant c_compress_rom2 : t_lut_romb := f_matrix_to_romb(f_compress_table(2));
constant c_compress_rom1 : t_lut_romb := f_matrix_to_romb(f_compress_table(1));
constant c_compress_decode_rom7 : t_lut_romu := f_matrix_to_romu(f_decode_table(7, c_compress_rom3));
constant c_compress_decode_rom6 : t_lut_romu := f_matrix_to_romu(f_decode_table(6, c_compress_rom3));
constant c_compress_decode_rom5 : t_lut_romu := f_matrix_to_romu(f_decode_table(5, c_compress_rom3));
constant c_compress_decode_rom4 : t_lut_romu := f_matrix_to_romu(f_decode_table(4, c_compress_rom3));
constant c_compress_decode_rom3 : t_lut_romu := f_matrix_to_romu(f_decode_table(3, c_compress_rom2));
constant c_compress_decode_rom2 : t_lut_romu := f_matrix_to_romu(f_decode_table(2, c_compress_rom2));
constant c_compress_decode_rom1 : t_lut_romu := f_matrix_to_romu(f_decode_table(1, c_compress_rom1));
function f_compress(bits : natural; x : std_logic_vector) return std_logic_vector is
constant bad : std_logic_vector(c_max_wide-1 downto 0) := (others => 'X');
begin
if f_opa_safe(x) = '1' then
assert (bits >= 1 and bits <= c_max_wide) report "unsupported bit width" severity failure;
if bits = 3 then return c_compress_rom3(to_integer(unsigned(x))); end if;
if bits = 2 then return c_compress_rom2(to_integer(unsigned(x))); end if;
if bits = 1 then return c_compress_rom1(to_integer(unsigned(x))); end if;
end if;
return bad;
end f_compress;
function f_compress_decode(num_unit : natural; x : std_logic_vector) return std_logic_vector is
constant bad : std_logic_vector(c_max_units downto 0) := (others => 'X');
begin
if f_opa_safe(x) = '1' then
assert (num_unit >= 1 and num_unit <= c_max_units) report "unsupported unit count" severity failure;
if num_unit = 7 then return c_compress_decode_rom7(to_integer(unsigned(x))); end if;
if num_unit = 6 then return c_compress_decode_rom6(to_integer(unsigned(x))); end if;
if num_unit = 5 then return c_compress_decode_rom5(to_integer(unsigned(x))); end if;
if num_unit = 4 then return c_compress_decode_rom4(to_integer(unsigned(x))); end if;
if num_unit = 3 then return c_compress_decode_rom3(to_integer(unsigned(x))); end if;
if num_unit = 2 then return c_compress_decode_rom2(to_integer(unsigned(x))); end if;
if num_unit = 1 then return c_compress_decode_rom1(to_integer(unsigned(x))); end if;
end if;
return bad;
end f_compress_decode;
---------------------------------------------------------------------------------------
-- Combine subproblem sums
function f_combine_table(bits : natural) return t_opa_matrix is
constant c_parts : natural := c_lut_wide/bits;
variable result : t_opa_matrix(c_num_lut-1 downto 0, c_max_wide-1 downto 0) := (others => (others => '0'));
variable shf : integer;
variable sum : integer;
variable bin : unsigned(bits-1 downto 0);
begin
for i in result'range(1) loop
-- Determine the sum of the fields
sum := 0;
shf := i;
for j in 0 to c_parts-1 loop
sum := sum + (shf mod 2**bits);
shf := shf / 2**bits;
end loop;
-- Saturate the arithmetic and convert to unsigned
if sum >= 2**bits then sum := 2**bits-1; end if;
bin := to_unsigned(sum, bits);
-- Split result into LUT tables
for b in 0 to bits-1 loop
result(i,b) := bin(b);
end loop;
end loop;
return result;
end f_combine_table;
constant c_combine_rom3 : t_lut_romb := f_matrix_to_romb(f_combine_table(3));
constant c_combine_rom2 : t_lut_romb := f_matrix_to_romb(f_combine_table(2));
constant c_combine_rom1 : t_lut_romb := f_matrix_to_romb(f_combine_table(1));
constant c_combine_decode_rom7 : t_lut_romu := f_matrix_to_romu(f_decode_table(7, c_combine_rom3));
constant c_combine_decode_rom6 : t_lut_romu := f_matrix_to_romu(f_decode_table(6, c_combine_rom3));
constant c_combine_decode_rom5 : t_lut_romu := f_matrix_to_romu(f_decode_table(5, c_combine_rom3));
constant c_combine_decode_rom4 : t_lut_romu := f_matrix_to_romu(f_decode_table(4, c_combine_rom3));
constant c_combine_decode_rom3 : t_lut_romu := f_matrix_to_romu(f_decode_table(3, c_combine_rom2));
constant c_combine_decode_rom2 : t_lut_romu := f_matrix_to_romu(f_decode_table(2, c_combine_rom2));
constant c_combine_decode_rom1 : t_lut_romu := f_matrix_to_romu(f_decode_table(1, c_combine_rom1));
function f_combine(bits : natural; x : std_logic_vector) return std_logic_vector is
constant bad : std_logic_vector(c_max_wide-1 downto 0) := (others => 'X');
begin
if f_opa_safe(x) = '1' then
assert (bits >= 1 and bits <= c_max_wide) report "unsupported bit width" severity failure;
if bits = 3 then return c_combine_rom3(to_integer(unsigned(x))); end if;
if bits = 2 then return c_combine_rom2(to_integer(unsigned(x))); end if;
if bits = 1 then return c_combine_rom1(to_integer(unsigned(x))); end if;
end if;
return bad;
end f_combine;
function f_combine_decode(num_unit : natural; x : std_logic_vector) return std_logic_vector is
constant bad : std_logic_vector(c_max_units downto 0) := (others => 'X');
begin
if f_opa_safe(x) = '1' then
assert (num_unit >= 1 and num_unit <= c_max_units) report "unsupported unit count" severity failure;
if num_unit = 7 then return c_combine_decode_rom7(to_integer(unsigned(x))); end if;
if num_unit = 6 then return c_combine_decode_rom6(to_integer(unsigned(x))); end if;
if num_unit = 5 then return c_combine_decode_rom5(to_integer(unsigned(x))); end if;
if num_unit = 4 then return c_combine_decode_rom4(to_integer(unsigned(x))); end if;
if num_unit = 3 then return c_combine_decode_rom3(to_integer(unsigned(x))); end if;
if num_unit = 2 then return c_combine_decode_rom2(to_integer(unsigned(x))); end if;
if num_unit = 1 then return c_combine_decode_rom1(to_integer(unsigned(x))); end if;
end if;
return bad;
end f_combine_decode;
---------------------------------------------------------------------------------------
function f_satadd_step(num_unit : natural; step : natural; x : t_opa_matrix) return t_opa_matrix is
constant c_bits : natural := f_opa_log2(num_unit+1);
constant c_parts : natural := c_lut_wide/c_bits;
variable chunk : std_logic_vector(c_lut_wide-1 downto 0);
variable row : std_logic_vector(c_max_wide-1 downto 0);
variable unit : std_logic_vector(c_max_units downto 0);
variable recurse : t_opa_matrix(x'range(1), c_max_wide-1 downto 0) := (others => (others => '0'));
variable result : t_opa_matrix(x'range(1), c_max_units downto 0) := (others => (others => '0'));
begin
assert (step < x'length(1)) report "incorrect invocation" severity failure;
for i in x'range(1) loop
chunk := (others => '0');
for j in 0 to c_parts-1 loop
if i - j*step >= x'low(1) then
for b in 0 to c_bits-1 loop
chunk(j*c_bits+b) := x(i-j*step,b);
end loop;
end if;
end loop;
row := f_combine(c_bits, chunk);
unit := f_combine_decode(num_unit, chunk);
for b in row'range loop
recurse(i, b) := row(b);
end loop;
for b in unit'range loop
result(i, b) := unit(b);
end loop;
end loop;
if c_parts*step >= x'length(1) then
return result;
else
return f_satadd_step(num_unit, c_parts*step, recurse);
end if;
end f_satadd_step;
function f_satadd(num_unit : natural; x : std_logic_vector) return t_opa_matrix is
constant c_bits : natural := f_opa_log2(num_unit+1);
variable chunk : std_logic_vector(c_lut_wide-1 downto 0);
variable row : std_logic_vector(c_max_wide-1 downto 0);
variable unit : std_logic_vector(c_max_units downto 0);
variable recurse : t_opa_matrix(x'range(1), c_max_wide-1 downto 0) := (others => (others => '0'));
variable result : t_opa_matrix(x'range(1), c_max_units downto 0) := (others => (others => '0'));
begin
for i in x'range(1) loop
chunk := (others => '0');
for j in 0 to c_lut_wide-1 loop
if i-j >= x'low then
chunk(j) := x(i-j);
end if;
end loop;
row := f_compress(c_bits, chunk);
unit := f_compress_decode(num_unit, chunk);
for b in row'range loop
recurse(i, b) := row(b);
end loop;
for b in unit'range loop
result(i, b) := unit(b);
end loop;
end loop;
if c_lut_wide >= x'length(1) then
return result;
else
return f_satadd_step(num_unit, c_lut_wide, recurse);
end if;
end f_satadd;
function f_shift(x : t_opa_matrix) return t_opa_matrix is
variable result : t_opa_matrix(x'range(1), x'range(2));
begin
for i in x'range(1) loop
for j in x'range(2) loop
if i = x'low(1) then
result(i,j) := f_opa_bit(j = 0 or j = g_count);
else
result(i,j) := x(i-1,j);
end if;
end loop;
end loop;
return result;
end f_shift;
---------------------------------------------------------------------------------------
signal s_bits : std_logic_vector(bits_i'range);
signal s_sum : t_opa_matrix(bits_i'range, c_max_units downto 0);
begin
check_width :
assert (g_count <= c_max_units)
report "More units of a single type than supported"
severity failure;
-- Stop synthesis tools from breaking the circuit I built
-- The issue critical path was carefully hand-crafted
pending : for i in bits_i'range generate
lcell : opa_lcell
port map(
a_i => bits_i(i),
b_o => s_bits(i));
end generate;
s_sum <= f_shift(f_satadd(g_count, s_bits));
bits : for b in bits_i'range generate
total_o(b) <= s_sum(b, g_count);
count : for u in count_o'range(1) generate
count_o(u,b) <= s_sum(b,u);
end generate;
end generate;
end rtl;
|
-- NEED RESULT: ARCH00569: Attribute declarations - composite generic subtypes with static initial values passed
-- NEED RESULT: ARCH00569: Attribute declarations - scalar generic subtypes with generic initial values passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00569
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 4.4 (1)
-- 4.4 (7)
--
-- DESIGN UNIT ORDERING:
--
-- GENERIC_STANDARD_TYPES(ARCH00569)
-- ENT00569_Test_Bench(ARCH00569_Test_Bench)
--
-- REVISION HISTORY:
--
-- 19-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00569 of GENERIC_STANDARD_TYPES is
begin
B1 :
block
generic (
i_bit_vector_1, i_bit_vector_2 : bit_vector
:= c_st_bit_vector_1 ;
i_string_1, i_string_2 : string
:= c_st_string_1 ;
i_t_rec1_1, i_t_rec1_2 : t_rec1
:= c_st_rec1_1 ;
i_st_rec1_1, i_st_rec1_2 : st_rec1
:= c_st_rec1_1 ;
i_t_rec2_1, i_t_rec2_2 : t_rec2
:= c_st_rec2_1 ;
i_st_rec2_1, i_st_rec2_2 : st_rec2
:= c_st_rec2_1 ;
i_t_rec3_1, i_t_rec3_2 : t_rec3
:= c_st_rec3_1 ;
i_st_rec3_1, i_st_rec3_2 : st_rec3
:= c_st_rec3_1 ;
i_t_arr1_1, i_t_arr1_2 : t_arr1
:= c_st_arr1_1 ;
i_st_arr1_1, i_st_arr1_2 : st_arr1
:= c_st_arr1_1 ;
i_t_arr2_1, i_t_arr2_2 : t_arr2
:= c_st_arr2_1 ;
i_st_arr2_1, i_st_arr2_2 : st_arr2
:= c_st_arr2_1 ;
i_t_arr3_1, i_t_arr3_2 : t_arr3
:= c_st_arr3_1 ;
i_st_arr3_1, i_st_arr3_2 : st_arr3
:= c_st_arr3_1
) ;
generic map (
open, open,
open, open,
open, open,
open, open,
open, open,
open, open,
open, open,
open, open,
open, open,
open, open,
open, open,
open, open,
open, open,
open, open
) ;
attribute at_bit_vector_1 : bit_vector ;
attribute at_string_1 : string ;
attribute at_t_rec1_1 : t_rec1 ;
attribute at_st_rec1_1 : st_rec1 ;
attribute at_t_rec2_1 : t_rec2 ;
attribute at_st_rec2_1 : st_rec2 ;
attribute at_t_rec3_1 : t_rec3 ;
attribute at_st_rec3_1 : st_rec3 ;
attribute at_t_arr1_1 : t_arr1 ;
attribute at_st_arr1_1 : st_arr1 ;
attribute at_t_arr2_1 : t_arr2 ;
attribute at_st_arr2_1 : st_arr2 ;
attribute at_t_arr3_1 : t_arr3 ;
attribute at_st_arr3_1 : st_arr3 ;
begin
process
variable correct : boolean := true ;
procedure p1 ;
attribute at_bit_vector_1 of p1 : procedure is
c_st_bit_vector_1 ;
attribute at_string_1 of p1 : procedure is
c_st_string_1 ;
attribute at_t_rec1_1 of p1 : procedure is
c_st_rec1_1 ;
attribute at_st_rec1_1 of p1 : procedure is
c_st_rec1_1 ;
attribute at_t_rec2_1 of p1 : procedure is
c_st_rec2_1 ;
attribute at_st_rec2_1 of p1 : procedure is
c_st_rec2_1 ;
attribute at_t_rec3_1 of p1 : procedure is
c_st_rec3_1 ;
attribute at_st_rec3_1 of p1 : procedure is
c_st_rec3_1 ;
attribute at_t_arr1_1 of p1 : procedure is
c_st_arr1_1 ;
attribute at_st_arr1_1 of p1 : procedure is
c_st_arr1_1 ;
attribute at_t_arr2_1 of p1 : procedure is
c_st_arr2_1 ;
attribute at_st_arr2_1 of p1 : procedure is
c_st_arr2_1 ;
attribute at_t_arr3_1 of p1 : procedure is
c_st_arr3_1 ;
attribute at_st_arr3_1 of p1 : procedure is
c_st_arr3_1 ;
procedure p1 is
begin
correct := correct and p1'at_bit_vector_1
= c_st_bit_vector_1 ;
correct := correct and p1'at_string_1
= c_st_string_1 ;
correct := correct and p1'at_t_rec1_1
= c_st_rec1_1 ;
correct := correct and p1'at_st_rec1_1
= c_st_rec1_1 ;
correct := correct and p1'at_t_rec2_1
= c_st_rec2_1 ;
correct := correct and p1'at_st_rec2_1
= c_st_rec2_1 ;
correct := correct and p1'at_t_rec3_1
= c_st_rec3_1 ;
correct := correct and p1'at_st_rec3_1
= c_st_rec3_1 ;
correct := correct and p1'at_t_arr1_1
= c_st_arr1_1 ;
correct := correct and p1'at_st_arr1_1
= c_st_arr1_1 ;
correct := correct and p1'at_t_arr2_1
= c_st_arr2_1 ;
correct := correct and p1'at_st_arr2_1
= c_st_arr2_1 ;
correct := correct and p1'at_t_arr3_1
= c_st_arr3_1 ;
correct := correct and p1'at_st_arr3_1
= c_st_arr3_1 ;
test_report ( "ARCH00569" ,
"Attribute declarations - composite generic subtypes"
& " with static initial values" ,
correct) ;
end p1 ;
begin
p1 ;
wait ;
end process ;
process
variable correct : boolean := true ;
procedure p1 ;
attribute at_bit_vector_1 of p1 : procedure is
i_bit_vector_1 ;
attribute at_string_1 of p1 : procedure is
i_string_1 ;
attribute at_t_rec1_1 of p1 : procedure is
i_t_rec1_1 ;
attribute at_st_rec1_1 of p1 : procedure is
i_st_rec1_1 ;
attribute at_t_rec2_1 of p1 : procedure is
i_t_rec2_1 ;
attribute at_st_rec2_1 of p1 : procedure is
i_st_rec2_1 ;
attribute at_t_rec3_1 of p1 : procedure is
i_t_rec3_1 ;
attribute at_st_rec3_1 of p1 : procedure is
i_st_rec3_1 ;
attribute at_t_arr1_1 of p1 : procedure is
i_t_arr1_1 ;
attribute at_st_arr1_1 of p1 : procedure is
i_st_arr1_1 ;
attribute at_t_arr2_1 of p1 : procedure is
i_t_arr2_1 ;
attribute at_st_arr2_1 of p1 : procedure is
i_st_arr2_1 ;
attribute at_t_arr3_1 of p1 : procedure is
i_t_arr3_1 ;
attribute at_st_arr3_1 of p1 : procedure is
i_st_arr3_1 ;
procedure p1 is
begin
correct := correct and p1'at_bit_vector_1
= c_st_bit_vector_1 ;
correct := correct and p1'at_string_1
= c_st_string_1 ;
correct := correct and p1'at_t_rec1_1
= c_st_rec1_1 ;
correct := correct and p1'at_st_rec1_1
= c_st_rec1_1 ;
correct := correct and p1'at_t_rec2_1
= c_st_rec2_1 ;
correct := correct and p1'at_st_rec2_1
= c_st_rec2_1 ;
correct := correct and p1'at_t_rec3_1
= c_st_rec3_1 ;
correct := correct and p1'at_st_rec3_1
= c_st_rec3_1 ;
correct := correct and p1'at_t_arr1_1
= c_st_arr1_1 ;
correct := correct and p1'at_st_arr1_1
= c_st_arr1_1 ;
correct := correct and p1'at_t_arr2_1
= c_st_arr2_1 ;
correct := correct and p1'at_st_arr2_1
= c_st_arr2_1 ;
correct := correct and p1'at_t_arr3_1
= c_st_arr3_1 ;
correct := correct and p1'at_st_arr3_1
= c_st_arr3_1 ;
test_report ( "ARCH00569" ,
"Attribute declarations - scalar generic subtypes"
& " with generic initial values" ,
correct) ;
end p1 ;
begin
p1 ;
wait ;
end process ;
end block B1 ;
end ARCH00569 ;
--
entity ENT00569_Test_Bench is
end ENT00569_Test_Bench ;
--
architecture ARCH00569_Test_Bench of ENT00569_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.GENERIC_STANDARD_TYPES ( ARCH00569 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00569_Test_Bench ;
|
-------------------------------------------------------------------------------
--! @project Unrolled (3) hardware implementation of Asconv1286
--! @author Michael Fivez
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is an hardware implementation made for my graduation thesis
--! at the KULeuven, in the COSIC department (year 2015-2016)
--! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions',
--! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.all;
entity CipherCore is
generic (
G_NPUB_SIZE : integer := 128; --! Npub size (bits)
G_NSEC_SIZE : integer := 128; --! Nsec size (bits)
G_DBLK_SIZE : integer := 64; --! Data Block size (bits)
G_KEY_SIZE : integer := 128; --! Key size (bits)
G_RDKEY_SIZE : integer := 128; --! Round Key size (bits)
G_TAG_SIZE : integer := 128; --! Tag size (bits)
G_BS_BYTES : integer := 3; --! The number of bits required to hold block size expressed in bytes = log2_ceil(max(G_ABLK_SIZE,G_DBLK_SIZE)/8)
G_CTR_AD_SIZE : integer := 64; --! Maximum size for the counter that keeps track of authenticated data
G_CTR_D_SIZE : integer := 64 --! Maximum size for the counter that keeps track of data
);
port (
clk : in std_logic;
rst : in std_logic;
npub : in std_logic_vector(G_NPUB_SIZE -1 downto 0);
nsec : in std_logic_vector(G_NSEC_SIZE -1 downto 0);
key : in std_logic_vector(G_KEY_SIZE -1 downto 0);
rdkey : in std_logic_vector(G_RDKEY_SIZE -1 downto 0);
bdi : in std_logic_vector(G_DBLK_SIZE -1 downto 0);
exp_tag : in std_logic_vector(G_TAG_SIZE -1 downto 0);
len_a : in std_logic_vector(G_CTR_AD_SIZE -1 downto 0);
len_d : in std_logic_vector(G_CTR_D_SIZE -1 downto 0);
key_ready : in std_logic;
key_updated : out std_logic;
key_needs_update : in std_logic;
rdkey_ready : in std_logic;
rdkey_read : out std_logic;
npub_ready : in std_logic;
npub_read : out std_logic;
nsec_ready : in std_logic;
nsec_read : out std_logic;
bdi_ready : in std_logic;
bdi_proc : in std_logic;
bdi_ad : in std_logic;
bdi_nsec : in std_logic;
bdi_pad : in std_logic;
bdi_decrypt : in std_logic;
bdi_eot : in std_logic;
bdi_eoi : in std_logic;
bdi_read : out std_logic;
bdi_size : in std_logic_vector(G_BS_BYTES -1 downto 0);
bdi_valid_bytes : in std_logic_vector(G_DBLK_SIZE/8 -1 downto 0);
bdi_pad_loc : in std_logic_vector(G_DBLK_SIZE/8 -1 downto 0);
bdi_nodata : in std_logic;
exp_tag_ready : in std_logic;
bdo_ready : in std_logic;
bdo_write : out std_logic;
bdo : out std_logic_vector(G_DBLK_SIZE -1 downto 0);
bdo_size : out std_logic_vector(G_BS_BYTES+1 -1 downto 0);
bdo_nsec : out std_logic;
tag_ready : in std_logic;
tag_write : out std_logic;
tag : out std_logic_vector(G_TAG_SIZE -1 downto 0);
msg_auth_done : out std_logic;
msg_auth_valid : out std_logic
);
end entity CipherCore;
architecture structure of CipherCore is
-- Registers
signal keyreg,npubreg : std_logic_vector(127 downto 0);
-- Control signals AsconCore
signal AsconStart : std_logic;
signal AsconMode : std_logic_vector(3 downto 0);
signal AsconBusy : std_logic;
signal AsconSize : std_logic_vector(2 downto 0);
signal AsconInput : std_logic_vector(63 downto 0);
-- Internal Datapath signals
signal AsconOutput : std_logic_vector(127 downto 0);
begin
-- Morus_core entity
AsconCore : entity work.Ascon_StateUpdate port map(clk,rst,AsconStart,AsconMode,AsconSize,npubreg,keyreg,AsconInput,AsconBusy,AsconOutput);
----------------------------------------
------ DataPath for CipherCore ---------
----------------------------------------
datapath: process(AsconOutput,exp_tag,bdi,AsconInput) is
begin
-- Connect signals to the MorusCore
AsconInput <= bdi;
tag <= AsconOutput;
bdo <= AsconOutput(63 downto 0);
if AsconOutput = exp_tag then
msg_auth_valid <= '1';
else
msg_auth_valid <= '0';
end if;
end process datapath;
----------------------------------------
------ ControlPath for CipherCore ------
----------------------------------------
fsm: process(clk, rst) is
type state_type is (IDLE,INIT_1,INIT_2,PROCESSING,RUN_CIPHER_1,RUN_CIPHER_2,RUN_CIPHER_3,RUN_CIPHER_4,TAG_1,TAG_2);
variable CurrState : state_type := IDLE;
variable firstblock : std_logic;
variable lastblock : std_logic_vector(1 downto 0);
variable afterRunning : std_logic_vector(2 downto 0);
begin
if(clk = '1' and clk'event) then
if rst = '1' then -- synchornous reset
key_updated <= '0';
CurrState := IDLE;
firstblock := '0';
keyreg <= (others => '0');
npubreg <= (others => '0');
AsconMode <= (others => '0'); -- the mode is a register
afterRunning := (others => '0');
else
-- registers above in reset are used
-- Standard values of the control signals are zero
AsconStart <= '0';
bdi_read <= '0';
msg_auth_done <= '0';
bdo_write <= '0';
bdo_size <= "1000";
tag_write <= '0';
npub_read <= '0';
AsconSize <= (others => '0');
FsmLogic: case CurrState is
when IDLE =>
-- if key_needs_update = '1' then -- Key needs updating
-- if key_ready = '1' then
-- key_updated <= '1';
-- keyreg <= key;
-- CurrState := IDLE;
-- else
-- CurrState := IDLE;
-- end if;
if key_needs_update = '1' and key_ready = '1' then -- Key needs updating
key_updated <= '1';
keyreg <= key;
CurrState := IDLE;
elsif bdi_proc = '1' and npub_ready = '1' then -- start of processing
CurrState := INIT_1;
npubreg <= npub;
npub_read <= '1';
AsconMode <= "0010"; -- Mode: initialization
AsconStart <= '1';
else
CurrState := IDLE;
end if;
when INIT_1 =>
if AsconBusy = '1' then
CurrState := INIT_2; -- to INIT_2
else
AsconStart <= '1';
CurrState := INIT_1; -- to INIT_1
end if;
when INIT_2 =>
if AsconBusy = '0' then
CurrState := PROCESSING; -- to PROCESSING
firstblock := '1';
lastblock := "00";
else
CurrState := INIT_2; -- to INIT_2
end if;
-- EVEN SIMPLIFY THIS AFTER YOU SEE IF WORKS
when PROCESSING =>
if lastblock(1) = '1' then -- Generate the Tag
AsconMode <= "0001";
AsconStart <= '1';
CurrState := TAG_1;
elsif bdi_ready = '1' then
if firstblock = '1' and bdi_ad = '0' then -- No associative data (and return in function)
-- SEP_CONST
AsconMode <= "0011";
AsconStart <= '1';
CurrState := PROCESSING;
elsif bdi_ad = '1' then
if bdi_eot = '0' then
-- AD_PROCESS
AsconMode <= "0000";
AsconStart <= '1';
afterRunning := "000";
CurrState := RUN_CIPHER_1;
elsif bdi_eoi = '0' then
if bdi_size = "000" then
-- AD_PROCESS + case2 + SEP_CONST
AsconMode <= "0000";
AsconStart <= '1';
afterRunning := "001";
CurrState := RUN_CIPHER_1;
else
-- AD_PROCESS + SEP_CONST
AsconMode <= "0000";
AsconStart <= '1';
afterRunning := "010";
CurrState := RUN_CIPHER_1;
end if;
else
if bdi_size = "000" then
-- AD_PROCESS + case2 + SEP_CONST + case1
AsconMode <= "0000";
AsconStart <= '1';
afterRunning := "101";
CurrState := RUN_CIPHER_1;
else
-- AD_PROCESS + SEP_CONST + case1
AsconMode <= "0000";
AsconStart <= '1';
afterRunning := "110";
CurrState := RUN_CIPHER_1;
end if;
end if;
else
if bdi_decrypt = '0' then
if bdi_eot = '0' then
-- ENCRYPT
AsconMode <= "0110";
AsconStart <= '1';
afterRunning := "011";
CurrState := RUN_CIPHER_1;
elsif bdi_size = "000" then
-- ENCRYPT + case1
AsconMode <= "0110";
AsconStart <= '1';
afterRunning := "100";
CurrState := RUN_CIPHER_1;
else
-- LAST_BLOCK_ENCRYPT
bdi_read <= '1';
AsconMode <= "0111";
AsconStart <= '1';
afterRunning := "011";
CurrState := RUN_CIPHER_4;
end if;
else
if bdi_eot = '0' then
-- DECRYPT
AsconMode <= "0100";
AsconStart <= '1';
afterRunning := "011";
CurrState := RUN_CIPHER_1;
elsif bdi_size = "000" then
-- DECRYPT + case1
AsconMode <= "0100";
AsconStart <= '1';
afterRunning := "100";
CurrState := RUN_CIPHER_1;
else
-- LAST_BLOCK_DECRYPT
bdi_read <= '1';
AsconMode <= "0101";
AsconStart <= '1';
AsconSize <= bdi_size;
afterRunning := "011";
CurrState := RUN_CIPHER_4;
end if;
end if;
end if;
-- check if tag after (eoi, with special case when no associative data:
-- This is needed, because if no associative data, it will do it's thing and then still the message block is
-- left to be processed
if firstblock = '1' and bdi_ad = '0' then -- lastblock will be set next return in the function
lastblock := "00";
elsif bdi_eoi = '1' and bdi_decrypt = '0' then -- the one after is tag encryption
lastblock := "10";
elsif bdi_eoi = '1' then -- the one after is tag decryption
lastblock := "11";
end if;
-- not firstblock anymore :
firstblock := '0';
end if;
when RUN_CIPHER_1 =>
if AsconBusy = '1' then
CurrState := RUN_CIPHER_2;
bdi_read <= '1';
else
AsconStart <= '1';
CurrState := RUN_CIPHER_1;
end if;
when RUN_CIPHER_3 =>
if AsconBusy = '1' then
CurrState := RUN_CIPHER_2;
else
AsconStart <= '1';
CurrState := RUN_CIPHER_3;
end if;
when RUN_CIPHER_4 =>
if AsconBusy = '1' then
CurrState := RUN_CIPHER_2;
else
CurrState := RUN_CIPHER_4;
end if;
when RUN_CIPHER_2 =>
if AsconBusy = '0' then
-- logic here:
-- a simple variable is used for the cases where after the cipher something special has to be done:
-- activating authregister after associative data = 1
-- resetting of blocknumber after last associative data = 2 (so also do 1's job)
-- giving of output after encryption/decryption = 3 for encryption, 4 for decryption
-- activating checksum after decription of message = 4
-- special giving of output after padded encryption/decryption = 5 and 6 (determines output_sel), also wait with bdi_read
AfterRunLogic: case afterRunning is
when "000" => -- return to IDLE
CurrState := PROCESSING;
when "001" => -- case2 and sep_cont after
AsconMode <= "1001";
AsconStart <= '1';
CurrState := RUN_CIPHER_3;
afterRunning := "010";
when "010" => -- SEPCONSTANT and return to IDLE
AsconMode <= "0011";
AsconStart <= '1';
CurrState := PROCESSING;
when "011" => -- GIVE OUTPUT and return to IDLE
if bdo_ready = '1' then
bdo_write <= '1';
CurrState := PROCESSING;
else
CurrState := RUN_CIPHER_2;
end if;
when "100" => -- GIVE OUTPUT & case1 and return to IDLE
if bdo_ready = '1' then
bdo_write <= '1';
CurrState := PROCESSING;
AsconMode <= "1000";
AsconStart <= '1';
else
CurrState := RUN_CIPHER_2;
end if;
when "101" => -- case2 and case1 and sep_cont after
AsconMode <= "1001";
AsconStart <= '1';
CurrState := RUN_CIPHER_3;
afterRunning := "110";
when "110" => -- case1 and sep_cont after
AsconMode <= "1000";
AsconStart <= '1';
CurrState := RUN_CIPHER_2;
afterRunning := "010";
when others =>
end case AfterRunLogic;
else
CurrState := RUN_CIPHER_2;
end if;
when TAG_1 =>
if AsconBusy = '1' then
CurrState := TAG_2;
else
AsconStart <= '1';
CurrState := TAG_1;
end if;
when TAG_2 =>
if AsconBusy = '0' and lastblock(0) = '0' then -- Generate Tag
if tag_ready = '1' then
tag_write <= '1';
key_updated <= '0';
CurrState := IDLE;
else
CurrState := TAG_2;
end if;
elsif AsconBusy = '0' then -- Compare Tag
if exp_tag_ready = '1' then
msg_auth_done <= '1';
key_updated <= '0';
CurrState := IDLE;
else
CurrState := TAG_2;
end if;
else
CurrState := TAG_2;
end if;
when others =>
end case FsmLogic;
end if;
end if;
end process fsm;
end architecture structure;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator v8.4 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: write_data_fifo_top_wrapper.vhd
--
-- Description:
-- This file is needed for core instantiation in production testbench
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
entity write_data_fifo_top_wrapper is
PORT (
CLK : IN STD_LOGIC;
BACKUP : IN STD_LOGIC;
BACKUP_MARKER : IN STD_LOGIC;
DIN : IN STD_LOGIC_VECTOR(256-1 downto 0);
PROG_EMPTY_THRESH : IN STD_LOGIC_VECTOR(13-1 downto 0);
PROG_EMPTY_THRESH_ASSERT : IN STD_LOGIC_VECTOR(13-1 downto 0);
PROG_EMPTY_THRESH_NEGATE : IN STD_LOGIC_VECTOR(13-1 downto 0);
PROG_FULL_THRESH : IN STD_LOGIC_VECTOR(10-1 downto 0);
PROG_FULL_THRESH_ASSERT : IN STD_LOGIC_VECTOR(10-1 downto 0);
PROG_FULL_THRESH_NEGATE : IN STD_LOGIC_VECTOR(10-1 downto 0);
RD_CLK : IN STD_LOGIC;
RD_EN : IN STD_LOGIC;
RD_RST : IN STD_LOGIC;
RST : IN STD_LOGIC;
SRST : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
WR_EN : IN STD_LOGIC;
WR_RST : IN STD_LOGIC;
INJECTDBITERR : IN STD_LOGIC;
INJECTSBITERR : IN STD_LOGIC;
ALMOST_EMPTY : OUT STD_LOGIC;
ALMOST_FULL : OUT STD_LOGIC;
DATA_COUNT : OUT STD_LOGIC_VECTOR(10-1 downto 0);
DOUT : OUT STD_LOGIC_VECTOR(32-1 downto 0);
EMPTY : OUT STD_LOGIC;
FULL : OUT STD_LOGIC;
OVERFLOW : OUT STD_LOGIC;
PROG_EMPTY : OUT STD_LOGIC;
PROG_FULL : OUT STD_LOGIC;
VALID : OUT STD_LOGIC;
RD_DATA_COUNT : OUT STD_LOGIC_VECTOR(13-1 downto 0);
UNDERFLOW : OUT STD_LOGIC;
WR_ACK : OUT STD_LOGIC;
WR_DATA_COUNT : OUT STD_LOGIC_VECTOR(10-1 downto 0);
SBITERR : OUT STD_LOGIC;
DBITERR : OUT STD_LOGIC;
-- AXI Global Signal
M_ACLK : IN std_logic;
S_ACLK : IN std_logic;
S_ARESETN : IN std_logic;
M_ACLK_EN : IN std_logic;
S_ACLK_EN : IN std_logic;
-- AXI Full/Lite Slave Write Channel (write side)
S_AXI_AWID : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_AWADDR : IN std_logic_vector(32-1 DOWNTO 0);
S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0);
S_AXI_AWSIZE : IN std_logic_vector(3-1 DOWNTO 0);
S_AXI_AWBURST : IN std_logic_vector(2-1 DOWNTO 0);
S_AXI_AWLOCK : IN std_logic_vector(2-1 DOWNTO 0);
S_AXI_AWCACHE : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_AWPROT : IN std_logic_vector(3-1 DOWNTO 0);
S_AXI_AWQOS : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_AWREGION : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_AWUSER : IN std_logic_vector(1-1 DOWNTO 0);
S_AXI_AWVALID : IN std_logic;
S_AXI_AWREADY : OUT std_logic;
S_AXI_WID : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_WDATA : IN std_logic_vector(64-1 DOWNTO 0);
S_AXI_WSTRB : IN std_logic_vector(8-1 DOWNTO 0);
S_AXI_WLAST : IN std_logic;
S_AXI_WUSER : IN std_logic_vector(1-1 DOWNTO 0);
S_AXI_WVALID : IN std_logic;
S_AXI_WREADY : OUT std_logic;
S_AXI_BID : OUT std_logic_vector(4-1 DOWNTO 0);
S_AXI_BRESP : OUT std_logic_vector(2-1 DOWNTO 0);
S_AXI_BUSER : OUT std_logic_vector(1-1 DOWNTO 0);
S_AXI_BVALID : OUT std_logic;
S_AXI_BREADY : IN std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
M_AXI_AWID : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_AWADDR : OUT std_logic_vector(32-1 DOWNTO 0);
M_AXI_AWLEN : OUT std_logic_vector(8-1 DOWNTO 0);
M_AXI_AWSIZE : OUT std_logic_vector(3-1 DOWNTO 0);
M_AXI_AWBURST : OUT std_logic_vector(2-1 DOWNTO 0);
M_AXI_AWLOCK : OUT std_logic_vector(2-1 DOWNTO 0);
M_AXI_AWCACHE : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_AWPROT : OUT std_logic_vector(3-1 DOWNTO 0);
M_AXI_AWQOS : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_AWREGION : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_AWUSER : OUT std_logic_vector(1-1 DOWNTO 0);
M_AXI_AWVALID : OUT std_logic;
M_AXI_AWREADY : IN std_logic;
M_AXI_WID : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_WDATA : OUT std_logic_vector(64-1 DOWNTO 0);
M_AXI_WSTRB : OUT std_logic_vector(8-1 DOWNTO 0);
M_AXI_WLAST : OUT std_logic;
M_AXI_WUSER : OUT std_logic_vector(1-1 DOWNTO 0);
M_AXI_WVALID : OUT std_logic;
M_AXI_WREADY : IN std_logic;
M_AXI_BID : IN std_logic_vector(4-1 DOWNTO 0);
M_AXI_BRESP : IN std_logic_vector(2-1 DOWNTO 0);
M_AXI_BUSER : IN std_logic_vector(1-1 DOWNTO 0);
M_AXI_BVALID : IN std_logic;
M_AXI_BREADY : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
S_AXI_ARID : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_ARADDR : IN std_logic_vector(32-1 DOWNTO 0);
S_AXI_ARLEN : IN std_logic_vector(8-1 DOWNTO 0);
S_AXI_ARSIZE : IN std_logic_vector(3-1 DOWNTO 0);
S_AXI_ARBURST : IN std_logic_vector(2-1 DOWNTO 0);
S_AXI_ARLOCK : IN std_logic_vector(2-1 DOWNTO 0);
S_AXI_ARCACHE : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_ARPROT : IN std_logic_vector(3-1 DOWNTO 0);
S_AXI_ARQOS : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_ARREGION : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_ARUSER : IN std_logic_vector(1-1 DOWNTO 0);
S_AXI_ARVALID : IN std_logic;
S_AXI_ARREADY : OUT std_logic;
S_AXI_RID : OUT std_logic_vector(4-1 DOWNTO 0);
S_AXI_RDATA : OUT std_logic_vector(64-1 DOWNTO 0);
S_AXI_RRESP : OUT std_logic_vector(2-1 DOWNTO 0);
S_AXI_RLAST : OUT std_logic;
S_AXI_RUSER : OUT std_logic_vector(1-1 DOWNTO 0);
S_AXI_RVALID : OUT std_logic;
S_AXI_RREADY : IN std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
M_AXI_ARID : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_ARADDR : OUT std_logic_vector(32-1 DOWNTO 0);
M_AXI_ARLEN : OUT std_logic_vector(8-1 DOWNTO 0);
M_AXI_ARSIZE : OUT std_logic_vector(3-1 DOWNTO 0);
M_AXI_ARBURST : OUT std_logic_vector(2-1 DOWNTO 0);
M_AXI_ARLOCK : OUT std_logic_vector(2-1 DOWNTO 0);
M_AXI_ARCACHE : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_ARPROT : OUT std_logic_vector(3-1 DOWNTO 0);
M_AXI_ARQOS : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_ARREGION : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_ARUSER : OUT std_logic_vector(1-1 DOWNTO 0);
M_AXI_ARVALID : OUT std_logic;
M_AXI_ARREADY : IN std_logic;
M_AXI_RID : IN std_logic_vector(4-1 DOWNTO 0);
M_AXI_RDATA : IN std_logic_vector(64-1 DOWNTO 0);
M_AXI_RRESP : IN std_logic_vector(2-1 DOWNTO 0);
M_AXI_RLAST : IN std_logic;
M_AXI_RUSER : IN std_logic_vector(1-1 DOWNTO 0);
M_AXI_RVALID : IN std_logic;
M_AXI_RREADY : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
S_AXIS_TVALID : IN std_logic;
S_AXIS_TREADY : OUT std_logic;
S_AXIS_TDATA : IN std_logic_vector(64-1 DOWNTO 0);
S_AXIS_TSTRB : IN std_logic_vector(4-1 DOWNTO 0);
S_AXIS_TKEEP : IN std_logic_vector(4-1 DOWNTO 0);
S_AXIS_TLAST : IN std_logic;
S_AXIS_TID : IN std_logic_vector(8-1 DOWNTO 0);
S_AXIS_TDEST : IN std_logic_vector(4-1 DOWNTO 0);
S_AXIS_TUSER : IN std_logic_vector(4-1 DOWNTO 0);
-- AXI Streaming Master Signals (Read side)
M_AXIS_TVALID : OUT std_logic;
M_AXIS_TREADY : IN std_logic;
M_AXIS_TDATA : OUT std_logic_vector(64-1 DOWNTO 0);
M_AXIS_TSTRB : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXIS_TKEEP : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXIS_TLAST : OUT std_logic;
M_AXIS_TID : OUT std_logic_vector(8-1 DOWNTO 0);
M_AXIS_TDEST : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXIS_TUSER : OUT std_logic_vector(4-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
AXI_AW_INJECTSBITERR : IN std_logic;
AXI_AW_INJECTDBITERR : IN std_logic;
AXI_AW_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_AW_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_AW_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AW_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AW_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AW_SBITERR : OUT std_logic;
AXI_AW_DBITERR : OUT std_logic;
AXI_AW_OVERFLOW : OUT std_logic;
AXI_AW_UNDERFLOW : OUT std_logic;
-- AXI Full/Lite Write Data Channel Signals
AXI_W_INJECTSBITERR : IN std_logic;
AXI_W_INJECTDBITERR : IN std_logic;
AXI_W_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXI_W_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXI_W_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_W_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_W_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_W_SBITERR : OUT std_logic;
AXI_W_DBITERR : OUT std_logic;
AXI_W_OVERFLOW : OUT std_logic;
AXI_W_UNDERFLOW : OUT std_logic;
-- AXI Full/Lite Write Response Channel Signals
AXI_B_INJECTSBITERR : IN std_logic;
AXI_B_INJECTDBITERR : IN std_logic;
AXI_B_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_B_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_B_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_B_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_B_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_B_SBITERR : OUT std_logic;
AXI_B_DBITERR : OUT std_logic;
AXI_B_OVERFLOW : OUT std_logic;
AXI_B_UNDERFLOW : OUT std_logic;
-- AXI Full/Lite Read Address Channel Signals
AXI_AR_INJECTSBITERR : IN std_logic;
AXI_AR_INJECTDBITERR : IN std_logic;
AXI_AR_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_AR_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_AR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AR_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AR_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AR_SBITERR : OUT std_logic;
AXI_AR_DBITERR : OUT std_logic;
AXI_AR_OVERFLOW : OUT std_logic;
AXI_AR_UNDERFLOW : OUT std_logic;
-- AXI Full/Lite Read Data Channel Signals
AXI_R_INJECTSBITERR : IN std_logic;
AXI_R_INJECTDBITERR : IN std_logic;
AXI_R_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXI_R_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXI_R_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_R_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_R_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_R_SBITERR : OUT std_logic;
AXI_R_DBITERR : OUT std_logic;
AXI_R_OVERFLOW : OUT std_logic;
AXI_R_UNDERFLOW : OUT std_logic;
-- AXI Streaming FIFO Related Signals
AXIS_INJECTSBITERR : IN std_logic;
AXIS_INJECTDBITERR : IN std_logic;
AXIS_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXIS_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXIS_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXIS_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXIS_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXIS_SBITERR : OUT std_logic;
AXIS_DBITERR : OUT std_logic;
AXIS_OVERFLOW : OUT std_logic;
AXIS_UNDERFLOW : OUT std_logic);
end write_data_fifo_top_wrapper;
architecture xilinx of write_data_fifo_top_wrapper is
SIGNAL wr_clk_i : std_logic;
SIGNAL rd_clk_i : std_logic;
component write_data_fifo_top is
PORT (
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0);
RD_DATA_COUNT : OUT std_logic_vector(13-1 DOWNTO 0);
RST : IN std_logic;
PROG_FULL : OUT std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(256-1 DOWNTO 0);
DOUT : OUT std_logic_vector(32-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end component;
begin
wr_clk_i <= wr_clk;
rd_clk_i <= rd_clk;
fg1 : write_data_fifo_top
PORT MAP (
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
WR_DATA_COUNT => wr_data_count,
RD_DATA_COUNT => rd_data_count,
RST => rst,
PROG_FULL => prog_full,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
end xilinx;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2013.4
-- Copyright (C) 2013 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity sample_iterator_get_offset is
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
indices_stride_req_din : OUT STD_LOGIC;
indices_stride_req_full_n : IN STD_LOGIC;
indices_stride_req_write : OUT STD_LOGIC;
indices_stride_rsp_empty_n : IN STD_LOGIC;
indices_stride_rsp_read : OUT STD_LOGIC;
indices_stride_address : OUT STD_LOGIC_VECTOR (31 downto 0);
indices_stride_datain : IN STD_LOGIC_VECTOR (7 downto 0);
indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 downto 0);
indices_stride_size : OUT STD_LOGIC_VECTOR (31 downto 0);
indices_begin_req_din : OUT STD_LOGIC;
indices_begin_req_full_n : IN STD_LOGIC;
indices_begin_req_write : OUT STD_LOGIC;
indices_begin_rsp_empty_n : IN STD_LOGIC;
indices_begin_rsp_read : OUT STD_LOGIC;
indices_begin_address : OUT STD_LOGIC_VECTOR (31 downto 0);
indices_begin_datain : IN STD_LOGIC_VECTOR (31 downto 0);
indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 downto 0);
indices_begin_size : OUT STD_LOGIC_VECTOR (31 downto 0);
ap_ce : IN STD_LOGIC;
i_index : IN STD_LOGIC_VECTOR (15 downto 0);
i_sample : IN STD_LOGIC_VECTOR (15 downto 0);
indices_samples_req_din : OUT STD_LOGIC;
indices_samples_req_full_n : IN STD_LOGIC;
indices_samples_req_write : OUT STD_LOGIC;
indices_samples_rsp_empty_n : IN STD_LOGIC;
indices_samples_rsp_read : OUT STD_LOGIC;
indices_samples_address : OUT STD_LOGIC_VECTOR (31 downto 0);
indices_samples_datain : IN STD_LOGIC_VECTOR (15 downto 0);
indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 downto 0);
indices_samples_size : OUT STD_LOGIC_VECTOR (31 downto 0);
sample_buffer_size : IN STD_LOGIC_VECTOR (31 downto 0);
sample_length : IN STD_LOGIC_VECTOR (15 downto 0);
ap_return : OUT STD_LOGIC_VECTOR (31 downto 0) );
end;
architecture behav of sample_iterator_get_offset is
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000";
constant ap_const_lv16_0 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000";
signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0";
signal ap_reg_ppiten_pp0_it0 : STD_LOGIC;
signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it4 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it5 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it6 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it7 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it8 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it9 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it10 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it11 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it12 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it13 : STD_LOGIC := '0';
signal i_sample_read_reg_130 : STD_LOGIC_VECTOR (15 downto 0);
signal ap_reg_ppstg_i_sample_read_reg_130_pp0_it1 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_fu_93_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_reg_135 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_reg_ppstg_tmp_reg_135_pp0_it1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_reg_ppstg_tmp_reg_135_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_reg_ppstg_tmp_reg_135_pp0_it3 : STD_LOGIC_VECTOR (31 downto 0);
signal indices_stride_addr_read_reg_145 : STD_LOGIC_VECTOR (7 downto 0);
signal indices_begin_addr_read_reg_165 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_110_p2 : STD_LOGIC_VECTOR (23 downto 0);
signal tmp_7_reg_170 : STD_LOGIC_VECTOR (23 downto 0);
signal grp_fu_110_p0 : STD_LOGIC_VECTOR (15 downto 0);
signal grp_fu_110_p1 : STD_LOGIC_VECTOR (7 downto 0);
signal grp_fu_125_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_125_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_110_ce : STD_LOGIC;
signal grp_fu_125_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_125_ce : STD_LOGIC;
signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_pprstidle_pp0 : STD_LOGIC;
signal grp_fu_110_p00 : STD_LOGIC_VECTOR (23 downto 0);
signal grp_fu_110_p10 : STD_LOGIC_VECTOR (23 downto 0);
component nfa_accept_samples_generic_hw_mul_16ns_8ns_24_4 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (15 downto 0);
din1 : IN STD_LOGIC_VECTOR (7 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (23 downto 0) );
end component;
component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
begin
nfa_accept_samples_generic_hw_mul_16ns_8ns_24_4_U0 : component nfa_accept_samples_generic_hw_mul_16ns_8ns_24_4
generic map (
ID => 0,
NUM_STAGE => 4,
din0_WIDTH => 16,
din1_WIDTH => 8,
dout_WIDTH => 24)
port map (
clk => ap_clk,
reset => ap_rst,
din0 => grp_fu_110_p0,
din1 => grp_fu_110_p1,
ce => grp_fu_110_ce,
dout => grp_fu_110_p2);
nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_U1 : component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8
generic map (
ID => 1,
NUM_STAGE => 8,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst,
din0 => grp_fu_125_p0,
din1 => grp_fu_125_p1,
ce => grp_fu_125_ce,
dout => grp_fu_125_p2);
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it1 assign process. --
ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it1 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it10 assign process. --
ap_reg_ppiten_pp0_it10_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it10 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it10 <= ap_reg_ppiten_pp0_it9;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it11 assign process. --
ap_reg_ppiten_pp0_it11_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it11 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it11 <= ap_reg_ppiten_pp0_it10;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it12 assign process. --
ap_reg_ppiten_pp0_it12_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it12 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it12 <= ap_reg_ppiten_pp0_it11;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it13 assign process. --
ap_reg_ppiten_pp0_it13_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it13 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it13 <= ap_reg_ppiten_pp0_it12;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it2 assign process. --
ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it2 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it3 assign process. --
ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it3 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it4 assign process. --
ap_reg_ppiten_pp0_it4_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it4 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it4 <= ap_reg_ppiten_pp0_it3;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it5 assign process. --
ap_reg_ppiten_pp0_it5_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it5 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it5 <= ap_reg_ppiten_pp0_it4;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it6 assign process. --
ap_reg_ppiten_pp0_it6_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it6 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it6 <= ap_reg_ppiten_pp0_it5;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it7 assign process. --
ap_reg_ppiten_pp0_it7_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it7 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it7 <= ap_reg_ppiten_pp0_it6;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it8 assign process. --
ap_reg_ppiten_pp0_it8_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it8 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it8 <= ap_reg_ppiten_pp0_it7;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it9 assign process. --
ap_reg_ppiten_pp0_it9_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it9 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it9 <= ap_reg_ppiten_pp0_it8;
end if;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
ap_reg_ppstg_i_sample_read_reg_130_pp0_it1 <= i_sample_read_reg_130;
ap_reg_ppstg_tmp_reg_135_pp0_it1(0) <= tmp_reg_135(0);
ap_reg_ppstg_tmp_reg_135_pp0_it1(1) <= tmp_reg_135(1);
ap_reg_ppstg_tmp_reg_135_pp0_it1(2) <= tmp_reg_135(2);
ap_reg_ppstg_tmp_reg_135_pp0_it1(3) <= tmp_reg_135(3);
ap_reg_ppstg_tmp_reg_135_pp0_it1(4) <= tmp_reg_135(4);
ap_reg_ppstg_tmp_reg_135_pp0_it1(5) <= tmp_reg_135(5);
ap_reg_ppstg_tmp_reg_135_pp0_it1(6) <= tmp_reg_135(6);
ap_reg_ppstg_tmp_reg_135_pp0_it1(7) <= tmp_reg_135(7);
ap_reg_ppstg_tmp_reg_135_pp0_it1(8) <= tmp_reg_135(8);
ap_reg_ppstg_tmp_reg_135_pp0_it1(9) <= tmp_reg_135(9);
ap_reg_ppstg_tmp_reg_135_pp0_it1(10) <= tmp_reg_135(10);
ap_reg_ppstg_tmp_reg_135_pp0_it1(11) <= tmp_reg_135(11);
ap_reg_ppstg_tmp_reg_135_pp0_it1(12) <= tmp_reg_135(12);
ap_reg_ppstg_tmp_reg_135_pp0_it1(13) <= tmp_reg_135(13);
ap_reg_ppstg_tmp_reg_135_pp0_it1(14) <= tmp_reg_135(14);
ap_reg_ppstg_tmp_reg_135_pp0_it1(15) <= tmp_reg_135(15);
ap_reg_ppstg_tmp_reg_135_pp0_it2(0) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(0);
ap_reg_ppstg_tmp_reg_135_pp0_it2(1) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(1);
ap_reg_ppstg_tmp_reg_135_pp0_it2(2) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(2);
ap_reg_ppstg_tmp_reg_135_pp0_it2(3) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(3);
ap_reg_ppstg_tmp_reg_135_pp0_it2(4) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(4);
ap_reg_ppstg_tmp_reg_135_pp0_it2(5) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(5);
ap_reg_ppstg_tmp_reg_135_pp0_it2(6) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(6);
ap_reg_ppstg_tmp_reg_135_pp0_it2(7) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(7);
ap_reg_ppstg_tmp_reg_135_pp0_it2(8) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(8);
ap_reg_ppstg_tmp_reg_135_pp0_it2(9) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(9);
ap_reg_ppstg_tmp_reg_135_pp0_it2(10) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(10);
ap_reg_ppstg_tmp_reg_135_pp0_it2(11) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(11);
ap_reg_ppstg_tmp_reg_135_pp0_it2(12) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(12);
ap_reg_ppstg_tmp_reg_135_pp0_it2(13) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(13);
ap_reg_ppstg_tmp_reg_135_pp0_it2(14) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(14);
ap_reg_ppstg_tmp_reg_135_pp0_it2(15) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(15);
ap_reg_ppstg_tmp_reg_135_pp0_it3(0) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(0);
ap_reg_ppstg_tmp_reg_135_pp0_it3(1) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(1);
ap_reg_ppstg_tmp_reg_135_pp0_it3(2) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(2);
ap_reg_ppstg_tmp_reg_135_pp0_it3(3) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(3);
ap_reg_ppstg_tmp_reg_135_pp0_it3(4) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(4);
ap_reg_ppstg_tmp_reg_135_pp0_it3(5) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(5);
ap_reg_ppstg_tmp_reg_135_pp0_it3(6) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(6);
ap_reg_ppstg_tmp_reg_135_pp0_it3(7) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(7);
ap_reg_ppstg_tmp_reg_135_pp0_it3(8) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(8);
ap_reg_ppstg_tmp_reg_135_pp0_it3(9) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(9);
ap_reg_ppstg_tmp_reg_135_pp0_it3(10) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(10);
ap_reg_ppstg_tmp_reg_135_pp0_it3(11) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(11);
ap_reg_ppstg_tmp_reg_135_pp0_it3(12) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(12);
ap_reg_ppstg_tmp_reg_135_pp0_it3(13) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(13);
ap_reg_ppstg_tmp_reg_135_pp0_it3(14) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(14);
ap_reg_ppstg_tmp_reg_135_pp0_it3(15) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(15);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
i_sample_read_reg_130 <= i_sample;
tmp_reg_135(0) <= tmp_fu_93_p1(0);
tmp_reg_135(1) <= tmp_fu_93_p1(1);
tmp_reg_135(2) <= tmp_fu_93_p1(2);
tmp_reg_135(3) <= tmp_fu_93_p1(3);
tmp_reg_135(4) <= tmp_fu_93_p1(4);
tmp_reg_135(5) <= tmp_fu_93_p1(5);
tmp_reg_135(6) <= tmp_fu_93_p1(6);
tmp_reg_135(7) <= tmp_fu_93_p1(7);
tmp_reg_135(8) <= tmp_fu_93_p1(8);
tmp_reg_135(9) <= tmp_fu_93_p1(9);
tmp_reg_135(10) <= tmp_fu_93_p1(10);
tmp_reg_135(11) <= tmp_fu_93_p1(11);
tmp_reg_135(12) <= tmp_fu_93_p1(12);
tmp_reg_135(13) <= tmp_fu_93_p1(13);
tmp_reg_135(14) <= tmp_fu_93_p1(14);
tmp_reg_135(15) <= tmp_fu_93_p1(15);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
indices_begin_addr_read_reg_165 <= indices_begin_datain;
tmp_7_reg_170 <= grp_fu_110_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
indices_stride_addr_read_reg_145 <= indices_stride_datain;
end if;
end if;
end process;
tmp_reg_135(31 downto 16) <= "0000000000000000";
ap_reg_ppstg_tmp_reg_135_pp0_it1(31 downto 16) <= "0000000000000000";
ap_reg_ppstg_tmp_reg_135_pp0_it2(31 downto 16) <= "0000000000000000";
ap_reg_ppstg_tmp_reg_135_pp0_it3(31 downto 16) <= "0000000000000000";
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it1 , ap_reg_ppiten_pp0_it5 , indices_stride_rsp_empty_n , indices_begin_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0)
begin
case ap_CS_fsm is
when ap_ST_pp0_stg0_fsm_0 =>
ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0;
when others =>
ap_NS_fsm <= "X";
end case;
end process;
-- ap_done assign process. --
ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it13, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce)
begin
if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it13) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce)))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it6, ap_reg_ppiten_pp0_it7, ap_reg_ppiten_pp0_it8, ap_reg_ppiten_pp0_it9, ap_reg_ppiten_pp0_it10, ap_reg_ppiten_pp0_it11, ap_reg_ppiten_pp0_it12, ap_reg_ppiten_pp0_it13)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it4) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it5) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it6) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it7) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it8) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it9) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it10) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it11) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it12) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it13))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_reg_ppiten_pp0_it0 <= ap_start;
ap_return <= grp_fu_125_p2;
-- ap_sig_pprstidle_pp0 assign process. --
ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it6, ap_reg_ppiten_pp0_it7, ap_reg_ppiten_pp0_it8, ap_reg_ppiten_pp0_it9, ap_reg_ppiten_pp0_it10, ap_reg_ppiten_pp0_it11, ap_reg_ppiten_pp0_it12)
begin
if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it4) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it5) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it6) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it7) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it8) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it9) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it10) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it11) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it12) and (ap_const_logic_0 = ap_start))) then
ap_sig_pprstidle_pp0 <= ap_const_logic_1;
else
ap_sig_pprstidle_pp0 <= ap_const_logic_0;
end if;
end process;
-- grp_fu_110_ce assign process. --
grp_fu_110_ce_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
grp_fu_110_ce <= ap_const_logic_1;
else
grp_fu_110_ce <= ap_const_logic_0;
end if;
end process;
grp_fu_110_p0 <= grp_fu_110_p00(16 - 1 downto 0);
grp_fu_110_p00 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_i_sample_read_reg_130_pp0_it1),24));
grp_fu_110_p1 <= grp_fu_110_p10(8 - 1 downto 0);
grp_fu_110_p10 <= std_logic_vector(resize(unsigned(indices_stride_addr_read_reg_145),24));
-- grp_fu_125_ce assign process. --
grp_fu_125_ce_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
grp_fu_125_ce <= ap_const_logic_1;
else
grp_fu_125_ce <= ap_const_logic_0;
end if;
end process;
grp_fu_125_p0 <= std_logic_vector(resize(unsigned(tmp_7_reg_170),32));
grp_fu_125_p1 <= indices_begin_addr_read_reg_165;
indices_begin_address <= ap_reg_ppstg_tmp_reg_135_pp0_it3;
indices_begin_dataout <= ap_const_lv32_0;
indices_begin_req_din <= ap_const_logic_0;
-- indices_begin_req_write assign process. --
indices_begin_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it4) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
indices_begin_req_write <= ap_const_logic_1;
else
indices_begin_req_write <= ap_const_logic_0;
end if;
end process;
-- indices_begin_rsp_read assign process. --
indices_begin_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
indices_begin_rsp_read <= ap_const_logic_1;
else
indices_begin_rsp_read <= ap_const_logic_0;
end if;
end process;
indices_begin_size <= ap_const_lv32_1;
indices_samples_address <= ap_const_lv32_0;
indices_samples_dataout <= ap_const_lv16_0;
indices_samples_req_din <= ap_const_logic_0;
indices_samples_req_write <= ap_const_logic_0;
indices_samples_rsp_read <= ap_const_logic_0;
indices_samples_size <= ap_const_lv32_0;
indices_stride_address <= tmp_fu_93_p1;
indices_stride_dataout <= ap_const_lv8_0;
indices_stride_req_din <= ap_const_logic_0;
-- indices_stride_req_write assign process. --
indices_stride_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
indices_stride_req_write <= ap_const_logic_1;
else
indices_stride_req_write <= ap_const_logic_0;
end if;
end process;
-- indices_stride_rsp_read assign process. --
indices_stride_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
indices_stride_rsp_read <= ap_const_logic_1;
else
indices_stride_rsp_read <= ap_const_logic_0;
end if;
end process;
indices_stride_size <= ap_const_lv32_1;
tmp_fu_93_p1 <= std_logic_vector(resize(unsigned(i_index),32));
end behav;
|
-------------------------------------------------------------------------------
-- ____ _____ __ __ ________ _______
-- | | \ \ | \ | | |__ __| | __ \
-- |____| \____\ | \| | | | | |__> )
-- ____ ____ | |\ \ | | | | __ <
-- | | | | | | \ | | | | |__> )
-- |____| |____| |__| \__| |__| |_______/
--
-- NTB University of Applied Sciences in Technology
--
-- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland
-- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland
--
-- Web http://www.ntb.ch Tel. +41 81 755 33 11
--
-------------------------------------------------------------------------------
-- Copyright 2013 NTB University of Applied Sciences in Technology
-------------------------------------------------------------------------------
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE IEEE.math_real.ALL;
USE work.fLink_definitions.ALL;
PACKAGE avalon_watchdog_interface_pkg IS
CONSTANT c_watchdog_interface_address_width : INTEGER := 5;
COMPONENT avalon_watchdog_interface IS
GENERIC (
base_clk: INTEGER := 125000000;
unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0')
);
PORT (
isl_clk : IN STD_LOGIC;
isl_reset_n : IN STD_LOGIC;
islv_avs_address : IN STD_LOGIC_VECTOR(c_watchdog_interface_address_width-1 DOWNTO 0);
isl_avs_read : IN STD_LOGIC;
isl_avs_write : IN STD_LOGIC;
osl_avs_waitrequest : OUT STD_LOGIC;
islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0);
islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0);
oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0);
osl_watchdog_pwm : OUT STD_LOGIC;
osl_granted : OUT STD_LOGIC
);
END COMPONENT;
CONSTANT c_watchdog_subtype_id : INTEGER := 0;
CONSTANT c_watchdog_interface_version : INTEGER := 0;
--addresses
CONSTANT c_usig_base_clk_address: UNSIGNED(c_watchdog_interface_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_number_of_std_registers,c_watchdog_interface_address_width);
CONSTANT c_usig_wd_status_conf_address: UNSIGNED(c_watchdog_interface_address_width-1 DOWNTO 0) := c_usig_base_clk_address + 1;
CONSTANT c_usig_counter_address: UNSIGNED(c_watchdog_interface_address_width-1 DOWNTO 0) := c_usig_wd_status_conf_address + 1;
--status reg bits
CONSTANT c_int_status_bit: INTEGER := 0;
CONSTANT c_int_rearm_bit: INTEGER := 1;
END PACKAGE avalon_watchdog_interface_pkg;
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE IEEE.math_real.ALL;
USE work.avalon_watchdog_interface_pkg.ALL;
USE work.fLink_definitions.ALL;
USE work.watchdog_pkg.ALL;
ENTITY avalon_watchdog_interface IS
GENERIC (
base_clk: INTEGER := 125000000;
unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0')
);
PORT (
isl_clk : IN STD_LOGIC;
isl_reset_n : IN STD_LOGIC;
islv_avs_address : IN STD_LOGIC_VECTOR(c_watchdog_interface_address_width-1 DOWNTO 0);
isl_avs_read : IN STD_LOGIC;
isl_avs_write : IN STD_LOGIC;
osl_avs_waitrequest : OUT STD_LOGIC;
islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0);
islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0);
oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0);
osl_watchdog_pwm : OUT STD_LOGIC;
osl_granted : OUT STD_LOGIC
);
END ENTITY avalon_watchdog_interface;
ARCHITECTURE rtl OF avalon_watchdog_interface IS
TYPE t_internal_register IS RECORD
counter_set_reg : UNSIGNED(c_fLink_avs_data_width-1 DOWNTO 0);
wd_reset_n : STD_LOGIC;
wd_rearm : STD_LOGIC;
wd_counter_changed : STD_LOGIC;
pwm_state : STD_LOGIC;
granted : STD_LOGIC;
global_reset_n : STD_LOGIC;
END RECORD;
SIGNAL ri,ri_next : t_internal_register;
SIGNAL granted : STD_LOGIC;
SIGNAL counter_val : UNSIGNED(c_fLink_avs_data_width-1 DOWNTO 0);
BEGIN
my_wd : watchdog
GENERIC MAP (gi_counter_resolution =>c_fLink_avs_data_width)
PORT MAP (isl_clk,ri.wd_reset_n,ri.counter_set_reg,ri.wd_counter_changed,ri.wd_rearm,counter_val,granted);
-- cobinatoric process
comb_proc : PROCESS (isl_reset_n,ri,isl_avs_write,islv_avs_address,isl_avs_read,islv_avs_write_data,granted,counter_val)
VARIABLE vi : t_internal_register;
BEGIN
-- keep variables stable
vi := ri;
vi.granted := granted;
--standard values
oslv_avs_read_data <= (OTHERS => '0');
vi.wd_reset_n := '1';
vi.global_reset_n := '1';
vi.wd_rearm := '0';
vi.wd_counter_changed := '0';
--avalon slave interface write part
IF isl_avs_write = '1' THEN
IF UNSIGNED(islv_avs_address) = to_unsigned(c_fLink_configuration_address,c_watchdog_interface_address_width) THEN
IF islv_avs_byteenable(0) = '1' THEN
vi.global_reset_n := NOT islv_avs_write_data(0);
END IF;
ELSIF UNSIGNED(islv_avs_address) = c_usig_wd_status_conf_address THEN
IF islv_avs_byteenable(0) = '1' THEN
vi.wd_rearm := islv_avs_write_data(c_int_rearm_bit);
END IF;
ELSIF UNSIGNED(islv_avs_address) = c_usig_counter_address THEN
FOR i IN 0 TO c_fLink_avs_data_width_in_byte-1 LOOP
IF islv_avs_byteenable(i) = '1' THEN
vi.counter_set_reg((i + 1) * 8 - 1 DOWNTO i * 8) := UNSIGNED(islv_avs_write_data((i + 1) * 8 - 1 DOWNTO i * 8));
END IF;
END LOOP;
vi.wd_counter_changed := '1';
IF granted = '1' THEN
vi.pwm_state := NOT vi.pwm_state;
END IF;
END IF;
END IF;
--avalon slave interface read part
IF isl_avs_read = '1' THEN
CASE UNSIGNED(islv_avs_address) IS
WHEN to_unsigned(c_fLink_typdef_address,c_watchdog_interface_address_width) =>
oslv_avs_read_data ((c_fLink_interface_version_length + c_fLink_subtype_length + c_fLink_id_length - 1) DOWNTO
(c_fLink_interface_version_length + c_fLink_subtype_length)) <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_watchdog_id,c_fLink_id_length));
oslv_avs_read_data((c_fLink_interface_version_length + c_fLink_subtype_length - 1) DOWNTO c_fLink_interface_version_length) <= STD_LOGIC_VECTOR(to_unsigned(c_watchdog_subtype_id,c_fLink_subtype_length));
oslv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) <= STD_LOGIC_VECTOR(to_unsigned(c_watchdog_interface_version,c_fLink_interface_version_length));
WHEN to_unsigned(c_fLink_mem_size_address,c_watchdog_interface_address_width) =>
oslv_avs_read_data(c_watchdog_interface_address_width+2) <= '1';
WHEN to_unsigned(c_fLink_number_of_channels_address,c_watchdog_interface_address_width) =>
oslv_avs_read_data <= std_logic_vector(to_unsigned(1,c_fLink_avs_data_width));
WHEN to_unsigned(c_fLink_unique_id_address,c_watchdog_interface_address_width) =>
oslv_avs_read_data <= unique_id;
WHEN c_usig_base_clk_address =>
oslv_avs_read_data <= std_logic_vector(to_unsigned(base_clk,c_fLink_avs_data_width));
WHEN c_usig_wd_status_conf_address =>
oslv_avs_read_data(c_int_status_bit) <= vi.granted;
WHEN c_usig_counter_address =>
oslv_avs_read_data <= std_logic_vector(counter_val);
WHEN OTHERS =>
END CASE;
END IF;
IF isl_reset_n = '0' OR vi.global_reset_n = '0' THEN
vi.counter_set_reg := (OTHERS =>'0');
vi.wd_reset_n := '0';
vi.wd_rearm := '0';
vi.wd_counter_changed := '0';
vi.pwm_state := '0';
vi.granted := '0';
END IF;
--keep variables stable
ri_next <= vi;
END PROCESS comb_proc;
reg_proc : PROCESS (isl_clk)
BEGIN
IF rising_edge(isl_clk) THEN
ri <= ri_next;
END IF;
END PROCESS reg_proc;
osl_avs_waitrequest <= '0';
osl_watchdog_pwm <= ri.pwm_state;
osl_granted <= ri.granted;
END rtl;
|
-------------------------------------------------------------------------------
-- ____ _____ __ __ ________ _______
-- | | \ \ | \ | | |__ __| | __ \
-- |____| \____\ | \| | | | | |__> )
-- ____ ____ | |\ \ | | | | __ <
-- | | | | | | \ | | | | |__> )
-- |____| |____| |__| \__| |__| |_______/
--
-- NTB University of Applied Sciences in Technology
--
-- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland
-- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland
--
-- Web http://www.ntb.ch Tel. +41 81 755 33 11
--
-------------------------------------------------------------------------------
-- Copyright 2013 NTB University of Applied Sciences in Technology
-------------------------------------------------------------------------------
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE IEEE.math_real.ALL;
USE work.fLink_definitions.ALL;
PACKAGE avalon_watchdog_interface_pkg IS
CONSTANT c_watchdog_interface_address_width : INTEGER := 5;
COMPONENT avalon_watchdog_interface IS
GENERIC (
base_clk: INTEGER := 125000000;
unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0')
);
PORT (
isl_clk : IN STD_LOGIC;
isl_reset_n : IN STD_LOGIC;
islv_avs_address : IN STD_LOGIC_VECTOR(c_watchdog_interface_address_width-1 DOWNTO 0);
isl_avs_read : IN STD_LOGIC;
isl_avs_write : IN STD_LOGIC;
osl_avs_waitrequest : OUT STD_LOGIC;
islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0);
islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0);
oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0);
osl_watchdog_pwm : OUT STD_LOGIC;
osl_granted : OUT STD_LOGIC
);
END COMPONENT;
CONSTANT c_watchdog_subtype_id : INTEGER := 0;
CONSTANT c_watchdog_interface_version : INTEGER := 0;
--addresses
CONSTANT c_usig_base_clk_address: UNSIGNED(c_watchdog_interface_address_width-1 DOWNTO 0) := to_unsigned(c_fLink_number_of_std_registers,c_watchdog_interface_address_width);
CONSTANT c_usig_wd_status_conf_address: UNSIGNED(c_watchdog_interface_address_width-1 DOWNTO 0) := c_usig_base_clk_address + 1;
CONSTANT c_usig_counter_address: UNSIGNED(c_watchdog_interface_address_width-1 DOWNTO 0) := c_usig_wd_status_conf_address + 1;
--status reg bits
CONSTANT c_int_status_bit: INTEGER := 0;
CONSTANT c_int_rearm_bit: INTEGER := 1;
END PACKAGE avalon_watchdog_interface_pkg;
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE IEEE.math_real.ALL;
USE work.avalon_watchdog_interface_pkg.ALL;
USE work.fLink_definitions.ALL;
USE work.watchdog_pkg.ALL;
ENTITY avalon_watchdog_interface IS
GENERIC (
base_clk: INTEGER := 125000000;
unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := (OTHERS => '0')
);
PORT (
isl_clk : IN STD_LOGIC;
isl_reset_n : IN STD_LOGIC;
islv_avs_address : IN STD_LOGIC_VECTOR(c_watchdog_interface_address_width-1 DOWNTO 0);
isl_avs_read : IN STD_LOGIC;
isl_avs_write : IN STD_LOGIC;
osl_avs_waitrequest : OUT STD_LOGIC;
islv_avs_write_data : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0);
islv_avs_byteenable : IN STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0);
oslv_avs_read_data : OUT STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0);
osl_watchdog_pwm : OUT STD_LOGIC;
osl_granted : OUT STD_LOGIC
);
END ENTITY avalon_watchdog_interface;
ARCHITECTURE rtl OF avalon_watchdog_interface IS
TYPE t_internal_register IS RECORD
counter_set_reg : UNSIGNED(c_fLink_avs_data_width-1 DOWNTO 0);
wd_reset_n : STD_LOGIC;
wd_rearm : STD_LOGIC;
wd_counter_changed : STD_LOGIC;
pwm_state : STD_LOGIC;
granted : STD_LOGIC;
global_reset_n : STD_LOGIC;
END RECORD;
SIGNAL ri,ri_next : t_internal_register;
SIGNAL granted : STD_LOGIC;
SIGNAL counter_val : UNSIGNED(c_fLink_avs_data_width-1 DOWNTO 0);
BEGIN
my_wd : watchdog
GENERIC MAP (gi_counter_resolution =>c_fLink_avs_data_width)
PORT MAP (isl_clk,ri.wd_reset_n,ri.counter_set_reg,ri.wd_counter_changed,ri.wd_rearm,counter_val,granted);
-- cobinatoric process
comb_proc : PROCESS (isl_reset_n,ri,isl_avs_write,islv_avs_address,isl_avs_read,islv_avs_write_data,granted,counter_val)
VARIABLE vi : t_internal_register;
BEGIN
-- keep variables stable
vi := ri;
vi.granted := granted;
--standard values
oslv_avs_read_data <= (OTHERS => '0');
vi.wd_reset_n := '1';
vi.global_reset_n := '1';
vi.wd_rearm := '0';
vi.wd_counter_changed := '0';
--avalon slave interface write part
IF isl_avs_write = '1' THEN
IF UNSIGNED(islv_avs_address) = to_unsigned(c_fLink_configuration_address,c_watchdog_interface_address_width) THEN
IF islv_avs_byteenable(0) = '1' THEN
vi.global_reset_n := NOT islv_avs_write_data(0);
END IF;
ELSIF UNSIGNED(islv_avs_address) = c_usig_wd_status_conf_address THEN
IF islv_avs_byteenable(0) = '1' THEN
vi.wd_rearm := islv_avs_write_data(c_int_rearm_bit);
END IF;
ELSIF UNSIGNED(islv_avs_address) = c_usig_counter_address THEN
FOR i IN 0 TO c_fLink_avs_data_width_in_byte-1 LOOP
IF islv_avs_byteenable(i) = '1' THEN
vi.counter_set_reg((i + 1) * 8 - 1 DOWNTO i * 8) := UNSIGNED(islv_avs_write_data((i + 1) * 8 - 1 DOWNTO i * 8));
END IF;
END LOOP;
vi.wd_counter_changed := '1';
IF granted = '1' THEN
vi.pwm_state := NOT vi.pwm_state;
END IF;
END IF;
END IF;
--avalon slave interface read part
IF isl_avs_read = '1' THEN
CASE UNSIGNED(islv_avs_address) IS
WHEN to_unsigned(c_fLink_typdef_address,c_watchdog_interface_address_width) =>
oslv_avs_read_data ((c_fLink_interface_version_length + c_fLink_subtype_length + c_fLink_id_length - 1) DOWNTO
(c_fLink_interface_version_length + c_fLink_subtype_length)) <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_watchdog_id,c_fLink_id_length));
oslv_avs_read_data((c_fLink_interface_version_length + c_fLink_subtype_length - 1) DOWNTO c_fLink_interface_version_length) <= STD_LOGIC_VECTOR(to_unsigned(c_watchdog_subtype_id,c_fLink_subtype_length));
oslv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) <= STD_LOGIC_VECTOR(to_unsigned(c_watchdog_interface_version,c_fLink_interface_version_length));
WHEN to_unsigned(c_fLink_mem_size_address,c_watchdog_interface_address_width) =>
oslv_avs_read_data(c_watchdog_interface_address_width+2) <= '1';
WHEN to_unsigned(c_fLink_number_of_channels_address,c_watchdog_interface_address_width) =>
oslv_avs_read_data <= std_logic_vector(to_unsigned(1,c_fLink_avs_data_width));
WHEN to_unsigned(c_fLink_unique_id_address,c_watchdog_interface_address_width) =>
oslv_avs_read_data <= unique_id;
WHEN c_usig_base_clk_address =>
oslv_avs_read_data <= std_logic_vector(to_unsigned(base_clk,c_fLink_avs_data_width));
WHEN c_usig_wd_status_conf_address =>
oslv_avs_read_data(c_int_status_bit) <= vi.granted;
WHEN c_usig_counter_address =>
oslv_avs_read_data <= std_logic_vector(counter_val);
WHEN OTHERS =>
END CASE;
END IF;
IF isl_reset_n = '0' OR vi.global_reset_n = '0' THEN
vi.counter_set_reg := (OTHERS =>'0');
vi.wd_reset_n := '0';
vi.wd_rearm := '0';
vi.wd_counter_changed := '0';
vi.pwm_state := '0';
vi.granted := '0';
END IF;
--keep variables stable
ri_next <= vi;
END PROCESS comb_proc;
reg_proc : PROCESS (isl_clk)
BEGIN
IF rising_edge(isl_clk) THEN
ri <= ri_next;
END IF;
END PROCESS reg_proc;
osl_avs_waitrequest <= '0';
osl_watchdog_pwm <= ri.pwm_state;
osl_granted <= ri.granted;
END rtl;
|
-------------------------------------------------------------------------------
-- Description: Implementation of a 32 x 8 ROM
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity romMemOpA is
port (
addr : in std_logic_vector (2 downto 0);
dataOut : out std_logic_vector (31 downto 0)
);
end romMemOpA;
architecture behavior of romMemOpA is
type romTable is array (0 to 7) of std_logic_vector (31 downto 0);
-- Memory initialization
constant romData : romTable := (
---------|-------|-------|-------
"01000011000001100001000000000000", -- 134.0625
"11000001011010000000000000000000", -- -14.5
"01000000111100000000000000000000", -- 7.5
"11000001100100000000000000000000", -- -18.0
"00000000000000000000000000000000", -- 0
"01111111100000000000000000000000", -- +inf
"00000000110110011100011111011101", -- 2e-38
"01111111000101100111011010011001" -- 2e38
);
begin
process (addr)
begin
dataOut <= romData(to_integer(unsigned(addr)));
end process;
end behavior;
|
----------------------------------------------------------------------
-- Project : LeafySan
-- Module : Light Sensor Module
-- Authors : Florian Winkler
-- Lust update : 01.09.2017
-- Description : Reads a digital light sensor by Grove through an I2C bus
----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.iac_pkg.all;
entity light_sensor is
generic (
CYCLE_TICKS : natural := 50000000 -- 1s
);
port(
clock : in std_ulogic;
reset : in std_ulogic;
-- i2c bus
i2c_clk_ctrl : out std_ulogic;
i2c_clk_in : in std_ulogic;
i2c_clk_out : out std_ulogic;
i2c_dat_ctrl : out std_ulogic;
i2c_dat_in : in std_ulogic;
i2c_dat_out : out std_ulogic;
enabled : in std_ulogic;
value : out unsigned(15 downto 0)
);
end light_sensor;
architecture rtl of light_sensor is
component calc_lux is
port(
clock : in std_ulogic;
reset : in std_ulogic;
channel0 : in unsigned(15 downto 0);
channel1 : in unsigned(15 downto 0);
start : in std_ulogic;
busy : out std_ulogic;
lux : out unsigned(15 downto 0)
);
end component calc_lux;
component i2c_master is
generic (
GV_SYS_CLOCK_RATE : natural := 50000000;
GV_I2C_CLOCK_RATE : natural := 100000;
GW_SLAVE_ADDR : natural := 7;
GV_MAX_BYTES : natural := 16;
GB_USE_INOUT : boolean := false; -- seperated io signals (ctrl, out, in)
GB_TIMEOUT : boolean := true
);
port (
clock : in std_ulogic;
reset_n : in std_ulogic;
-- separated in / out
i2c_clk_ctrl : out std_ulogic;
i2c_clk_in : in std_ulogic;
i2c_clk_out : out std_ulogic;
-- separated in / out
i2c_dat_ctrl : out std_ulogic;
i2c_dat_in : in std_ulogic;
i2c_dat_out : out std_ulogic;
-- interface
busy : out std_ulogic;
cs : in std_ulogic;
mode : in std_ulogic_vector(1 downto 0); -- 00: only read; 01: only write; 10: first read, second write; 11: first write, second read
slave_addr : in std_ulogic_vector(GW_SLAVE_ADDR - 1 downto 0);
bytes_tx : in unsigned(to_log2(GV_MAX_BYTES + 1) - 1 downto 0);
bytes_rx : in unsigned(to_log2(GV_MAX_BYTES + 1) - 1 downto 0);
tx_data : in std_ulogic_vector(7 downto 0);
tx_data_valid : in std_ulogic;
rx_data_en : in std_ulogic;
rx_data : out std_ulogic_vector(7 downto 0);
rx_data_valid : out std_ulogic;
error : out std_ulogic
);
end component i2c_master;
type light_state_t is (S_LT_INIT_IDLE, S_LT_INIT_BYTE0, S_LT_INIT_BYTE1, S_LT_INIT_SEND, S_LT_IDLE,
S_LT_POWER_ON_BYTE0, S_LT_POWER_ON_BYTE1, S_LT_POWER_ON_SEND, S_LT_BOOT_DELAY,
S_LT_REGISTER_BYTE0, S_LT_REGISTER_SEND_BYTE0, S_LT_WAIT_READ, S_LT_READ_BYTE0, S_LT_CHECK,
S_LT_CALC_LUX, S_LT_POWER_OFF_BYTE0, S_LT_POWER_OFF_BYTE1, S_LT_POWER_OFF_SEND);
signal light_state, light_state_nxt : light_state_t;
constant LIGHT_SLAVE_ADDR : std_ulogic_vector(6 downto 0) := "0101001"; -- 0x29
-- light register addresses
constant LIGHT_REG_CONTROL : std_ulogic_vector(7 downto 0) := "10000000"; -- 0x80
constant LIGHT_REG_TIMING : std_ulogic_vector(7 downto 0) := "10000001"; -- 0x81
constant LIGHT_REG_INTERRUPT : std_ulogic_vector(7 downto 0) := "10000110"; -- 0x86
constant LIGHT_REG_CHANNEL0L : std_ulogic_vector(7 downto 0) := "10001100"; -- 0x8C
constant LIGHT_REG_CHANNEL0H : std_ulogic_vector(7 downto 0) := "10001101"; -- 0x8D
constant LIGHT_REG_CHANNEL1L : std_ulogic_vector(7 downto 0) := "10001110"; -- 0x8E
constant LIGHT_REG_CHANNEL1H : std_ulogic_vector(7 downto 0) := "10001111"; -- 0x8F
constant LIGHT_CMD_POWER_UP : std_ulogic_vector(7 downto 0) := "00000011"; -- 0x03
constant LIGHT_CMD_POWER_DOWN : std_ulogic_vector(7 downto 0) := "00000000"; -- 0x00
constant LIGHT_CMD_TIMING : std_ulogic_vector(7 downto 0) := "00010001"; -- 0x11 = high gain (16x), integration time = 101ms
-- contains channel addresses from whom we will read
type light_ch_reg_array is array (natural range <>) of std_ulogic_vector(7 downto 0);
constant LIGHT_CH_REG_LENGTH : natural := 4;
constant LIGHT_CH_REGS : light_ch_reg_array(0 to LIGHT_CH_REG_LENGTH - 1) := (
LIGHT_REG_CHANNEL0L, LIGHT_REG_CHANNEL0H, LIGHT_REG_CHANNEL1L, LIGHT_REG_CHANNEL1H
);
signal light_ch_reg_cnt, light_ch_reg_cnt_nxt : unsigned(to_log2(LIGHT_CH_REG_LENGTH + 1) - 1 downto 0);
signal light_ch_valid, light_ch_valid_nxt : std_ulogic;
type light_init_data_t is record
reg : std_ulogic_vector(7 downto 0);
cmd : std_ulogic_vector(7 downto 0);
end record;
type light_init_data_array is array (natural range<>) of light_init_data_t;
constant LIGHT_INIT_DATA_LENGTH : natural := 4;
constant LIGHT_INIT_DATA : light_init_data_array(0 to LIGHT_INIT_DATA_LENGTH - 1) := (
(LIGHT_REG_CONTROL, LIGHT_CMD_POWER_UP), -- power up
(LIGHT_REG_TIMING, LIGHT_CMD_TIMING), -- setup timing (gain + integration time)
(LIGHT_REG_INTERRUPT, (others => '0')), -- interrupt
(LIGHT_REG_CONTROL, LIGHT_CMD_POWER_DOWN) -- power down
);
signal light_init_cnt, light_init_cnt_nxt : unsigned(to_log2(LIGHT_INIT_DATA_LENGTH + 1) - 1 downto 0);
-- register to save received channel values
type light_ch_vals_array is array (natural range <>) of std_ulogic_vector(7 downto 0);
signal light_ch_vals, light_ch_vals_nxt : light_ch_vals_array(0 to LIGHT_CH_REG_LENGTH - 1);
signal light_busy : std_ulogic;
constant LIGHT_BOOT_DELAY_TICKS : natural := 5200000; -- 104 ms
signal light_boot_delay_cnt, light_boot_delay_cnt_nxt : unsigned(to_log2(LIGHT_BOOT_DELAY_TICKS) - 1 downto 0);
-- signals of `i2c_master` component
signal i2c_reset_n : std_ulogic;
signal i2c_busy : std_ulogic;
signal i2c_cs : std_ulogic;
signal i2c_mode : std_ulogic_vector(1 downto 0);
signal i2c_slave_addr : std_ulogic_vector(6 downto 0);
signal i2c_bytes_tx : unsigned(4 downto 0);
signal i2c_bytes_rx : unsigned(4 downto 0);
signal i2c_tx_data : std_ulogic_vector(7 downto 0);
signal i2c_tx_data_valid : std_ulogic;
signal i2c_rx_data_en : std_ulogic;
signal i2c_rx_data : std_ulogic_vector(7 downto 0);
signal i2c_rx_data_valid : std_ulogic;
signal i2c_error : std_ulogic;
-- signals of `calc_lux` component
signal calc_lux_start : std_ulogic;
signal calc_lux_busy : std_ulogic;
signal calc_lux_value : unsigned(15 downto 0);
signal calc_lux_channel0 : unsigned(15 downto 0);
signal calc_lux_channel1 : unsigned(15 downto 0);
signal brightness_reg, brightness_reg_nxt : unsigned(15 downto 0);
signal cycle_cnt, cycle_cnt_nxt : unsigned(to_log2(CYCLE_TICKS) - 1 downto 0);
signal cycle_pulse : std_ulogic;
begin
-- configure `calc_lux` signal assignments
calc_lux_inst : calc_lux
port map (
clock => clock,
reset => reset,
start => calc_lux_start,
busy => calc_lux_busy,
lux => calc_lux_value,
channel0 => calc_lux_channel0,
channel1 => calc_lux_channel1
);
-- configure `i2c_master` signal assignments
i2c_master_inst : i2c_master
generic map (
GV_SYS_CLOCK_RATE => CV_SYS_CLOCK_RATE,
GV_I2C_CLOCK_RATE => 100000, -- fast mode 400kHz
GW_SLAVE_ADDR => 7,
GV_MAX_BYTES => 16,
GB_USE_INOUT => false,
GB_TIMEOUT => true
)
port map (
clock => clock,
reset_n => i2c_reset_n,
i2c_clk_ctrl => i2c_clk_ctrl,
i2c_clk_in => i2c_clk_in,
i2c_clk_out => i2c_clk_out,
i2c_dat_ctrl => i2c_dat_ctrl,
i2c_dat_in => i2c_dat_in,
i2c_dat_out => i2c_dat_out,
busy => i2c_busy,
cs => i2c_cs,
mode => i2c_mode,
slave_addr => i2c_slave_addr,
bytes_tx => i2c_bytes_tx,
bytes_rx => i2c_bytes_rx,
tx_data => i2c_tx_data,
tx_data_valid => i2c_tx_data_valid,
rx_data => i2c_rx_data,
rx_data_valid => i2c_rx_data_valid,
rx_data_en => i2c_rx_data_en,
error => i2c_error
);
-- sequential process
process(clock, reset)
begin
i2c_reset_n <= not(reset);
if reset = '1' then
light_state <= S_LT_INIT_IDLE;
light_init_cnt <= (others => '0');
light_ch_reg_cnt <= (others => '0');
light_ch_valid <= '0';
light_ch_vals <= (others => (others => '0'));
light_boot_delay_cnt <= (others => '0');
brightness_reg <= (others => '0');
cycle_cnt <= (others => '0');
elsif rising_edge(clock) then
light_state <= light_state_nxt;
light_init_cnt <= light_init_cnt_nxt;
light_ch_reg_cnt <= light_ch_reg_cnt_nxt;
light_ch_valid <= light_ch_valid_nxt;
light_ch_vals <= light_ch_vals_nxt;
light_boot_delay_cnt <= light_boot_delay_cnt_nxt;
brightness_reg <= brightness_reg_nxt;
cycle_cnt <= cycle_cnt_nxt;
end if;
end process;
process(enabled, light_busy, cycle_cnt)
begin
cycle_pulse <= '0';
cycle_cnt_nxt <= cycle_cnt;
if cycle_cnt = to_unsigned(CYCLE_TICKS - 1, cycle_cnt'length) then
-- reset clock only if sensor isn't busy anymore and main entity enabled the reading process (enabled = '1')
if enabled = '1' and light_busy = '0' then
-- set pulse to HIGH when the sensor isn't busy anymore
cycle_pulse <= '1';
cycle_cnt_nxt <= (others => '0');
end if;
else
-- increment counter
cycle_cnt_nxt <= cycle_cnt + to_unsigned(1, cycle_cnt'length);
end if;
end process;
process(enabled, cycle_pulse, i2c_error, i2c_busy, i2c_rx_data, i2c_rx_data_valid, calc_lux_busy, calc_lux_value,
light_busy, light_state, light_init_cnt, light_ch_reg_cnt, light_ch_valid, light_ch_vals, light_boot_delay_cnt, brightness_reg)
variable lux_ch0 : unsigned(15 downto 0) := (others => '0');
variable lux_ch1 : unsigned(15 downto 0) := (others => '0');
begin
-- output values
value <= brightness_reg;
-- hold value by default
light_state_nxt <= light_state;
light_init_cnt_nxt <= light_init_cnt;
light_ch_reg_cnt_nxt <= light_ch_reg_cnt;
light_ch_valid_nxt <= light_ch_valid;
light_ch_vals_nxt <= light_ch_vals;
light_boot_delay_cnt_nxt <= light_boot_delay_cnt;
brightness_reg_nxt <= brightness_reg;
-- default assignments of `i2c_master` component
i2c_cs <= '0';
i2c_slave_addr <= LIGHT_SLAVE_ADDR;
i2c_mode <= "00";
i2c_bytes_rx <= (others => '0');
i2c_bytes_tx <= (others => '0');
i2c_rx_data_en <= '0';
i2c_tx_data_valid <= '0';
i2c_tx_data <= (others => '0');
-- default assignments of `calc_lux` component
calc_lux_channel0 <= (others => '0');
calc_lux_channel1 <= (others => '0');
calc_lux_start <= '0';
-- always busy by default
light_busy <= '1';
case light_state is
when S_LT_INIT_IDLE =>
-- waiting for cycle_pulse
light_busy <= i2c_busy;
if cycle_pulse = '1' then
light_state_nxt <= S_LT_INIT_BYTE0;
end if;
when S_LT_INIT_BYTE0 =>
-- write register address byte of the current init item as first byte
i2c_tx_data <= LIGHT_INIT_DATA(to_integer(light_init_cnt)).reg;
i2c_tx_data_valid <= '1';
light_state_nxt <= S_LT_INIT_BYTE1;
when S_LT_INIT_BYTE1 =>
-- write command byte of the current init item as second byte
i2c_tx_data <= LIGHT_INIT_DATA(to_integer(light_init_cnt)).cmd;
i2c_tx_data_valid <= '1';
light_state_nxt <= S_LT_INIT_SEND;
when S_LT_INIT_SEND =>
-- tx fifo filled, now send data
if i2c_busy = '0' then
i2c_cs <= '1';
-- write-only mode
i2c_mode <= "01";
-- write two bytes
i2c_bytes_tx <= to_unsigned(2, i2c_bytes_tx'length);
-- increment counter
light_init_cnt_nxt <= light_init_cnt + to_unsigned(1, light_init_cnt'length);
if light_init_cnt = to_unsigned(LIGHT_INIT_DATA_LENGTH - 1, light_init_cnt'length) then
-- leave cycle
light_state_nxt <= S_LT_IDLE;
-- reset counter
light_init_cnt_nxt <= (others => '0');
else
-- repeat writing for next init command
light_state_nxt <= S_LT_INIT_BYTE0;
end if;
end if;
when S_LT_IDLE =>
-- waiting for cycle_pulse, the light sensor will boot up completely meanwhile
light_busy <= i2c_busy;
if cycle_pulse = '1' then
light_state_nxt <= S_LT_POWER_ON_BYTE0;
light_ch_valid_nxt <= '1';
end if;
when S_LT_POWER_ON_BYTE0 =>
i2c_tx_data <= LIGHT_REG_CONTROL;
i2c_tx_data_valid <= '1';
light_state_nxt <= S_LT_POWER_ON_BYTE1;
when S_LT_POWER_ON_BYTE1 =>
i2c_tx_data <= LIGHT_CMD_POWER_UP;
i2c_tx_data_valid <= '1';
light_state_nxt <= S_LT_POWER_ON_SEND;
when S_LT_POWER_ON_SEND =>
-- send `power up` command to `control` register
if i2c_busy = '0' then
i2c_cs <= '1';
-- write-only mode
i2c_mode <= "01";
-- write two bytes
i2c_bytes_tx <= to_unsigned(2, i2c_bytes_tx'length);
light_state_nxt <= S_LT_BOOT_DELAY;
end if;
when S_LT_BOOT_DELAY =>
-- delay 104ms to wait for value integrety
if i2c_busy = '0' then
if light_boot_delay_cnt = to_unsigned(LIGHT_BOOT_DELAY_TICKS - 1, light_boot_delay_cnt'length) then
light_state_nxt <= S_LT_REGISTER_BYTE0;
light_boot_delay_cnt_nxt <= (others => '0');
else
light_boot_delay_cnt_nxt <= light_boot_delay_cnt + to_unsigned(1, light_boot_delay_cnt'length);
end if;
end if;
when S_LT_REGISTER_BYTE0 =>
-- write register address byte of current channel to tx fifo
i2c_tx_data <= LIGHT_CH_REGS(to_integer(light_ch_reg_cnt));
i2c_tx_data_valid <= '1';
light_state_nxt <= S_LT_REGISTER_SEND_BYTE0;
when S_LT_REGISTER_SEND_BYTE0 =>
if i2c_busy = '0' then
i2c_cs <= '1';
-- first write then read mode
i2c_mode <= "11";
-- write one byte from tx fifo
i2c_bytes_tx <= to_unsigned(1, i2c_bytes_tx'length);
-- expect to receive/read one byte from slave
i2c_bytes_rx <= to_unsigned(1, i2c_bytes_rx'length);
light_state_nxt <= S_LT_WAIT_READ;
end if;
when S_LT_WAIT_READ =>
-- wait for rx fifo to be filled
if i2c_busy = '0' then
light_state_nxt <= S_LT_READ_BYTE0;
end if;
when S_LT_READ_BYTE0 =>
if i2c_error = '1' then
-- an error occured, data isn't valid
light_ch_valid_nxt <= '0';
end if;
if i2c_rx_data_valid = '1' then
-- read one byte from rx fifo
i2c_rx_data_en <= '1';
light_ch_vals_nxt(to_integer(light_ch_reg_cnt)) <= i2c_rx_data;
else
-- rx fifo empty
if light_ch_reg_cnt = to_unsigned(LIGHT_CH_REG_LENGTH - 1, light_ch_reg_cnt'length) then
-- received everything
light_state_nxt <= S_LT_CHECK;
else
-- write/read next channel register
light_state_nxt <= S_LT_REGISTER_BYTE0;
light_ch_reg_cnt_nxt <= light_ch_reg_cnt + to_unsigned(1, light_ch_reg_cnt'length);
end if;
end if;
when S_LT_CHECK =>
lux_ch0 := unsigned(std_ulogic_vector'(light_ch_vals(1) & light_ch_vals(0)));
lux_ch1 := unsigned(std_ulogic_vector'(light_ch_vals(3) & light_ch_vals(2)));
-- clean up for next cycle, independent from valid data
light_ch_reg_cnt_nxt <= (others => '0');
if light_ch_valid = '1' and lux_ch0 <= 4900 and lux_ch0 >= 2 * lux_ch1 then
calc_lux_start <= '1'; -- start calculation
calc_lux_channel0 <= lux_ch0;
calc_lux_channel1 <= lux_ch1;
light_state_nxt <= S_LT_CALC_LUX;
else
-- invalid data, skip to power off
light_state_nxt <= S_LT_POWER_OFF_BYTE0;
end if;
when S_LT_CALC_LUX =>
calc_lux_start <= '1'; -- keep high for ack mechanism
if calc_lux_busy = '0' then
calc_lux_start <= '0'; -- ack
brightness_reg_nxt <= calc_lux_value;
light_state_nxt <= S_LT_POWER_OFF_BYTE0;
end if;
when S_LT_POWER_OFF_BYTE0 =>
i2c_tx_data <= LIGHT_REG_CONTROL;
i2c_tx_data_valid <= '1';
light_state_nxt <= S_LT_POWER_OFF_BYTE1;
when S_LT_POWER_OFF_BYTE1 =>
i2c_tx_data <= LIGHT_CMD_POWER_DOWN;
i2c_tx_data_valid <= '1';
light_state_nxt <= S_LT_POWER_OFF_SEND;
when S_LT_POWER_OFF_SEND =>
-- send `power off` command to `control` register
if i2c_busy = '0' then
i2c_cs <= '1';
-- write-only mode
i2c_mode <= "01";
-- write two bytes from tx fifo
i2c_bytes_tx <= to_unsigned(2, i2c_bytes_tx'length);
light_state_nxt <= S_LT_IDLE;
end if;
end case;
end process;
end rtl;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: subsys
-- File: subsys.vhd
-- Author: Cobham Gaisler AB
-- Description: Package for GRLIB subsystems
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.leon3.all;
package subsys is
type leon_dsu_stat_base_out_type is record
dsu_active : std_ulogic;
dsu_tstop : std_ulogic;
proc_error : std_ulogic;
proc_errorn : std_ulogic;
end record;
type leon_dsu_stat_base_in_type is record
dsu_enable : std_ulogic;
dsu_break : std_ulogic;
end record;
component leon_dsu_stat_base
generic (
-- LEON selection
leon : integer range 0 to 4 := 0;
ncpu : integer range 1 to 16 := 1;
-- LEON configuration
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 63 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 2 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 2 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 7 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2; -- power-down
svt : integer range 0 to 1 := 1; -- single vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 31 := 0; -- support SMP systems
cached : integer := 0; -- cacheability table
clk2x : integer := 0;
wbmask : integer := 0; -- Wide-bus mask
busw : integer := 64; -- AHB/Cache data width (64/128)
netlist : integer := 0; -- Use netlist
ft : integer := 0; -- FT option
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0;
rex : integer range 0 to 1 := 0;
altwin : integer range 0 to 1 := 0;
ahbpipe : integer := 0;
--
grfpush : integer range 0 to 1 := 0;
-- DSU
dsu_hindex : integer := 2;
dsu_haddr : integer := 16#900#;
dsu_hmask : integer := 16#F00#;
atbsz : integer := 4;
--
stat : integer range 0 to 1 := 0;
stat_pindex : integer := 0;
stat_paddr : integer := 0;
stat_pmask : integer := 16#ffc#;
stat_ncnt : integer := 1;
stat_nmax : integer := 0
--
);
port (
rstn : in std_ulogic;
--
ahbclk : in std_ulogic; -- bus clock
cpuclk : in std_ulogic; -- cpu clock
hclken : in std_ulogic; -- bus clock enable qualifier
--
leon_ahbmi : in ahb_mst_in_type;
leon_ahbmo : out ahb_mst_out_vector_type(ncpu-1 downto 0);
leon_ahbsi : in ahb_slv_in_type;
leon_ahbso : in ahb_slv_out_vector;
--
irqi : in irq_in_vector(0 to ncpu-1);
irqo : out irq_out_vector(0 to ncpu-1);
--
stat_apbi : in apb_slv_in_type;
stat_apbo : out apb_slv_out_type;
stat_ahbsi : in ahb_slv_in_type;
stati : in l3stat_in_type;
--
dsu_ahbsi : in ahb_slv_in_type;
dsu_ahbso : out ahb_slv_out_type;
dsu_tahbmi : in ahb_mst_in_type;
dsu_tahbsi : in ahb_slv_in_type;
--
sysi : in leon_dsu_stat_base_in_type;
syso : out leon_dsu_stat_base_out_type
);
end component;
end;
package body subsys is
end;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity rmii_rx_tb is
end rmii_rx_tb;
architecture behav of rmii_rx_tb is
signal clk : std_logic := '0';
signal rst : std_logic := '1';
signal rxd : std_logic_vector(1 downto 0) := "00";
signal crsdv : std_logic := '0';
signal rx_dv : std_logic := '0';
signal rx_byte : std_logic_vector(7 downto 0) := (others => '0');
signal rx_crs : std_logic := '0';
begin
dut : entity work.rmii_rx port map(clk, rst, rxd, crsdv, rx_byte, rx_dv, rx_crs);
rst <= '1', '0' after 100 ns;
clk <= not clk after 10 ns;
rx_test : process
begin
wait until falling_edge(rst);
wait until rising_edge(clk);
wait until rising_edge(clk);
crsdv <= '1';
rxd <= "01";
for i in 0 to 10 loop
wait until rising_edge(clk);
end loop;
rxd <= "11";
for i in 0 to 13 loop
wait until rising_edge(clk);
rxd <= std_logic_vector(to_unsigned(i mod 4,2));
wait until rising_edge(clk);
rxd <= std_logic_vector(to_unsigned(i mod 4,2));
end loop;
for i in 0 to 1 loop
wait until rising_edge(clk);
rxd <= not std_logic_vector(to_unsigned(i mod 4,2));
crsdv <= '0';
wait until rising_edge(clk);
rxd <= not std_logic_vector(to_unsigned(i mod 4,2));
crsdv <= '1';
end loop;
wait until rising_edge(clk);
crsdv <= '0';
wait;
end process;
end behav;
|
-------------------------------------------------------------------------------
-- axi_datamover_sfifo_autord.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_sfifo_autord.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library lib_fifo_v1_0_5;
use lib_fifo_v1_0_5.sync_fifo_fg;
-------------------------------------------------------------------------------
entity axi_datamover_sfifo_autord is
generic (
C_DWIDTH : integer := 32;
-- Sets the width of the FIFO Data
C_DEPTH : integer := 128;
-- Sets the depth of the FIFO
C_DATA_CNT_WIDTH : integer := 8;
-- Sets the width of the FIFO Data Count output
C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0;
-- Indicates the need for an almost empty flag from the internal FIFO
C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0;
-- Indicates the need for an almost full flag from the internal FIFO
C_USE_BLKMEM : Integer range 0 to 1 := 1;
-- Sets the type of memory to use for the FIFO
-- 0 = Distributed Logic
-- 1 = Block Ram
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA Family
);
port (
-- FIFO Inputs ------------------------------------------------------------------
SFIFO_Sinit : In std_logic; --
SFIFO_Clk : In std_logic; --
SFIFO_Wr_en : In std_logic; --
SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Rd_en : In std_logic; --
SFIFO_Clr_Rd_Data_Valid : In std_logic; --
--------------------------------------------------------------------------------
-- FIFO Outputs -----------------------------------------------------------------
SFIFO_DValid : Out std_logic; --
SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Full : Out std_logic; --
SFIFO_Empty : Out std_logic; --
SFIFO_Almost_full : Out std_logic; --
SFIFO_Almost_empty : Out std_logic; --
SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_ack : Out std_logic --
--------------------------------------------------------------------------------
);
end entity axi_datamover_sfifo_autord;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_datamover_sfifo_autord is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- Constant declarations
-- none
-- Signal declarations
signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_int : natural := 0;
signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
Signal corrected_empty : std_logic := '0';
Signal corrected_almost_empty : std_logic := '0';
Signal sig_SFIFO_empty : std_logic := '0';
-- backend fifo read ack sample and hold
Signal sig_rddata_valid : std_logic := '0';
Signal hold_ff_q : std_logic := '0';
Signal ored_ack_ff_reset : std_logic := '0';
Signal autoread : std_logic := '0';
Signal sig_sfifo_rdack : std_logic := '0';
Signal fifo_read_enable : std_logic := '0';
begin
-- Bit ordering translations
write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little
-- endian.
SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to
-- Big endian.
-- Other port usages and assignments
SFIFO_Rd_ack <= sig_sfifo_rdack;
SFIFO_Almost_empty <= corrected_almost_empty;
SFIFO_Empty <= corrected_empty;
SFIFO_Wr_count <= raw_data_cnt_lil_end;
SFIFO_Rd_count <= raw_data_count_corr;
SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1;
SFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator
NON_BLK_MEM : if (C_USE_BLKMEM = 0)
generate
fifo_read_enable <= SFIFO_Rd_en or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH
-- C_PRELOAD_REGS => 0, -- 1 = first word fall through
-- C_PRELOAD_LATENCY => 1 -- 0 = first word fall through
-- C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate NON_BLK_MEM;
BLK_MEM : if (C_USE_BLKMEM = 1)
generate
fifo_read_enable <= SFIFO_Rd_en; -- or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH,
C_PRELOAD_REGS => 1, -- 1 = first word fall through
C_PRELOAD_LATENCY => 0, -- 0 = first word fall through
C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate BLK_MEM;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Read Ack assert & hold logic Needed because....
-------------------------------------------------------------------------------
-- 1) The CoreGen Sync FIFO has to be read once to get valid
-- data to the read data port.
-- 2) The Read ack from the fifo is only asserted for 1 clock.
-- 3) A signal is needed that indicates valid data is at the read
-- port of the FIFO and has not yet been used. This signal needs
-- to be held until the next read operation occurs or a clear
-- signal is received.
ored_ack_ff_reset <= fifo_read_enable or
SFIFO_Sinit or
SFIFO_Clr_Rd_Data_Valid;
sig_rddata_valid <= hold_ff_q or
sig_sfifo_rdack;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ACK_HOLD_FLOP
--
-- Process Description:
-- Flop for registering the hold flag
--
-------------------------------------------------------------
IMP_ACK_HOLD_FLOP : process (SFIFO_Clk)
begin
if (SFIFO_Clk'event and SFIFO_Clk = '1') then
if (ored_ack_ff_reset = '1') then
hold_ff_q <= '0';
else
hold_ff_q <= sig_rddata_valid;
end if;
end if;
end process IMP_ACK_HOLD_FLOP;
-- generate auto-read enable. This keeps fresh data at the output
-- of the FIFO whenever it is available.
autoread <= '1' -- create a read strobe when the
when (sig_rddata_valid = '0' and -- output data is NOT valid
sig_SFIFO_empty = '0') -- and the FIFO is not empty
Else '0';
raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end);
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_EMPTY
--
-- If Generate Description:
-- This IFGen corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
Signal raw_data_count_int_corr_minus1 : integer := 0;
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT_IAE
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT_IAE : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '1';
corrected_almost_empty <= '0';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '0';
corrected_almost_empty <= '1';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
raw_data_count_int_corr_minus1 <= 1;
corrected_empty <= '0';
corrected_almost_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
raw_data_count_int_corr_minus1 <= raw_data_count_int;
corrected_empty <= '0';
corrected_almost_empty <= '0';
end if;
end process CORRECT_RD_CNT_IAE;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1,
C_DATA_CNT_WIDTH);
end generate INCLUDE_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_EMPTY
--
-- If Generate Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
begin
corrected_almost_empty <= '0'; -- always low
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
corrected_empty <= '1';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
corrected_empty <= '0';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
corrected_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
corrected_empty <= '0';
end if;
end process CORRECT_RD_CNT;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
end generate OMIT_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Includes the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate
-- Local Constants
Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1;
begin
SFIFO_Almost_full <= '1'
When raw_data_count_int = ALMOST_FULL_VALUE
Else '0';
end generate INCLUDE_ALMOST_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Omits the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate
begin
SFIFO_Almost_full <= '0'; -- always low
end generate OMIT_ALMOST_FULL;
end imp;
|
-------------------------------------------------------------------------------
-- axi_datamover_sfifo_autord.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_sfifo_autord.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library lib_fifo_v1_0_5;
use lib_fifo_v1_0_5.sync_fifo_fg;
-------------------------------------------------------------------------------
entity axi_datamover_sfifo_autord is
generic (
C_DWIDTH : integer := 32;
-- Sets the width of the FIFO Data
C_DEPTH : integer := 128;
-- Sets the depth of the FIFO
C_DATA_CNT_WIDTH : integer := 8;
-- Sets the width of the FIFO Data Count output
C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0;
-- Indicates the need for an almost empty flag from the internal FIFO
C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0;
-- Indicates the need for an almost full flag from the internal FIFO
C_USE_BLKMEM : Integer range 0 to 1 := 1;
-- Sets the type of memory to use for the FIFO
-- 0 = Distributed Logic
-- 1 = Block Ram
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA Family
);
port (
-- FIFO Inputs ------------------------------------------------------------------
SFIFO_Sinit : In std_logic; --
SFIFO_Clk : In std_logic; --
SFIFO_Wr_en : In std_logic; --
SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Rd_en : In std_logic; --
SFIFO_Clr_Rd_Data_Valid : In std_logic; --
--------------------------------------------------------------------------------
-- FIFO Outputs -----------------------------------------------------------------
SFIFO_DValid : Out std_logic; --
SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Full : Out std_logic; --
SFIFO_Empty : Out std_logic; --
SFIFO_Almost_full : Out std_logic; --
SFIFO_Almost_empty : Out std_logic; --
SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_ack : Out std_logic --
--------------------------------------------------------------------------------
);
end entity axi_datamover_sfifo_autord;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_datamover_sfifo_autord is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- Constant declarations
-- none
-- Signal declarations
signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_int : natural := 0;
signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
Signal corrected_empty : std_logic := '0';
Signal corrected_almost_empty : std_logic := '0';
Signal sig_SFIFO_empty : std_logic := '0';
-- backend fifo read ack sample and hold
Signal sig_rddata_valid : std_logic := '0';
Signal hold_ff_q : std_logic := '0';
Signal ored_ack_ff_reset : std_logic := '0';
Signal autoread : std_logic := '0';
Signal sig_sfifo_rdack : std_logic := '0';
Signal fifo_read_enable : std_logic := '0';
begin
-- Bit ordering translations
write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little
-- endian.
SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to
-- Big endian.
-- Other port usages and assignments
SFIFO_Rd_ack <= sig_sfifo_rdack;
SFIFO_Almost_empty <= corrected_almost_empty;
SFIFO_Empty <= corrected_empty;
SFIFO_Wr_count <= raw_data_cnt_lil_end;
SFIFO_Rd_count <= raw_data_count_corr;
SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1;
SFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator
NON_BLK_MEM : if (C_USE_BLKMEM = 0)
generate
fifo_read_enable <= SFIFO_Rd_en or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH
-- C_PRELOAD_REGS => 0, -- 1 = first word fall through
-- C_PRELOAD_LATENCY => 1 -- 0 = first word fall through
-- C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate NON_BLK_MEM;
BLK_MEM : if (C_USE_BLKMEM = 1)
generate
fifo_read_enable <= SFIFO_Rd_en; -- or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH,
C_PRELOAD_REGS => 1, -- 1 = first word fall through
C_PRELOAD_LATENCY => 0, -- 0 = first word fall through
C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate BLK_MEM;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Read Ack assert & hold logic Needed because....
-------------------------------------------------------------------------------
-- 1) The CoreGen Sync FIFO has to be read once to get valid
-- data to the read data port.
-- 2) The Read ack from the fifo is only asserted for 1 clock.
-- 3) A signal is needed that indicates valid data is at the read
-- port of the FIFO and has not yet been used. This signal needs
-- to be held until the next read operation occurs or a clear
-- signal is received.
ored_ack_ff_reset <= fifo_read_enable or
SFIFO_Sinit or
SFIFO_Clr_Rd_Data_Valid;
sig_rddata_valid <= hold_ff_q or
sig_sfifo_rdack;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ACK_HOLD_FLOP
--
-- Process Description:
-- Flop for registering the hold flag
--
-------------------------------------------------------------
IMP_ACK_HOLD_FLOP : process (SFIFO_Clk)
begin
if (SFIFO_Clk'event and SFIFO_Clk = '1') then
if (ored_ack_ff_reset = '1') then
hold_ff_q <= '0';
else
hold_ff_q <= sig_rddata_valid;
end if;
end if;
end process IMP_ACK_HOLD_FLOP;
-- generate auto-read enable. This keeps fresh data at the output
-- of the FIFO whenever it is available.
autoread <= '1' -- create a read strobe when the
when (sig_rddata_valid = '0' and -- output data is NOT valid
sig_SFIFO_empty = '0') -- and the FIFO is not empty
Else '0';
raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end);
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_EMPTY
--
-- If Generate Description:
-- This IFGen corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
Signal raw_data_count_int_corr_minus1 : integer := 0;
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT_IAE
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT_IAE : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '1';
corrected_almost_empty <= '0';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '0';
corrected_almost_empty <= '1';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
raw_data_count_int_corr_minus1 <= 1;
corrected_empty <= '0';
corrected_almost_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
raw_data_count_int_corr_minus1 <= raw_data_count_int;
corrected_empty <= '0';
corrected_almost_empty <= '0';
end if;
end process CORRECT_RD_CNT_IAE;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1,
C_DATA_CNT_WIDTH);
end generate INCLUDE_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_EMPTY
--
-- If Generate Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
begin
corrected_almost_empty <= '0'; -- always low
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
corrected_empty <= '1';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
corrected_empty <= '0';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
corrected_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
corrected_empty <= '0';
end if;
end process CORRECT_RD_CNT;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
end generate OMIT_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Includes the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate
-- Local Constants
Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1;
begin
SFIFO_Almost_full <= '1'
When raw_data_count_int = ALMOST_FULL_VALUE
Else '0';
end generate INCLUDE_ALMOST_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Omits the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate
begin
SFIFO_Almost_full <= '0'; -- always low
end generate OMIT_ALMOST_FULL;
end imp;
|
-------------------------------------------------------------------------------
-- axi_datamover_sfifo_autord.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_sfifo_autord.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library lib_fifo_v1_0_5;
use lib_fifo_v1_0_5.sync_fifo_fg;
-------------------------------------------------------------------------------
entity axi_datamover_sfifo_autord is
generic (
C_DWIDTH : integer := 32;
-- Sets the width of the FIFO Data
C_DEPTH : integer := 128;
-- Sets the depth of the FIFO
C_DATA_CNT_WIDTH : integer := 8;
-- Sets the width of the FIFO Data Count output
C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0;
-- Indicates the need for an almost empty flag from the internal FIFO
C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0;
-- Indicates the need for an almost full flag from the internal FIFO
C_USE_BLKMEM : Integer range 0 to 1 := 1;
-- Sets the type of memory to use for the FIFO
-- 0 = Distributed Logic
-- 1 = Block Ram
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA Family
);
port (
-- FIFO Inputs ------------------------------------------------------------------
SFIFO_Sinit : In std_logic; --
SFIFO_Clk : In std_logic; --
SFIFO_Wr_en : In std_logic; --
SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Rd_en : In std_logic; --
SFIFO_Clr_Rd_Data_Valid : In std_logic; --
--------------------------------------------------------------------------------
-- FIFO Outputs -----------------------------------------------------------------
SFIFO_DValid : Out std_logic; --
SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Full : Out std_logic; --
SFIFO_Empty : Out std_logic; --
SFIFO_Almost_full : Out std_logic; --
SFIFO_Almost_empty : Out std_logic; --
SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_ack : Out std_logic --
--------------------------------------------------------------------------------
);
end entity axi_datamover_sfifo_autord;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_datamover_sfifo_autord is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- Constant declarations
-- none
-- Signal declarations
signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_int : natural := 0;
signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
Signal corrected_empty : std_logic := '0';
Signal corrected_almost_empty : std_logic := '0';
Signal sig_SFIFO_empty : std_logic := '0';
-- backend fifo read ack sample and hold
Signal sig_rddata_valid : std_logic := '0';
Signal hold_ff_q : std_logic := '0';
Signal ored_ack_ff_reset : std_logic := '0';
Signal autoread : std_logic := '0';
Signal sig_sfifo_rdack : std_logic := '0';
Signal fifo_read_enable : std_logic := '0';
begin
-- Bit ordering translations
write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little
-- endian.
SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to
-- Big endian.
-- Other port usages and assignments
SFIFO_Rd_ack <= sig_sfifo_rdack;
SFIFO_Almost_empty <= corrected_almost_empty;
SFIFO_Empty <= corrected_empty;
SFIFO_Wr_count <= raw_data_cnt_lil_end;
SFIFO_Rd_count <= raw_data_count_corr;
SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1;
SFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator
NON_BLK_MEM : if (C_USE_BLKMEM = 0)
generate
fifo_read_enable <= SFIFO_Rd_en or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH
-- C_PRELOAD_REGS => 0, -- 1 = first word fall through
-- C_PRELOAD_LATENCY => 1 -- 0 = first word fall through
-- C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate NON_BLK_MEM;
BLK_MEM : if (C_USE_BLKMEM = 1)
generate
fifo_read_enable <= SFIFO_Rd_en; -- or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH,
C_PRELOAD_REGS => 1, -- 1 = first word fall through
C_PRELOAD_LATENCY => 0, -- 0 = first word fall through
C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate BLK_MEM;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Read Ack assert & hold logic Needed because....
-------------------------------------------------------------------------------
-- 1) The CoreGen Sync FIFO has to be read once to get valid
-- data to the read data port.
-- 2) The Read ack from the fifo is only asserted for 1 clock.
-- 3) A signal is needed that indicates valid data is at the read
-- port of the FIFO and has not yet been used. This signal needs
-- to be held until the next read operation occurs or a clear
-- signal is received.
ored_ack_ff_reset <= fifo_read_enable or
SFIFO_Sinit or
SFIFO_Clr_Rd_Data_Valid;
sig_rddata_valid <= hold_ff_q or
sig_sfifo_rdack;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ACK_HOLD_FLOP
--
-- Process Description:
-- Flop for registering the hold flag
--
-------------------------------------------------------------
IMP_ACK_HOLD_FLOP : process (SFIFO_Clk)
begin
if (SFIFO_Clk'event and SFIFO_Clk = '1') then
if (ored_ack_ff_reset = '1') then
hold_ff_q <= '0';
else
hold_ff_q <= sig_rddata_valid;
end if;
end if;
end process IMP_ACK_HOLD_FLOP;
-- generate auto-read enable. This keeps fresh data at the output
-- of the FIFO whenever it is available.
autoread <= '1' -- create a read strobe when the
when (sig_rddata_valid = '0' and -- output data is NOT valid
sig_SFIFO_empty = '0') -- and the FIFO is not empty
Else '0';
raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end);
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_EMPTY
--
-- If Generate Description:
-- This IFGen corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
Signal raw_data_count_int_corr_minus1 : integer := 0;
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT_IAE
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT_IAE : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '1';
corrected_almost_empty <= '0';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '0';
corrected_almost_empty <= '1';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
raw_data_count_int_corr_minus1 <= 1;
corrected_empty <= '0';
corrected_almost_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
raw_data_count_int_corr_minus1 <= raw_data_count_int;
corrected_empty <= '0';
corrected_almost_empty <= '0';
end if;
end process CORRECT_RD_CNT_IAE;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1,
C_DATA_CNT_WIDTH);
end generate INCLUDE_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_EMPTY
--
-- If Generate Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
begin
corrected_almost_empty <= '0'; -- always low
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
corrected_empty <= '1';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
corrected_empty <= '0';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
corrected_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
corrected_empty <= '0';
end if;
end process CORRECT_RD_CNT;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
end generate OMIT_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Includes the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate
-- Local Constants
Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1;
begin
SFIFO_Almost_full <= '1'
When raw_data_count_int = ALMOST_FULL_VALUE
Else '0';
end generate INCLUDE_ALMOST_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Omits the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate
begin
SFIFO_Almost_full <= '0'; -- always low
end generate OMIT_ALMOST_FULL;
end imp;
|
-------------------------------------------------------------------------------
-- axi_datamover_sfifo_autord.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_sfifo_autord.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library lib_fifo_v1_0_5;
use lib_fifo_v1_0_5.sync_fifo_fg;
-------------------------------------------------------------------------------
entity axi_datamover_sfifo_autord is
generic (
C_DWIDTH : integer := 32;
-- Sets the width of the FIFO Data
C_DEPTH : integer := 128;
-- Sets the depth of the FIFO
C_DATA_CNT_WIDTH : integer := 8;
-- Sets the width of the FIFO Data Count output
C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0;
-- Indicates the need for an almost empty flag from the internal FIFO
C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0;
-- Indicates the need for an almost full flag from the internal FIFO
C_USE_BLKMEM : Integer range 0 to 1 := 1;
-- Sets the type of memory to use for the FIFO
-- 0 = Distributed Logic
-- 1 = Block Ram
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA Family
);
port (
-- FIFO Inputs ------------------------------------------------------------------
SFIFO_Sinit : In std_logic; --
SFIFO_Clk : In std_logic; --
SFIFO_Wr_en : In std_logic; --
SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Rd_en : In std_logic; --
SFIFO_Clr_Rd_Data_Valid : In std_logic; --
--------------------------------------------------------------------------------
-- FIFO Outputs -----------------------------------------------------------------
SFIFO_DValid : Out std_logic; --
SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Full : Out std_logic; --
SFIFO_Empty : Out std_logic; --
SFIFO_Almost_full : Out std_logic; --
SFIFO_Almost_empty : Out std_logic; --
SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_ack : Out std_logic --
--------------------------------------------------------------------------------
);
end entity axi_datamover_sfifo_autord;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_datamover_sfifo_autord is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- Constant declarations
-- none
-- Signal declarations
signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_int : natural := 0;
signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
Signal corrected_empty : std_logic := '0';
Signal corrected_almost_empty : std_logic := '0';
Signal sig_SFIFO_empty : std_logic := '0';
-- backend fifo read ack sample and hold
Signal sig_rddata_valid : std_logic := '0';
Signal hold_ff_q : std_logic := '0';
Signal ored_ack_ff_reset : std_logic := '0';
Signal autoread : std_logic := '0';
Signal sig_sfifo_rdack : std_logic := '0';
Signal fifo_read_enable : std_logic := '0';
begin
-- Bit ordering translations
write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little
-- endian.
SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to
-- Big endian.
-- Other port usages and assignments
SFIFO_Rd_ack <= sig_sfifo_rdack;
SFIFO_Almost_empty <= corrected_almost_empty;
SFIFO_Empty <= corrected_empty;
SFIFO_Wr_count <= raw_data_cnt_lil_end;
SFIFO_Rd_count <= raw_data_count_corr;
SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1;
SFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator
NON_BLK_MEM : if (C_USE_BLKMEM = 0)
generate
fifo_read_enable <= SFIFO_Rd_en or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH
-- C_PRELOAD_REGS => 0, -- 1 = first word fall through
-- C_PRELOAD_LATENCY => 1 -- 0 = first word fall through
-- C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate NON_BLK_MEM;
BLK_MEM : if (C_USE_BLKMEM = 1)
generate
fifo_read_enable <= SFIFO_Rd_en; -- or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH,
C_PRELOAD_REGS => 1, -- 1 = first word fall through
C_PRELOAD_LATENCY => 0, -- 0 = first word fall through
C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate BLK_MEM;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Read Ack assert & hold logic Needed because....
-------------------------------------------------------------------------------
-- 1) The CoreGen Sync FIFO has to be read once to get valid
-- data to the read data port.
-- 2) The Read ack from the fifo is only asserted for 1 clock.
-- 3) A signal is needed that indicates valid data is at the read
-- port of the FIFO and has not yet been used. This signal needs
-- to be held until the next read operation occurs or a clear
-- signal is received.
ored_ack_ff_reset <= fifo_read_enable or
SFIFO_Sinit or
SFIFO_Clr_Rd_Data_Valid;
sig_rddata_valid <= hold_ff_q or
sig_sfifo_rdack;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ACK_HOLD_FLOP
--
-- Process Description:
-- Flop for registering the hold flag
--
-------------------------------------------------------------
IMP_ACK_HOLD_FLOP : process (SFIFO_Clk)
begin
if (SFIFO_Clk'event and SFIFO_Clk = '1') then
if (ored_ack_ff_reset = '1') then
hold_ff_q <= '0';
else
hold_ff_q <= sig_rddata_valid;
end if;
end if;
end process IMP_ACK_HOLD_FLOP;
-- generate auto-read enable. This keeps fresh data at the output
-- of the FIFO whenever it is available.
autoread <= '1' -- create a read strobe when the
when (sig_rddata_valid = '0' and -- output data is NOT valid
sig_SFIFO_empty = '0') -- and the FIFO is not empty
Else '0';
raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end);
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_EMPTY
--
-- If Generate Description:
-- This IFGen corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
Signal raw_data_count_int_corr_minus1 : integer := 0;
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT_IAE
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT_IAE : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '1';
corrected_almost_empty <= '0';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '0';
corrected_almost_empty <= '1';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
raw_data_count_int_corr_minus1 <= 1;
corrected_empty <= '0';
corrected_almost_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
raw_data_count_int_corr_minus1 <= raw_data_count_int;
corrected_empty <= '0';
corrected_almost_empty <= '0';
end if;
end process CORRECT_RD_CNT_IAE;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1,
C_DATA_CNT_WIDTH);
end generate INCLUDE_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_EMPTY
--
-- If Generate Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
begin
corrected_almost_empty <= '0'; -- always low
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
corrected_empty <= '1';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
corrected_empty <= '0';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
corrected_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
corrected_empty <= '0';
end if;
end process CORRECT_RD_CNT;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
end generate OMIT_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Includes the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate
-- Local Constants
Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1;
begin
SFIFO_Almost_full <= '1'
When raw_data_count_int = ALMOST_FULL_VALUE
Else '0';
end generate INCLUDE_ALMOST_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Omits the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate
begin
SFIFO_Almost_full <= '0'; -- always low
end generate OMIT_ALMOST_FULL;
end imp;
|
-------------------------------------------------------------------------------
-- axi_datamover_sfifo_autord.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_sfifo_autord.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library lib_fifo_v1_0_5;
use lib_fifo_v1_0_5.sync_fifo_fg;
-------------------------------------------------------------------------------
entity axi_datamover_sfifo_autord is
generic (
C_DWIDTH : integer := 32;
-- Sets the width of the FIFO Data
C_DEPTH : integer := 128;
-- Sets the depth of the FIFO
C_DATA_CNT_WIDTH : integer := 8;
-- Sets the width of the FIFO Data Count output
C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0;
-- Indicates the need for an almost empty flag from the internal FIFO
C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0;
-- Indicates the need for an almost full flag from the internal FIFO
C_USE_BLKMEM : Integer range 0 to 1 := 1;
-- Sets the type of memory to use for the FIFO
-- 0 = Distributed Logic
-- 1 = Block Ram
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA Family
);
port (
-- FIFO Inputs ------------------------------------------------------------------
SFIFO_Sinit : In std_logic; --
SFIFO_Clk : In std_logic; --
SFIFO_Wr_en : In std_logic; --
SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Rd_en : In std_logic; --
SFIFO_Clr_Rd_Data_Valid : In std_logic; --
--------------------------------------------------------------------------------
-- FIFO Outputs -----------------------------------------------------------------
SFIFO_DValid : Out std_logic; --
SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Full : Out std_logic; --
SFIFO_Empty : Out std_logic; --
SFIFO_Almost_full : Out std_logic; --
SFIFO_Almost_empty : Out std_logic; --
SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_ack : Out std_logic --
--------------------------------------------------------------------------------
);
end entity axi_datamover_sfifo_autord;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_datamover_sfifo_autord is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- Constant declarations
-- none
-- Signal declarations
signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_int : natural := 0;
signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
Signal corrected_empty : std_logic := '0';
Signal corrected_almost_empty : std_logic := '0';
Signal sig_SFIFO_empty : std_logic := '0';
-- backend fifo read ack sample and hold
Signal sig_rddata_valid : std_logic := '0';
Signal hold_ff_q : std_logic := '0';
Signal ored_ack_ff_reset : std_logic := '0';
Signal autoread : std_logic := '0';
Signal sig_sfifo_rdack : std_logic := '0';
Signal fifo_read_enable : std_logic := '0';
begin
-- Bit ordering translations
write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little
-- endian.
SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to
-- Big endian.
-- Other port usages and assignments
SFIFO_Rd_ack <= sig_sfifo_rdack;
SFIFO_Almost_empty <= corrected_almost_empty;
SFIFO_Empty <= corrected_empty;
SFIFO_Wr_count <= raw_data_cnt_lil_end;
SFIFO_Rd_count <= raw_data_count_corr;
SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1;
SFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator
NON_BLK_MEM : if (C_USE_BLKMEM = 0)
generate
fifo_read_enable <= SFIFO_Rd_en or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH
-- C_PRELOAD_REGS => 0, -- 1 = first word fall through
-- C_PRELOAD_LATENCY => 1 -- 0 = first word fall through
-- C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate NON_BLK_MEM;
BLK_MEM : if (C_USE_BLKMEM = 1)
generate
fifo_read_enable <= SFIFO_Rd_en; -- or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH,
C_PRELOAD_REGS => 1, -- 1 = first word fall through
C_PRELOAD_LATENCY => 0, -- 0 = first word fall through
C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate BLK_MEM;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Read Ack assert & hold logic Needed because....
-------------------------------------------------------------------------------
-- 1) The CoreGen Sync FIFO has to be read once to get valid
-- data to the read data port.
-- 2) The Read ack from the fifo is only asserted for 1 clock.
-- 3) A signal is needed that indicates valid data is at the read
-- port of the FIFO and has not yet been used. This signal needs
-- to be held until the next read operation occurs or a clear
-- signal is received.
ored_ack_ff_reset <= fifo_read_enable or
SFIFO_Sinit or
SFIFO_Clr_Rd_Data_Valid;
sig_rddata_valid <= hold_ff_q or
sig_sfifo_rdack;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ACK_HOLD_FLOP
--
-- Process Description:
-- Flop for registering the hold flag
--
-------------------------------------------------------------
IMP_ACK_HOLD_FLOP : process (SFIFO_Clk)
begin
if (SFIFO_Clk'event and SFIFO_Clk = '1') then
if (ored_ack_ff_reset = '1') then
hold_ff_q <= '0';
else
hold_ff_q <= sig_rddata_valid;
end if;
end if;
end process IMP_ACK_HOLD_FLOP;
-- generate auto-read enable. This keeps fresh data at the output
-- of the FIFO whenever it is available.
autoread <= '1' -- create a read strobe when the
when (sig_rddata_valid = '0' and -- output data is NOT valid
sig_SFIFO_empty = '0') -- and the FIFO is not empty
Else '0';
raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end);
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_EMPTY
--
-- If Generate Description:
-- This IFGen corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
Signal raw_data_count_int_corr_minus1 : integer := 0;
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT_IAE
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT_IAE : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '1';
corrected_almost_empty <= '0';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '0';
corrected_almost_empty <= '1';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
raw_data_count_int_corr_minus1 <= 1;
corrected_empty <= '0';
corrected_almost_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
raw_data_count_int_corr_minus1 <= raw_data_count_int;
corrected_empty <= '0';
corrected_almost_empty <= '0';
end if;
end process CORRECT_RD_CNT_IAE;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1,
C_DATA_CNT_WIDTH);
end generate INCLUDE_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_EMPTY
--
-- If Generate Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
begin
corrected_almost_empty <= '0'; -- always low
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
corrected_empty <= '1';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
corrected_empty <= '0';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
corrected_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
corrected_empty <= '0';
end if;
end process CORRECT_RD_CNT;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
end generate OMIT_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Includes the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate
-- Local Constants
Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1;
begin
SFIFO_Almost_full <= '1'
When raw_data_count_int = ALMOST_FULL_VALUE
Else '0';
end generate INCLUDE_ALMOST_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Omits the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate
begin
SFIFO_Almost_full <= '0'; -- always low
end generate OMIT_ALMOST_FULL;
end imp;
|
-- libraries --------------------------------------------------------------------------------- {{{
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_textio.all;
use std.textio.all;
------------------------------------------------------------------------------------------------- }}}
package FGPU_definitions is
constant N_CU_W : natural := 3; --0 to 3
-- Bitwidth of # of CUs
constant CACHE_N_BANKS_W : natural := 3;
-- Bitwidth of # words within a cache line. Minimum is 2
constant N_TAG_MANAGERS_W : natural := N_CU_W+0; -- 0 to 1
-- Bitwidth of # tag controllers per CU
constant SUB_INTEGER_IMPLEMENT : natural := 0;
-- implement sub-integer store operations
constant N_RECEIVERS_CU_W : natural := 6-N_CU_W;
-- Bitwidth of # of receivers inside the global memory controller per CU. (6-N_CU_W) will lead to 64 receivers whatever the # of CU is.
constant N_STATIONS_ALU : natural := 4;
-- # stations to store memory requests sourced by a single ALU
constant BURST_WORDS_W : natural := 5;
-- Bitwidth # of words within a single AXI burst
constant ENABLE_READ_PRIORIRY_PIPE : boolean := false;
constant FIFO_ADDR_W : natural := 4;
-- Bitwidth of the fifo size to store outgoing memory requests from a CU
constant N_RD_FIFOS_TAG_MANAGER_W : natural := 0;
constant FINISH_FIFO_ADDR_W : natural := 3;
-- Bitwidth of the fifo depth to mark dirty cache lines to be cleared at the end
-- constant CRAM_BLOCKS : natural := 1;
-- # of CRAM replicates. Each replicate will serve some CUs (1 or 2 supported only)
constant N_AXI_W : natural := 2;
-- Bitwidth of # of AXI data ports
constant CV_W : natural := 3;
-- bitwidth of # of PEs within a CV
constant LMEM_ADDR_W : natural := 10;
-- bitwidth of local memory address for a single PE
constant CV_TO_CACHE_SLICE : natural := 3;
constant INSTR_READ_SLICE : boolean := true;
constant RTM_WRITE_SLICE : boolean := true;
constant WRITE_PHASE_W : natural := 1;
-- # of MSBs of the receiver index in the global memory controller which will be selected to write. These bits increments always.
-- This incrmenetation should help to balance serving the receivers
constant RCV_PRIORITY_W : natural := 3;
constant N_WF_CU_W : natural := 3;
-- bitwidth of # of WFs that can be simultaneously managed within a CU
constant ATOMIC_IMPLEMENT : natural := 1;
constant AADD_ATOMIC : natural := 1;
constant AMAX_ATOMIC : natural := 1;
constant FLOAT_IMPLEMENT : natural := 0;
constant FADD_IMPLEMENT : integer := 1;
constant FMUL_IMPLEMENT : integer := 1;
constant FDIV_IMPLEMENT : integer := 1;
constant FSQRT_IMPLEMENT : integer := 1;
constant FADD_DELAY : integer := 11;
constant FMUL_DELAY : integer := 8;
constant FDIV_DELAY : integer := 28;
constant FSQRT_DELAY : integer := 28;
constant MAX_FPU_DELAY : integer := FDIV_DELAY;
constant GMEM_N_BANK_W : natural := 1;
constant ID_WIDTH : natural := 6;
constant PHASE_W : natural := 3;
constant CV_SIZE : natural := 2**CV_W;
constant WF_SIZE_W : natural := PHASE_W + CV_W;
-- A WF will be executed on the PEs of a single CV withen PAHSE_LEN cycels
constant WG_SIZE_W : natural := WF_SIZE_W + N_WF_CU_W;
-- A WG must be executed on a single CV. It contains a number of WFs which is at maximum the amount that can be managed within a CV
constant RTM_ADDR_W : natural := 1+2+N_WF_CU_W+PHASE_W; -- 1+2+3+3 = 9bit
-- The MSB if select between local indcs or other information
-- The lower 2 MSBs for d0, d1 or d2. The middle N_WF_CU_W are for the WF index with the CV. The lower LSBs are for the phase index
constant RTM_DATA_W : natural := CV_SIZE*WG_SIZE_W; -- Bitwidth of RTM data ports
constant BURST_W : natural := BURST_WORDS_W - GMEM_N_BANK_W; -- burst width in number of transfers on the axi bus
constant RD_FIFO_N_BURSTS_W : natural := 1;
constant RD_FIFO_W : natural := BURST_W + RD_FIFO_N_BURSTS_W;
constant N_TAG_MANAGERS : natural := 2**N_TAG_MANAGERS_W;
constant N_AXI : natural := 2**N_AXI_W;
constant N_WR_FIFOS_AXI_W : natural := N_TAG_MANAGERS_W-N_AXI_W;
constant INTERFCE_W_ADDR_W : natural := 14;
constant CRAM_ADDR_W : natural := 12; -- TODO
constant DATA_W : natural := 32;
constant BRAM18kb32b_ADDR_W : natural := 9;
constant BRAM36kb64b_ADDR_W : natural := 9;
constant BRAM36kb_ADDR_W : natural := 10;
constant INST_FIFO_PRE_LEN : natural := 8;
constant CV_INST_FIFO_W : natural := 3;
constant LOC_MEM_W : natural := BRAM18kb32b_ADDR_W;
constant N_PARAMS_W : natural := 4;
constant GMEM_ADDR_W : natural := 32;
constant WI_REG_ADDR_W : natural := 5;
constant N_REG_BLOCKS_W : natural := 2;
constant REG_FILE_BLOCK_W : natural := PHASE_W+WI_REG_ADDR_W+N_WF_CU_W-N_REG_BLOCKS_W; -- default=3+5+3-2=9
constant N_WR_FIFOS_W : natural := N_WR_FIFOS_AXI_W + N_AXI_W;
constant N_WR_FIFOS_AXI : natural := 2**N_WR_FIFOS_AXI_W;
constant N_WR_FIFOS : natural := 2**N_WR_FIFOS_W;
constant STAT : natural := 1;
constant STAT_LOAD : natural := 0;
-- cache & gmem controller constants
constant BRMEM_ADDR_W : natural := BRAM36kb_ADDR_W; -- default=10
constant N_RD_PORTS : natural := 4;
constant N : natural := CACHE_N_BANKS_W; -- max. 3
constant L : natural := BURST_WORDS_W-N; -- min. 2
constant M : natural := BRMEM_ADDR_W - L; -- max. 8
-- L+M = BMEM_ADDR_W = 10 = #address bits of a BRAM
-- cache size = 2^(N+L+M) words; max.=8*4KB=32KB
constant N_RECEIVERS_CU : natural := 2**N_RECEIVERS_CU_W;
constant N_RECEIVERS_W : natural := N_CU_W + N_RECEIVERS_CU_W;
constant N_RECEIVERS : natural := 2**N_RECEIVERS_W;
constant N_CU_STATIONS_W : natural := 6;
constant GMEM_WORD_ADDR_W : natural := GMEM_ADDR_W - 2;
constant TAG_W : natural := GMEM_WORD_ADDR_W -M -L -N;
constant GMEM_N_BANK : natural := 2**GMEM_N_BANK_W;
constant CACHE_N_BANKS : natural := 2**CACHE_N_BANKS_W;
constant REG_FILE_W : natural := N_REG_BLOCKS_W+REG_FILE_BLOCK_W;
constant N_REG_BLOCKS : natural := 2**N_REG_BLOCKS_W;
constant REG_ADDR_W : natural := BRAM18kb32b_ADDR_W+BRAM18kb32b_ADDR_W;
constant REG_FILE_SIZE : natural := 2**REG_ADDR_W;
constant REG_FILE_BLOCK_SIZE : natural := 2**REG_FILE_BLOCK_W;
constant GMEM_DATA_W : natural := GMEM_N_BANK * DATA_W;
constant N_PARAMS : natural := 2**N_PARAMS_W;
constant LOC_MEM_SIZE : natural := 2**LOC_MEM_W;
constant PHASE_LEN : natural := 2**PHASE_W;
constant CV_INST_FIFO_SIZE : natural := 2**CV_INST_FIFO_W;
constant N_CU : natural := 2**N_CU_W;
constant N_WF_CU : natural := 2**N_WF_CU_W;
constant WF_SIZE : natural := 2**WF_SIZE_W;
constant CRAM_SIZE : natural := 2**CRAM_ADDR_W;
constant RTM_SIZE : natural := 2**RTM_ADDR_W;
constant BRAM18kb_SIZE : natural := 2**BRAM18kb32b_ADDR_W;
constant regFile_addr : natural := 2**(INTERFCE_W_ADDR_W-1); -- "10" of the address msbs to choose the register file
constant Rstat_addr : natural := regFile_addr + 0; --address of status register in the register file
constant Rstart_addr : natural := regFile_addr + 1; --address of stat register in the register file
constant RcleanCache_addr : natural := regFile_addr + 2; --address of cleanCache register in the register file
constant RInitiate_addr : natural := regFile_addr + 3; --address of cleanCache register in the register file
constant Rstat_regFile_addr : natural := 0; --address of status register in the register file
constant Rstart_regFile_addr : natural := 1; --address of stat register in the register file
constant RcleanCache_regFile_addr : natural := 2; --address of cleanCache register in the register file
constant RInitiate_regFile_addr : natural := 3; --address of initiate register in the register file
constant N_REG_W : natural := 2;
constant PARAMS_ADDR_LOC_MEM_OFFSET : natural := LOC_MEM_SIZE - N_PARAMS;
-- constant GMEM_RQST_BUS_W : natural := GMEM_DATA_W;
-- new kernel descriptor ----------------------------------------------------------------
constant NEW_KRNL_DESC_W : natural := 5; -- length of the kernel's descripto
constant NEW_KRNL_INDX_W : natural := 4; -- bitwidth of number of kernels that can be started
constant NEW_KRNL_DESC_LEN : natural := 12;
constant WG_MAX_SIZE : natural := 2**WG_SIZE_W;
constant NEW_KRNL_DESC_MAX_LEN : natural := 2**NEW_KRNL_DESC_W;
constant NEW_KRNL_MAX_INDX : natural := 2**NEW_KRNL_INDX_W;
constant KRNL_SCH_ADDR_W : natural := NEW_KRNL_DESC_W + NEW_KRNL_INDX_W;
constant NEW_KRNL_DESC_N_WF : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 0;
constant NEW_KRNL_DESC_ID0_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 1;
constant NEW_KRNL_DESC_ID1_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 2;
constant NEW_KRNL_DESC_ID2_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 3;
constant NEW_KRNL_DESC_ID0_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 4;
constant NEW_KRNL_DESC_ID1_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 5;
constant NEW_KRNL_DESC_ID2_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 6;
constant NEW_KRNL_DESC_WG_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 7;
constant NEW_KRNL_DESC_N_WG_0 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 8;
constant NEW_KRNL_DESC_N_WG_1 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 9;
constant NEW_KRNL_DESC_N_WG_2 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 10;
constant NEW_KRNL_DESC_N_PARAMS : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 11;
constant PARAMS_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 16;
constant WG_SIZE_0_OFFSET : natural := 0;
constant WG_SIZE_1_OFFSET : natural := 10;
constant WG_SIZE_2_OFFSET : natural := 20;
constant N_DIM_OFFSET : natural := 30;
constant ADDR_FIRST_INST_OFFSET : natural := 0;
constant ADDR_LAST_INST_OFFSET : natural := 14;
constant N_WF_OFFSET : natural := 28;
constant N_WG_0_OFFSET : natural := 16;
constant N_WG_1_OFFSET : natural := 0;
constant N_WG_2_OFFSET : natural := 16;
constant WG_SIZE_OFFSET : natural := 0;
constant N_PARAMS_OFFSET : natural := 28;
type cram_type is array (2**CRAM_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0);
type slv32_array is array (natural range<>) of std_logic_vector(DATA_W-1 downto 0);
type krnl_scheduler_ram_TYPE is array (2**KRNL_SCH_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0);
type cram_addr_array is array (natural range <>) of unsigned(CRAM_ADDR_W-1 downto 0); -- range 0 to CRAM_SIZE-1;
type rtm_ram_type is array (natural range <>) of unsigned(RTM_DATA_W-1 downto 0);
type gmem_addr_array is array (natural range<>) of unsigned(GMEM_ADDR_W-1 downto 0);
type op_arith_shift_type is (op_add, op_lw, op_mult, op_bra, op_shift, op_slt, op_mov, op_ato, op_lmem);
type op_logical_type is (op_andi, op_and, op_ori, op_or, op_xor, op_xori, op_nor);
type be_array is array(natural range <>) of std_logic_vector(DATA_W/8-1 downto 0);
type gmem_be_array is array(natural range <>) of std_logic_vector(GMEM_N_BANK*DATA_W/8-1 downto 0);
type sl_array is array(natural range <>) of std_logic;
type nat_array is array(natural range <>) of natural;
type nat_2d_array is array(natural range <>, natural range <>) of natural;
type reg_addr_array is array (natural range <>) of unsigned(REG_FILE_W-1 downto 0);
type gmem_word_addr_array is array(natural range <>) of unsigned(GMEM_WORD_ADDR_W-1 downto 0);
type gmem_addr_array_no_bank is array (natural range <>) of unsigned(GMEM_WORD_ADDR_W-CACHE_N_BANKS_W-1 downto 0);
type alu_en_vec_type is array(natural range <>) of std_logic_vector(CV_SIZE-1 downto 0);
type alu_en_rdAddr_type is array(natural range <>) of unsigned(PHASE_W+N_WF_CU_W-1 downto 0);
type tag_array is array (natural range <>) of unsigned(TAG_W-1 downto 0);
type gmem_word_array is array (natural range <>) of std_logic_vector(DATA_W*GMEM_N_BANK-1 downto 0);
type wf_active_array is array (natural range <>) of std_logic_vector(N_WF_CU-1 downto 0);
type cache_addr_array is array(natural range <>) of unsigned(M+L-1 downto 0);
type cache_word_array is array(natural range <>) of std_logic_vector(CACHE_N_BANKS*DATA_W-1 downto 0);
type tag_addr_array is array(natural range <>) of unsigned(M-1 downto 0);
type reg_file_block_array is array(natural range<>) of unsigned(REG_FILE_BLOCK_W-1 downto 0);
type id_array is array(natural range<>) of std_logic_vector(ID_WIDTH-1 downto 0);
type real_array is array (natural range <>) of real;
type atomic_sgntr_array is array (natural range <>) of std_logic_vector(N_CU_STATIONS_W-1 downto 0);
attribute max_fanout: integer;
attribute keep: string;
attribute mark_debug : string;
impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type;
impure function init_SLV32_ARRAY_from_file(file_name : in string; len: in natural; file_len: in natural) return SLV32_ARRAY;
impure function init_CRAM(file_name : in string; file_len: in natural) return cram_type;
function pri_enc(datain: in std_logic_vector) return integer;
function max (LEFT, RIGHT: integer) return integer;
function min_int (LEFT, RIGHT: integer) return integer;
function clogb2 (bit_depth : integer) return integer;
--- ISA --------------------------------------------------------------------------------------
constant FAMILY_W : natural := 4;
constant CODE_W : natural := 4;
constant IMM_ARITH_W : natural := 14;
constant IMM_W : natural := 16;
constant BRANCH_ADDR_W : natural := 14;
constant FAMILY_POS : natural := 28;
constant CODE_POS : natural := 24;
constant RD_POS : natural := 0;
constant RS_POS : natural := 5;
constant RT_POS : natural := 10;
constant IMM_POS : natural := 10;
constant DIM_POS : natural := 5;
constant PARAM_POS : natural := 5;
constant BRANCH_ADDR_POS : natural := 10;
--------------- families
constant ADD_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"1";
constant SHF_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"2";
constant LGK_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"3";
constant MOV_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"4";
constant MUL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"5";
constant BRA_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"6";
constant GLS_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"7";
constant ATO_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"8";
constant CTL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"9";
constant RTM_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"A";
constant CND_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"B";
constant FLT_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"C";
constant LSI_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"D";
--------------- codes
--RTM
constant LID : std_logic_vector(CODE_W-1 downto 0) := X"0"; --upper two MSBs indicate if the operation is localdx or offsetdx
constant WGOFF : std_logic_vector(CODE_W-1 downto 0) := X"1";
constant SIZE : std_logic_vector(CODE_W-1 downto 0) := X"2";
constant WGID : std_logic_vector(CODE_W-1 downto 0) := X"3";
constant WGSIZE : std_logic_vector(CODE_W-1 downto 0) := X"4";
constant LP : std_logic_vector(CODE_W-1 downto 0) := X"8";
--ADD
constant ADD : std_logic_vector(CODE_W-1 downto 0) := "0000";
constant SUB : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant ADDI : std_logic_vector(CODE_W-1 downto 0) := "0001";
constant LI : std_logic_vector(CODE_W-1 downto 0) := "1001";
constant LUI : std_logic_vector(CODE_W-1 downto 0) := "1101";
--MUL
constant MACC : std_logic_vector(CODE_W-1 downto 0) := "1000";
--BRA
constant BEQ : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant BNE : std_logic_vector(CODE_W-1 downto 0) := "0011";
constant JSUB : std_logic_vector(CODE_W-1 downto 0) := "0100";
--GLS
constant LW : std_logic_vector(CODE_W-1 downto 0) := "0100";
constant SW : std_logic_vector(CODE_W-1 downto 0) := "1100";
--CTL
constant RET : std_logic_vector(CODE_W-1 downto 0) := "0010";
--SHF
constant SLLI : std_logic_vector(CODE_W-1 downto 0) := "0001";
--LGK
constant CODE_AND : std_logic_vector(CODE_W-1 downto 0) := "0000";
constant CODE_ANDI : std_logic_vector(CODE_W-1 downto 0) := "0001";
constant CODE_OR : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant CODE_ORI : std_logic_vector(CODE_W-1 downto 0) := "0011";
constant CODE_XOR : std_logic_vector(CODE_W-1 downto 0) := "0100";
constant CODE_XORI : std_logic_vector(CODE_W-1 downto 0) := "0101";
constant CODE_NOR : std_logic_vector(CODE_W-1 downto 0) := "1000";
--ATO
constant CODE_AMAX : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant CODE_AADD : std_logic_vector(CODE_W-1 downto 0) := "0001";
type branch_distance_vec is array(natural range <>) of unsigned(BRANCH_ADDR_W-1 downto 0);
type code_vec_type is array(natural range <>) of std_logic_vector(CODE_W-1 downto 0);
type atomic_type_vec_type is array(natural range <>) of std_logic_vector(2 downto 0);
end FGPU_definitions;
package body FGPU_definitions is
-- function called clogb2 that returns an integer which has the
--value of the ceiling of the log base 2
function clogb2 (bit_depth : integer) return integer is
variable depth : integer := bit_depth;
variable count : integer := 1;
begin
for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers
if (bit_depth <= 2) then
count := 1;
else
if(depth <= 1) then
count := count;
else
depth := depth / 2;
count := count + 1;
end if;
end if;
end loop;
return(count);
end;
impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable temp_bv : bit_vector(DATA_W-1 downto 0);
variable temp_mem : KRNL_SCHEDULER_RAM_type;
begin
for i in 0 to 16*32-1 loop
readline(init_file, init_line);
hread(init_line, temp_mem(i));
-- read(init_line, temp_bv);
-- temp_mem(i) := to_stdlogicvector(temp_bv);
end loop;
return temp_mem;
end function;
function max (LEFT, RIGHT: integer) return integer is
begin
if LEFT > RIGHT then return LEFT;
else return RIGHT;
end if;
end max;
function min_int (LEFT, RIGHT: integer) return integer is
begin
if LEFT > RIGHT then return RIGHT;
else return LEFT;
end if;
end min_int;
impure function init_CRAM(file_name : in string; file_len : in natural) return cram_type is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable cram : cram_type;
-- variable tmp: std_logic_vector(DATA_W-1 downto 0);
begin
for i in 0 to file_len-1 loop
readline(init_file, init_line);
hread(init_line, cram(i)); -- vivado breaks when synthesizing hread(init_line, cram(0)(i)) without giving any indication about the error
-- cram(i) := tmp;
-- if CRAM_BLOCKS > 1 then
-- for j in 1 to max(1,CRAM_BLOCKS-1) loop
-- cram(j)(i) := cram(0)(i);
-- end loop;
-- end if;
end loop;
return cram;
end function;
impure function init_SLV32_ARRAY_from_file(file_name : in string; len : in natural; file_len : in natural) return SLV32_ARRAY is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable temp_mem : SLV32_ARRAY(len-1 downto 0);
begin
for i in 0 to file_len-1 loop
readline(init_file, init_line);
hread(init_line, temp_mem(i));
end loop;
return temp_mem;
end function;
function pri_enc(datain: in std_logic_vector) return integer is
variable res : integer range 0 to datain'high;
begin
res := 0;
for i in datain'high downto 1 loop
if datain(i) = '1' then
res := i;
end if;
end loop;
return res;
end function;
end FGPU_definitions;
|
library ieee;
use ieee.std_logic_1164.all;
-- We don't have VHDL primitives yet, so declare them in examples for now.
package components is
component OSCH
generic (
NOM_FREQ : string := "2.08"
);
port(
STDBY : in std_logic;
OSC : out std_logic;
SEDSTDBY : out std_logic
);
end component;
end components;
|
library ieee;
use ieee.std_logic_1164.all;
-- We don't have VHDL primitives yet, so declare them in examples for now.
package components is
component OSCH
generic (
NOM_FREQ : string := "2.08"
);
port(
STDBY : in std_logic;
OSC : out std_logic;
SEDSTDBY : out std_logic
);
end component;
end components;
|
-- This should pass
library ieee;
use ieee.std_logic_1164.all;
--- this should fail
library ieee;
use ieee.std_logic_1164; library analog;
use analog.all; library digital;
use digital.gates.and;
library ieee;
use ieee.std_logic_1164; library analog;
use
analog.all;
use
analog.all;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test2 is
port(
clk : in std_logic;
write_data : in std_ulogic;
rst : std_ulogic
);
end;
architecture rtl of test2 is
begin
test_1: process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
null;
else
assert write_data = '0' report "bad" severity failure;
end if;
end if;
end process test_1;
end architecture rtl;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ent is
end entity;
architecture a of ent is
procedure proc(constant value : std_logic_vector) is
begin
end procedure;
begin
main : process is
constant const : unsigned(1 downto 0) := "00";
begin
proc(std_logic_vector(const));
wait;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ent is
end entity;
architecture a of ent is
procedure proc(constant value : std_logic_vector) is
begin
end procedure;
begin
main : process is
constant const : unsigned(1 downto 0) := "00";
begin
proc(std_logic_vector(const));
wait;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ent is
end entity;
architecture a of ent is
procedure proc(constant value : std_logic_vector) is
begin
end procedure;
begin
main : process is
constant const : unsigned(1 downto 0) := "00";
begin
proc(std_logic_vector(const));
wait;
end process;
end architecture;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:07:20 05/20/2015
-- Design Name:
-- Module Name: module_Rn - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity module_Rn is
port(
clk_RN: in std_logic;
nreset: in std_logic;
Rn_CS: in std_logic; -- µ±Rn_CS='0'²¢ÇÒ´¦ÓÚ¶ÁµÄʱºò£¬¶ÁÈ¡RDÀïÃæµÄÊý¾Ý
nRi_EN: in std_logic; -- µÍµçƽÓÐЧ
RDRi, WRRi: in std_logic; -- ¸ßµçƽÓÐЧ
RS: in std_logic;
RD: in std_logic;
datai: in std_logic_vector(7 downto 0);
datao: out std_logic_vector(7 downto 0);
do: out std_logic);
end module_Rn;
architecture Behavioral of module_Rn is
signal d0, d1: std_logic_vector(7 downto 0);
begin
process(nreset, clk_RN)
begin
if nreset = '0' then
d0 <= (others => '0');
d1 <= (others => '0');
elsif rising_edge(clk_RN) then
if nRi_EN = '0' then
if RDRi = '1' then
if Rn_CS = '1' then
if RS = '0' then
datao <= d0;
do <= '1';
else
datao <= d1;
do <= '1';
end if;
else
if RD = '0' then
datao <= d0;
do <= '1';
else
datao <= d1;
do <= '1';
end if;
end if;
elsif WRRi = '1' then
if RD = '0' then
d0 <= datai;
else
d1 <= datai;
end if;
datao <= (others => 'Z');
do <= '0';
else
datao <= (others => 'Z');
do <= '0';
end if;
else
datao <= (others => 'Z');
do <= '0';
end if;
end if;
end process;
end Behavioral;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- =============================================================================
-- Authors: Patrick Lehmann
--
-- Package: Project specific configuration.
--
-- Description:
-- ------------------------------------
-- Configuration file for a Digilent ArtyA7-35 board.
--
--
-- License:
-- =============================================================================
-- Copyright 2017-2020 Patrick Lehmann - Boetzingen, Germany
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- =============================================================================
--
--
package my_config is
-- Change these lines to setup configuration.
constant MY_BOARD : string := "ArtyA7-35"; -- Digilent ArtyA7-35 - Xilinx Artix-7: XC7A35T
constant MY_DEVICE : string := "None"; -- infer from MY_BOARD
-- For internal use only
constant MY_VERBOSE : boolean := FALSE;
end package;
|
library ieee;
use ieee.std_logic_1164.all;
use work.arch_defs.all;
use work.txt_utils.all;
entity MUX is
generic (BITS : natural := 32);
port (
sel: in ctrl_t;
input0 : in std_logic_vector(BITS-1 downto 0);
input1 : in std_logic_vector(BITS-1 downto 0);
output : out std_logic_vector(BITS-1 downto 0)
);
end entity;
architecture behav of MUX is
begin
output <= input0 when sel = '0' else input1;
end architecture behav;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1527.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s09b00x00p08n01i01527ent IS
END c08s09b00x00p08n01i01527ent;
ARCHITECTURE c08s09b00x00p08n01i01527arch OF c08s09b00x00p08n01i01527ent IS
BEGIN
TESTING: PROCESS
variable NSS : integer := 5;
variable MIN : integer := 6;
BEGIN
while NSS * MIN loop
end loop;
assert FALSE
report "***FAILED TEST: c08s09b00x00p08n01i01527 - while condition is not boolean expression"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s09b00x00p08n01i01527arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1527.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s09b00x00p08n01i01527ent IS
END c08s09b00x00p08n01i01527ent;
ARCHITECTURE c08s09b00x00p08n01i01527arch OF c08s09b00x00p08n01i01527ent IS
BEGIN
TESTING: PROCESS
variable NSS : integer := 5;
variable MIN : integer := 6;
BEGIN
while NSS * MIN loop
end loop;
assert FALSE
report "***FAILED TEST: c08s09b00x00p08n01i01527 - while condition is not boolean expression"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s09b00x00p08n01i01527arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1527.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s09b00x00p08n01i01527ent IS
END c08s09b00x00p08n01i01527ent;
ARCHITECTURE c08s09b00x00p08n01i01527arch OF c08s09b00x00p08n01i01527ent IS
BEGIN
TESTING: PROCESS
variable NSS : integer := 5;
variable MIN : integer := 6;
BEGIN
while NSS * MIN loop
end loop;
assert FALSE
report "***FAILED TEST: c08s09b00x00p08n01i01527 - while condition is not boolean expression"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s09b00x00p08n01i01527arch;
|
library ieee;
use ieee.std_logic_1164.all;
use work.arch_defs.all;
entity BranchMux is
port (
BranchANDZero: in ctrl_t;
AddrALUresult: in addr_t;
addr : in addr_t;
output : out addr_t
);
end entity;
architecture behav of BranchMux is
begin
output <= AddrALUresult when BranchANDZero = '1' else addr;
end architecture behav;
|
-- ----------------------------------------------------------------------
--LOGI-hard
--Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved.
--
--This library is free software; you can redistribute it and/or
--modify it under the terms of the GNU Lesser General Public
--License as published by the Free Software Foundation; either
--version 3.0 of the License, or (at your option) any later version.
--
--This library is distributed in the hope that it will be useful,
--but WITHOUT ANY WARRANTY; without even the implied warranty of
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
--Lesser General Public License for more details.
--
--You should have received a copy of the GNU Lesser General Public
--License along with this library.
-- ----------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Company:LAAS-CNRS
-- Author:Jonathan Piat <[email protected]>
--
-- Create Date: 10:54:36 06/19/2012
-- Design Name:
-- Module Name: fifo_peripheral - Behavioral
-- Project Name:
-- Target Devices: Spartan 6 Spartan 6
-- Tool versions: ISE 14.1 ISE 14.1
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
library work ;
use work.logi_utils_pack.all ;
--! peripheral with fifo interface to the logic
--! fifo B can be written from logic and read from bus
--! fifo A can be written from bus and read from logic
entity wishbone_fifo is
generic( ADDR_WIDTH: positive := 16; --! width of the address bus
WIDTH : positive := 16; --! width of the data bus
SIZE : positive := 128; --! fifo depth;
BURST_SIZE : positive := 4;
B_THRESHOLD : positive := 4;
A_THRESHOLD : positive := 4;
SYNC_LOGIC_INTERFACE : boolean := false
);
port(
-- Syscon signals
gls_reset : in std_logic ;
gls_clk : in std_logic ;
-- Wishbone signals
wbs_address : in std_logic_vector(ADDR_WIDTH-1 downto 0) ;
wbs_writedata : in std_logic_vector( WIDTH-1 downto 0);
wbs_readdata : out std_logic_vector( WIDTH-1 downto 0);
wbs_strobe : in std_logic ;
wbs_cycle : in std_logic ;
wbs_write : in std_logic ;
wbs_ack : out std_logic;
-- logic signals
write_fifo, read_fifo : in std_logic ;
fifo_input: in std_logic_vector((WIDTH - 1) downto 0); --! data input of fifo B
fifo_output : out std_logic_vector((WIDTH - 1) downto 0); --! data output of fifo A
read_fifo_empty, read_fifo_full, read_fifo_threshold : out std_logic ;
write_fifo_empty, write_fifo_full, write_fifo_threshold : out std_logic ;
read_fifo_reset, write_fifo_reset : out std_logic
);
end wishbone_fifo;
architecture RTL of wishbone_fifo is
constant address_space_nbit : integer := MAX((nbit(BURST_SIZE)+1), 3);
signal fifoA_wr, fifoB_rd, resetA, resetB : std_logic ;
signal fifoA_in, fifoB_out : std_logic_vector((WIDTH - 1) downto 0 );
signal nb_availableA, nb_availableB : unsigned((WIDTH - 1) downto 0 );
signal nb_availableA_latched, nb_availableB_latched : std_logic_vector((WIDTH - 1) downto 0 );
signal data_bus_out_t : std_logic_vector((WIDTH - 1) downto 0);
signal write_ack, read_ack : std_logic ;
signal gls_resetn : std_logic ;
signal control_latched : std_logic_vector(15 downto 0) ;
signal control_data : std_logic_vector(15 downto 0) ;
signal fifo_data : std_logic_vector(15 downto 0) ;
signal data_access : std_logic ;
signal control_space_data_spacen : std_logic ;
begin
gls_resetn <= NOT gls_reset ;
write_bloc : process(gls_clk,gls_reset)
begin
if gls_reset = '1' then
write_ack <= '0';
elsif rising_edge(gls_clk) then
if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then
write_ack <= '1';
else
write_ack <= '0';
end if;
end if;
end process write_bloc;
read_bloc : process(gls_clk, gls_reset)
begin
if gls_reset = '1' then
elsif rising_edge(gls_clk) then
control_latched <= control_data ;
if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then
read_ack <= '1';
else
read_ack <= '0';
end if;
end if;
end process read_bloc;
wbs_ack <= read_ack or write_ack;
fifo_A : dp_fifo -- write from bus, read from logic
generic map(N => SIZE , W => WIDTH, SYNC_RD => SYNC_LOGIC_INTERFACE, SYNC_WR => false)
port map(
clk => gls_clk, resetn => gls_resetn , sraz => resetA ,
wr => fifoA_wr, rd => read_fifo,
empty => read_fifo_empty,
full => read_fifo_full ,
data_out => fifo_output ,
data_in => fifoA_in ,
nb_available => nb_availableA(nbit(SIZE) downto 0)
);
fifo_B : dp_fifo -- read from bus, write from logic
generic map(N => SIZE , W => WIDTH, SYNC_WR => SYNC_LOGIC_INTERFACE, SYNC_RD => false)
port map(
clk => gls_clk, resetn => gls_resetn , sraz => resetB ,
wr => write_fifo, rd => fifoB_rd,
empty => write_fifo_empty,
full => write_fifo_full ,
data_out => fifoB_out ,
data_in => fifo_input ,
nb_available => nb_availableB(nbit(SIZE) downto 0)
);
nb_availableB_latched <= std_logic_vector(nb_availableB) ;
nb_availableA_latched <= std_logic_vector(nb_availableA) ;
nb_availableB((WIDTH - 1) downto (nbit(SIZE) + 1)) <= (others => '0') ;
nb_availableA((WIDTH - 1) downto (nbit(SIZE) + 1)) <= (others => '0') ;
control_space_data_spacen <= wbs_address((address_space_nbit-1)) ;
control_data <= std_logic_vector(to_unsigned(SIZE, 16)) when wbs_address(1 downto 0)= "00" else
( nb_availableA_latched) when wbs_address(1 downto 0)= "01" else
( nb_availableB_latched) when wbs_address(1 downto 0)= "10" else
fifoB_out when wbs_address((address_space_nbit-1)) = '1' and wbs_address(1 downto 0)= "11" else -- peek !
(others => '0');
fifo_data <= fifoB_out ;
wbs_readdata <= control_latched when control_space_data_spacen = '1' else
fifo_data ;
fifoB_rd <= '1' when control_space_data_spacen = '0' and wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' else
'0' ;
fifoA_wr <= '1' when control_space_data_spacen = '0' and (wbs_strobe and wbs_write and wbs_cycle)= '1' else
'0' ;
resetA <= '1' when wbs_strobe = '1' and wbs_write = '1' and wbs_cycle = '1' and control_space_data_spacen = '1' and wbs_address(1 downto 0) = "01" else
'0' ;
resetB <= '1' when wbs_strobe = '1' and wbs_write = '1' and wbs_cycle = '1' and control_space_data_spacen = '1' and wbs_address(1 downto 0) = "10" else
'0' ;
read_fifo_reset <= resetA ;
write_fifo_reset <= resetB ;
fifoA_in <= wbs_writedata ;
write_fifo_threshold <= '1' when nb_availableB_latched > B_THRESHOLD else
'0' ;
read_fifo_threshold <= '1' when nb_availableA_latched > A_THRESHOLD else
'0' ;
end RTL;
|
-- ----------------------------------------------------------------------
--LOGI-hard
--Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved.
--
--This library is free software; you can redistribute it and/or
--modify it under the terms of the GNU Lesser General Public
--License as published by the Free Software Foundation; either
--version 3.0 of the License, or (at your option) any later version.
--
--This library is distributed in the hope that it will be useful,
--but WITHOUT ANY WARRANTY; without even the implied warranty of
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
--Lesser General Public License for more details.
--
--You should have received a copy of the GNU Lesser General Public
--License along with this library.
-- ----------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Company:LAAS-CNRS
-- Author:Jonathan Piat <[email protected]>
--
-- Create Date: 10:54:36 06/19/2012
-- Design Name:
-- Module Name: fifo_peripheral - Behavioral
-- Project Name:
-- Target Devices: Spartan 6 Spartan 6
-- Tool versions: ISE 14.1 ISE 14.1
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
library work ;
use work.logi_utils_pack.all ;
--! peripheral with fifo interface to the logic
--! fifo B can be written from logic and read from bus
--! fifo A can be written from bus and read from logic
entity wishbone_fifo is
generic( ADDR_WIDTH: positive := 16; --! width of the address bus
WIDTH : positive := 16; --! width of the data bus
SIZE : positive := 128; --! fifo depth;
BURST_SIZE : positive := 4;
B_THRESHOLD : positive := 4;
A_THRESHOLD : positive := 4;
SYNC_LOGIC_INTERFACE : boolean := false
);
port(
-- Syscon signals
gls_reset : in std_logic ;
gls_clk : in std_logic ;
-- Wishbone signals
wbs_address : in std_logic_vector(ADDR_WIDTH-1 downto 0) ;
wbs_writedata : in std_logic_vector( WIDTH-1 downto 0);
wbs_readdata : out std_logic_vector( WIDTH-1 downto 0);
wbs_strobe : in std_logic ;
wbs_cycle : in std_logic ;
wbs_write : in std_logic ;
wbs_ack : out std_logic;
-- logic signals
write_fifo, read_fifo : in std_logic ;
fifo_input: in std_logic_vector((WIDTH - 1) downto 0); --! data input of fifo B
fifo_output : out std_logic_vector((WIDTH - 1) downto 0); --! data output of fifo A
read_fifo_empty, read_fifo_full, read_fifo_threshold : out std_logic ;
write_fifo_empty, write_fifo_full, write_fifo_threshold : out std_logic ;
read_fifo_reset, write_fifo_reset : out std_logic
);
end wishbone_fifo;
architecture RTL of wishbone_fifo is
constant address_space_nbit : integer := MAX((nbit(BURST_SIZE)+1), 3);
signal fifoA_wr, fifoB_rd, resetA, resetB : std_logic ;
signal fifoA_in, fifoB_out : std_logic_vector((WIDTH - 1) downto 0 );
signal nb_availableA, nb_availableB : unsigned((WIDTH - 1) downto 0 );
signal nb_availableA_latched, nb_availableB_latched : std_logic_vector((WIDTH - 1) downto 0 );
signal data_bus_out_t : std_logic_vector((WIDTH - 1) downto 0);
signal write_ack, read_ack : std_logic ;
signal gls_resetn : std_logic ;
signal control_latched : std_logic_vector(15 downto 0) ;
signal control_data : std_logic_vector(15 downto 0) ;
signal fifo_data : std_logic_vector(15 downto 0) ;
signal data_access : std_logic ;
signal control_space_data_spacen : std_logic ;
begin
gls_resetn <= NOT gls_reset ;
write_bloc : process(gls_clk,gls_reset)
begin
if gls_reset = '1' then
write_ack <= '0';
elsif rising_edge(gls_clk) then
if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then
write_ack <= '1';
else
write_ack <= '0';
end if;
end if;
end process write_bloc;
read_bloc : process(gls_clk, gls_reset)
begin
if gls_reset = '1' then
elsif rising_edge(gls_clk) then
control_latched <= control_data ;
if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then
read_ack <= '1';
else
read_ack <= '0';
end if;
end if;
end process read_bloc;
wbs_ack <= read_ack or write_ack;
fifo_A : dp_fifo -- write from bus, read from logic
generic map(N => SIZE , W => WIDTH, SYNC_RD => SYNC_LOGIC_INTERFACE, SYNC_WR => false)
port map(
clk => gls_clk, resetn => gls_resetn , sraz => resetA ,
wr => fifoA_wr, rd => read_fifo,
empty => read_fifo_empty,
full => read_fifo_full ,
data_out => fifo_output ,
data_in => fifoA_in ,
nb_available => nb_availableA(nbit(SIZE) downto 0)
);
fifo_B : dp_fifo -- read from bus, write from logic
generic map(N => SIZE , W => WIDTH, SYNC_WR => SYNC_LOGIC_INTERFACE, SYNC_RD => false)
port map(
clk => gls_clk, resetn => gls_resetn , sraz => resetB ,
wr => write_fifo, rd => fifoB_rd,
empty => write_fifo_empty,
full => write_fifo_full ,
data_out => fifoB_out ,
data_in => fifo_input ,
nb_available => nb_availableB(nbit(SIZE) downto 0)
);
nb_availableB_latched <= std_logic_vector(nb_availableB) ;
nb_availableA_latched <= std_logic_vector(nb_availableA) ;
nb_availableB((WIDTH - 1) downto (nbit(SIZE) + 1)) <= (others => '0') ;
nb_availableA((WIDTH - 1) downto (nbit(SIZE) + 1)) <= (others => '0') ;
control_space_data_spacen <= wbs_address((address_space_nbit-1)) ;
control_data <= std_logic_vector(to_unsigned(SIZE, 16)) when wbs_address(1 downto 0)= "00" else
( nb_availableA_latched) when wbs_address(1 downto 0)= "01" else
( nb_availableB_latched) when wbs_address(1 downto 0)= "10" else
fifoB_out when wbs_address((address_space_nbit-1)) = '1' and wbs_address(1 downto 0)= "11" else -- peek !
(others => '0');
fifo_data <= fifoB_out ;
wbs_readdata <= control_latched when control_space_data_spacen = '1' else
fifo_data ;
fifoB_rd <= '1' when control_space_data_spacen = '0' and wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' else
'0' ;
fifoA_wr <= '1' when control_space_data_spacen = '0' and (wbs_strobe and wbs_write and wbs_cycle)= '1' else
'0' ;
resetA <= '1' when wbs_strobe = '1' and wbs_write = '1' and wbs_cycle = '1' and control_space_data_spacen = '1' and wbs_address(1 downto 0) = "01" else
'0' ;
resetB <= '1' when wbs_strobe = '1' and wbs_write = '1' and wbs_cycle = '1' and control_space_data_spacen = '1' and wbs_address(1 downto 0) = "10" else
'0' ;
read_fifo_reset <= resetA ;
write_fifo_reset <= resetB ;
fifoA_in <= wbs_writedata ;
write_fifo_threshold <= '1' when nb_availableB_latched > B_THRESHOLD else
'0' ;
read_fifo_threshold <= '1' when nb_availableA_latched > A_THRESHOLD else
'0' ;
end RTL;
|
-- $Id: rbdlib.vhd 427 2011-11-19 21:04:11Z mueller $
--
-- Copyright 2010-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Package Name: rbdlib
-- Description: Definitions for rbus devices
--
-- Dependencies: -
-- Tool versions: xst 12.1, 13.1; ghdl 0.29
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-19 427 1.2.1 now numeric_std clean
-- 2010-12-29 351 1.2 new address layout; add rbd_timer
-- 2010-12-27 349 1.1 now correct defs for _rbmon and _eyemon
-- 2010-12-04 343 1.0 Initial version
------------------------------------------------------------------------------
--
-- base addresses of some standard rbus devices
--
-- rbd_rbmon 111111xx -++-- these three used as monitors
-- rbd_eyemon 111110xx /
-- rbd_rlstat 1111011x /
-- rbd_bram 1111010x \
-- rbd_tester 111100xx +- all five used in test benchs
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.rblib.all;
package rbdlib is
-- ise 13.1 xst can bug check if generic defaults in a package are defined via
-- 'slv(to_unsigned())'. The conv_ construct prior to numeric_std was ok.
-- As workaround the ibus default addresses are defined here as constant.
constant rbaddr_tester : slv8 := slv(to_unsigned(2#11110000#,8));
constant rbaddr_bram : slv8 := slv(to_unsigned(2#11110100#,8));
constant rbaddr_rbmon : slv8 := slv(to_unsigned(2#11111100#,8));
constant rbaddr_eyemon : slv8 := slv(to_unsigned(2#11111000#,8));
constant rbaddr_timer : slv8 := slv(to_unsigned(2#00000000#,8));
component rbd_tester is -- rbus dev: rbus tester
-- complete rbus_aif interface
generic (
RB_ADDR : slv8 := rbaddr_tester);
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type; -- rbus: response
RB_LAM : out slv16; -- rbus: look at me
RB_STAT : out slv3 -- rbus: status flags
);
end component;
component rbd_bram is -- rbus dev: bram test target
-- incomplete rbus_aif interface
generic (
RB_ADDR : slv8 := rbaddr_bram);
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type -- rbus: response
);
end component;
component rbd_rbmon is -- rbus dev: rbus monitor
generic (
RB_ADDR : slv8 := rbaddr_rbmon;
AWIDTH : positive := 9);
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type; -- rbus: response
RB_SRES_SUM : in rb_sres_type -- rbus: response (sum for monitor)
);
end component;
component rbd_eyemon is -- rbus dev: eye monitor for serport's
generic (
RB_ADDR : slv8 := rbaddr_eyemon;
RDIV : slv8 := (others=>'0'));
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type; -- rbus: response
RXSD : in slbit; -- rx: serial data
RXACT : in slbit -- rx: active (start seen)
);
end component;
component rbd_timer is -- rbus dev: usec precision timer
generic (
RB_ADDR : slv8 := rbaddr_timer);
port (
CLK : in slbit; -- clock
CE_USEC : in slbit; -- usec pulse
RESET : in slbit; -- reset
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type; -- rbus: response
DONE : out slbit; -- mark last timer cycle
BUSY : out slbit -- timer running
);
end component;
end package rbdlib;
|
-- $Id: rbdlib.vhd 427 2011-11-19 21:04:11Z mueller $
--
-- Copyright 2010-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Package Name: rbdlib
-- Description: Definitions for rbus devices
--
-- Dependencies: -
-- Tool versions: xst 12.1, 13.1; ghdl 0.29
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-19 427 1.2.1 now numeric_std clean
-- 2010-12-29 351 1.2 new address layout; add rbd_timer
-- 2010-12-27 349 1.1 now correct defs for _rbmon and _eyemon
-- 2010-12-04 343 1.0 Initial version
------------------------------------------------------------------------------
--
-- base addresses of some standard rbus devices
--
-- rbd_rbmon 111111xx -++-- these three used as monitors
-- rbd_eyemon 111110xx /
-- rbd_rlstat 1111011x /
-- rbd_bram 1111010x \
-- rbd_tester 111100xx +- all five used in test benchs
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.rblib.all;
package rbdlib is
-- ise 13.1 xst can bug check if generic defaults in a package are defined via
-- 'slv(to_unsigned())'. The conv_ construct prior to numeric_std was ok.
-- As workaround the ibus default addresses are defined here as constant.
constant rbaddr_tester : slv8 := slv(to_unsigned(2#11110000#,8));
constant rbaddr_bram : slv8 := slv(to_unsigned(2#11110100#,8));
constant rbaddr_rbmon : slv8 := slv(to_unsigned(2#11111100#,8));
constant rbaddr_eyemon : slv8 := slv(to_unsigned(2#11111000#,8));
constant rbaddr_timer : slv8 := slv(to_unsigned(2#00000000#,8));
component rbd_tester is -- rbus dev: rbus tester
-- complete rbus_aif interface
generic (
RB_ADDR : slv8 := rbaddr_tester);
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type; -- rbus: response
RB_LAM : out slv16; -- rbus: look at me
RB_STAT : out slv3 -- rbus: status flags
);
end component;
component rbd_bram is -- rbus dev: bram test target
-- incomplete rbus_aif interface
generic (
RB_ADDR : slv8 := rbaddr_bram);
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type -- rbus: response
);
end component;
component rbd_rbmon is -- rbus dev: rbus monitor
generic (
RB_ADDR : slv8 := rbaddr_rbmon;
AWIDTH : positive := 9);
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type; -- rbus: response
RB_SRES_SUM : in rb_sres_type -- rbus: response (sum for monitor)
);
end component;
component rbd_eyemon is -- rbus dev: eye monitor for serport's
generic (
RB_ADDR : slv8 := rbaddr_eyemon;
RDIV : slv8 := (others=>'0'));
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type; -- rbus: response
RXSD : in slbit; -- rx: serial data
RXACT : in slbit -- rx: active (start seen)
);
end component;
component rbd_timer is -- rbus dev: usec precision timer
generic (
RB_ADDR : slv8 := rbaddr_timer);
port (
CLK : in slbit; -- clock
CE_USEC : in slbit; -- usec pulse
RESET : in slbit; -- reset
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type; -- rbus: response
DONE : out slbit; -- mark last timer cycle
BUSY : out slbit -- timer running
);
end component;
end package rbdlib;
|
--------------------------------------------------------------------------------
-- prf_main.vhd
-- Pseudorandom function. PMK, MACs, and Nonces in, PTK out
-- Copyright (C) 2016 Jarrett Rainier
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.sha1_pkg.all;
entity prf_main is
port(
clk_i : in std_ulogic;
rst_i : in std_ulogic;
load_i : in std_ulogic;
pmk_i : in w_input;
anonce_dat : in nonce_data;
cnonce_dat : in nonce_data;
amac_dat : in mac_data;
cmac_dat : in mac_data;
ptk_dat_o : out ptk_data;
ptk_valid_o : out std_ulogic
);
end prf_main;
architecture RTL of prf_main is
component hmac_main
port(
clk_i : in std_ulogic;
rst_i : in std_ulogic;
secret_i : in w_input;
value_i : in w_input;
value_len_i : in std_ulogic_vector(0 to 63);
load_i : in std_ulogic;
dat_o : out w_output;
valid_o : out std_ulogic
);
end component;
type state_type is (STATE_IDLE,
STATE_START, STATE_PROCESS,
STATE_CLEANUP, STATE_FINISHED);
signal state : state_type := STATE_IDLE;
--signal pmk : w_input;
signal ssid_length : std_ulogic_vector(0 to 63);
signal mk_in : w_input;
signal out_x1 : w_output;
signal out_x2 : w_output;
signal f1 : w_output;
signal f2 : w_output;
signal f1_con : w_output;
signal f2_con : w_output;
signal x1 : w_input;
signal x2 : w_input;
signal x1_in : w_input;
signal x2_in : w_input;
signal r : w_input;
constant a : w_input := (X"50616972", X"77697365", X"206b6579",
X"20657870", X"616e7369", X"6f6e0000",
others=>(X"00000000"));--Pairwise key expansion
--pmk: 5df920b5481ed70538dd5fd02423d7e2522205feeebb974cad08a52b5613ede2
--a: Pairwise key expansion
--b: 000b86c2a4850013ce5598efae12a150652e9bc22063720c5081e9eb74077fb19fffe871dc4ca1e6f448af85e8dfa16b8769957d8249a4ec68d2b7641d3782162ef0dc37b014cc48343e8dd2
--r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e
--r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e2b5ca71661334a890814f53e1d035e8beb4f8361
--r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e2b5ca71661334a890814f53e1d035e8beb4f83611dc93e2657cecf69a3651bc4fca5880ce9081345
--r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e2b5ca71661334a890814f53e1d035e8beb4f83611dc93e2657cecf69a3651bc4fca5880ce9081345c5411d489313b29e4aaf287d5231a342b777a67a
signal valid : std_ulogic;
signal valid_x1 : std_ulogic;
signal load : std_ulogic;
signal i: integer range 0 to 4096;
begin
HMAC: hmac_main port map (clk_i,rst_i,pmk_i,a,ssid_length,load,out_x1,valid_x1);
process(clk_i)
begin
if (clk_i'event and clk_i = '1') then
if rst_i = '1' then
state <= STATE_IDLE;
i <= 0;
ptk_valid_o <= '0';
elsif load_i = '1' and state = STATE_IDLE then
i <= 0;
ptk_valid_o <= '0';
--a + X"00" + b + X"00"
for x in 0 to 4 loop
r(x) <= a(x);
end loop;
--Todo figure out a more elegant way to do this awkward bitshift
--b = min(apMac, cMac) + max(apMac, cMac) + min(apNonce, cNonce) + max(apNonce, cNonce)
r(5) <= a(5)(0 to 23) & std_ulogic_vector(amac_dat(0));
r(6) <= std_ulogic_vector(amac_dat(1)) &
std_ulogic_vector(amac_dat(2)) &
std_ulogic_vector(amac_dat(3)) &
std_ulogic_vector(amac_dat(4));
r(7) <= std_ulogic_vector(amac_dat(5)) &
std_ulogic_vector(cmac_dat(1)) &
std_ulogic_vector(cmac_dat(2)) &
std_ulogic_vector(cmac_dat(3));
r(8) <= std_ulogic_vector(cmac_dat(4)) &
std_ulogic_vector(cmac_dat(5)) &
std_ulogic_vector(anonce_dat(0)) &
std_ulogic_vector(anonce_dat(1));
for x in 0 to 6 loop
r(x + 8) <= std_ulogic_vector(anonce_dat((x * 4) + 2)) &
std_ulogic_vector(anonce_dat((x * 4) + 3)) &
std_ulogic_vector(anonce_dat((x * 4) + 4)) &
std_ulogic_vector(anonce_dat((x * 4) + 5));
end loop;
-- r(5) <= std_ulogic_vector(anonce_dat((x * 4) + 2)) &
-- std_ulogic_vector(anonce_dat((x * 4) + 3)) &
-- std_ulogic_vector(anonce_dat((x * 4) + 4)) &
-- std_ulogic_vector(anonce_dat((x * 4) + 5)); --31
--r(x + 1)(0 to 23) <= X"00000000";
state <= STATE_START;
elsif state = STATE_START then
state <= STATE_PROCESS;
elsif state = STATE_PROCESS then
state <= STATE_FINISHED;
elsif state = STATE_FINISHED then
ptk_valid_o <= '1';
state <= STATE_IDLE;
end if;
end if;
end process;
f1_con <= f1;
f2_con <= f2;
end RTL; |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1034.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p02n01i01034ent IS
END c06s04b00x00p02n01i01034ent;
ARCHITECTURE c06s04b00x00p02n01i01034arch OF c06s04b00x00p02n01i01034ent IS
BEGIN
TESTING: PROCESS
type THREE is range 1 to 3;
type A1 is array (THREE) of BOOLEAN;
type A2 is array (THREE, THREE) of BOOLEAN;
function F2(i : integer) return A2 is
variable AR2 : A2;
begin
return AR2;
end F2;
variable A : integer;
variable V: BOOLEAN;
BEGIN
V := F2(A)(2, 3); -- Indexed Name
assert NOT(V=false)
report "***PASSED TEST: c06s04b00x00p02n01i01034"
severity NOTE;
assert (V= false)
report "***FAILED TEST: c06s04b00x00p02n01i01034 - The prefix of an indexed name can be a indexed name."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p02n01i01034arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1034.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p02n01i01034ent IS
END c06s04b00x00p02n01i01034ent;
ARCHITECTURE c06s04b00x00p02n01i01034arch OF c06s04b00x00p02n01i01034ent IS
BEGIN
TESTING: PROCESS
type THREE is range 1 to 3;
type A1 is array (THREE) of BOOLEAN;
type A2 is array (THREE, THREE) of BOOLEAN;
function F2(i : integer) return A2 is
variable AR2 : A2;
begin
return AR2;
end F2;
variable A : integer;
variable V: BOOLEAN;
BEGIN
V := F2(A)(2, 3); -- Indexed Name
assert NOT(V=false)
report "***PASSED TEST: c06s04b00x00p02n01i01034"
severity NOTE;
assert (V= false)
report "***FAILED TEST: c06s04b00x00p02n01i01034 - The prefix of an indexed name can be a indexed name."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p02n01i01034arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1034.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p02n01i01034ent IS
END c06s04b00x00p02n01i01034ent;
ARCHITECTURE c06s04b00x00p02n01i01034arch OF c06s04b00x00p02n01i01034ent IS
BEGIN
TESTING: PROCESS
type THREE is range 1 to 3;
type A1 is array (THREE) of BOOLEAN;
type A2 is array (THREE, THREE) of BOOLEAN;
function F2(i : integer) return A2 is
variable AR2 : A2;
begin
return AR2;
end F2;
variable A : integer;
variable V: BOOLEAN;
BEGIN
V := F2(A)(2, 3); -- Indexed Name
assert NOT(V=false)
report "***PASSED TEST: c06s04b00x00p02n01i01034"
severity NOTE;
assert (V= false)
report "***FAILED TEST: c06s04b00x00p02n01i01034 - The prefix of an indexed name can be a indexed name."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p02n01i01034arch;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2009 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file spartan3a_dmem.vhd when simulating
-- the core, spartan3a_dmem. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY spartan3a_dmem IS
port (
clka: IN std_logic;
ena: IN std_logic;
wea: IN std_logic_VECTOR(1 downto 0);
addra: IN std_logic_VECTOR(9 downto 0);
dina: IN std_logic_VECTOR(15 downto 0);
douta: OUT std_logic_VECTOR(15 downto 0));
END spartan3a_dmem;
ARCHITECTURE spartan3a_dmem_a OF spartan3a_dmem IS
-- synthesis translate_off
component wrapped_spartan3a_dmem
port (
clka: IN std_logic;
ena: IN std_logic;
wea: IN std_logic_VECTOR(1 downto 0);
addra: IN std_logic_VECTOR(9 downto 0);
dina: IN std_logic_VECTOR(15 downto 0);
douta: OUT std_logic_VECTOR(15 downto 0));
end component;
-- Configuration specification
for all : wrapped_spartan3a_dmem use entity XilinxCoreLib.blk_mem_gen_v3_3(behavioral)
generic map(
c_has_regceb => 0,
c_has_regcea => 0,
c_mem_type => 0,
c_rstram_b => 0,
c_rstram_a => 0,
c_has_injecterr => 0,
c_rst_type => "SYNC",
c_prim_type => 1,
c_read_width_b => 16,
c_initb_val => "0",
c_family => "spartan3",
c_read_width_a => 16,
c_disable_warn_bhv_coll => 0,
c_write_mode_b => "WRITE_FIRST",
c_init_file_name => "no_coe_file_loaded",
c_write_mode_a => "WRITE_FIRST",
c_mux_pipeline_stages => 0,
c_has_mem_output_regs_b => 0,
c_has_mem_output_regs_a => 0,
c_load_init_file => 0,
c_xdevicefamily => "spartan3a",
c_write_depth_b => 1024,
c_write_depth_a => 1024,
c_has_rstb => 0,
c_has_rsta => 0,
c_has_mux_output_regs_b => 0,
c_inita_val => "0",
c_has_mux_output_regs_a => 0,
c_addra_width => 10,
c_addrb_width => 10,
c_default_data => "0",
c_use_ecc => 0,
c_algorithm => 1,
c_disable_warn_bhv_range => 0,
c_write_width_b => 16,
c_write_width_a => 16,
c_read_depth_b => 1024,
c_read_depth_a => 1024,
c_byte_size => 8,
c_sim_collision_check => "ALL",
c_common_clk => 0,
c_wea_width => 2,
c_has_enb => 0,
c_web_width => 2,
c_has_ena => 1,
c_use_byte_web => 1,
c_use_byte_wea => 1,
c_rst_priority_b => "CE",
c_rst_priority_a => "CE",
c_use_default_data => 0);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_spartan3a_dmem
port map (
clka => clka,
ena => ena,
wea => wea,
addra => addra,
dina => dina,
douta => douta);
-- synthesis translate_on
END spartan3a_dmem_a;
|
-----------------------------------------------------------------------
-- Package that declares some special functions needed for RTL netlisting
-----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
package FUNCS is
--- RTL netlister functions
function mux_s
(inputs : std_logic_vector;
sel : unsigned) return std_logic;
function mux_v
(inputs : unsigned;
sel : std_logic) return unsigned;
function mux_v
(inputs : unsigned;
sel : unsigned) return unsigned;
function mux_v
(inputs : signed;
sel : signed) return signed;
function mux1hot_s
(inputs : unsigned;
sel : unsigned) return std_logic;
function mux1hot_v
(inputs : unsigned;
sel : unsigned) return unsigned;
function mux1hot_v
(inputs : signed;
sel : signed) return signed;
type integers is array (positive range <>) of integer;
function muxv_s
(inputs : unsigned;
choices_nb : integers;
choices : unsigned;
sel : unsigned) return std_logic;
function muxv_v
(inputs : unsigned;
choices_nb : integers;
choices : unsigned;
sel : unsigned) return unsigned;
function lat_s
(input : std_logic;
clk : std_logic;
output : std_logic) return std_logic;
function lat_v
(input : unsigned;
clk : std_logic;
output: unsigned) return unsigned;
function tri_s
(input : std_logic;
control : std_logic) return std_logic;
function tri_v
(input : unsigned;
control : std_logic) return unsigned;
FUNCTION to_stdlogic ( arg1 : BOOLEAN ) RETURN STD_LOGIC;
FUNCTION maximum ( arg1, arg2 : INTEGER) RETURN INTEGER;
FUNCTION minimum ( arg1, arg2 : INTEGER) RETURN INTEGER;
FUNCTION "xor" (arg1, arg2:SIGNED) RETURN SIGNED;
FUNCTION "xor" (arg1, arg2:UNSIGNED) RETURN UNSIGNED;
FUNCTION "not" ( arg1 : SIGNED ) RETURN SIGNED;
FUNCTION "not" ( arg1 : UNSIGNED ) RETURN UNSIGNED;
FUNCTION "and" (arg1, arg2:SIGNED) RETURN SIGNED;
FUNCTION "and" (arg1, arg2:UNSIGNED) RETURN UNSIGNED;
FUNCTION "nand" (arg1, arg2:SIGNED) RETURN SIGNED;
FUNCTION "nand" (arg1, arg2:UNSIGNED) RETURN UNSIGNED;
FUNCTION "or" (arg1, arg2:SIGNED) RETURN SIGNED;
FUNCTION "or" (arg1, arg2:UNSIGNED) RETURN UNSIGNED;
FUNCTION "nor" (arg1, arg2:SIGNED) RETURN SIGNED;
FUNCTION "nor" (arg1, arg2:UNSIGNED) RETURN UNSIGNED;
FUNCTION "xnor" (arg1, arg2:SIGNED) RETURN SIGNED;
FUNCTION "xnor" (arg1, arg2:UNSIGNED) RETURN UNSIGNED;
FUNCTION nand_reduce(arg : UNSIGNED) RETURN STD_LOGIC;
FUNCTION nand_reduce(arg : SIGNED) RETURN STD_LOGIC;
FUNCTION eq ( l, r : UNSIGNED ) RETURN BOOLEAN ;
--attribute builtin_subprogram of "="[UNSIGNED, UNSIGNED return BOOLEAN]: function is "stdarith_eq_uu";
FUNCTION eq ( l, r : SIGNED ) RETURN BOOLEAN ;
--attribute builtin_subprogram of "="[SIGNED, SIGNED return BOOLEAN]: function is "stdarith_eq_ss";
-- Vectorized Overloaded Arithmetic Operators
FUNCTION "+" ( arg1, arg2 : STD_LOGIC ) RETURN STD_LOGIC;
FUNCTION "-" ( arg1, arg2 : STD_LOGIC ) RETURN STD_LOGIC;
FUNCTION "/" ( l, r : UNSIGNED ) RETURN UNSIGNED;
FUNCTION "/" ( l, r : SIGNED ) RETURN SIGNED;
FUNCTION "MOD" ( l, r : SIGNED ) RETURN SIGNED;
FUNCTION "MOD" ( l, r : UNSIGNED ) RETURN UNSIGNED;
FUNCTION "REM" ( l, r : SIGNED ) RETURN SIGNED;
FUNCTION "REM" ( l, r : UNSIGNED ) RETURN UNSIGNED;
FUNCTION "**" ( l, r : SIGNED ) RETURN SIGNED;
FUNCTION "**" ( l, r : UNSIGNED ) RETURN UNSIGNED;
FUNCTION "sla" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED ;
FUNCTION "sla" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED ;
FUNCTION "sra" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED ;
FUNCTION "sra" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED ;
FUNCTION "sll" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED ;
FUNCTION "sll" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED ;
FUNCTION "srl" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED ;
FUNCTION "srl" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED ;
FUNCTION "rol" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED ;
FUNCTION "rol" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED ;
FUNCTION "ror" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED ;
FUNCTION "ror" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED ;
--
-- In general, objects in this package are required to be functions.
-- For synthesizability reasons, we want the "flipflop" functions to be
-- procedures. There is a special kludge in the rtl netlister to let
-- this work.
--
procedure flipflop
(signal input : in std_logic;
signal clk : in std_logic;
signal output : out std_logic);
procedure flipflop
(signal input : in unsigned;
signal clk : in std_logic;
signal output : out unsigned);
procedure flipflop
(signal input : in std_logic;
signal clk : in std_logic;
signal output : out std_logic;
signal rst : in std_logic;
signal value : in std_logic);
procedure flipflop
(signal input : in unsigned;
signal clk : in std_logic;
signal output : out unsigned;
signal rst : in std_logic;
signal value : in unsigned);
--
-- This is used in the special case when we need to pick a vector that
-- has been changed into a constant. This is because the VHDL language
-- doesn't allow one to say "bits"[index]
--
function readindex
(input : unsigned;
index : natural) return std_logic;
--
-- The following procedures insert n consecutive wait until edge
-- statements into the process. 'phase' gives the active edge ie it
-- should be either '1' or '0'
--
procedure wait_clock_cycles
(constant n : natural;
signal clk : in std_logic;
constant phase : in std_logic);
procedure wait_clock_cycles
(constant n : natural;
signal clk : in bit;
constant phase : in bit);
-- Declare Exemplar Synthesis Directive attributes
attribute SYNTHESIS_RETURN : STRING ;
attribute IS_SIGNED : BOOLEAN ;
end FUNCS;
package body FUNCS is
function mux_s
(inputs : std_logic_vector;
sel : unsigned) return std_logic is
variable result : std_logic;
attribute SYNTHESIS_RETURN of result:variable is "mux";
begin
result := inputs ( conv_integer ( '0'&sel ));
return result;
end;
function mux_v
(inputs : unsigned;
sel : std_logic) return unsigned is
constant nb_inputs : positive := 2;
constant size : positive := inputs'length/nb_inputs;
variable value : integer;
variable ins : unsigned(0 to inputs'length-1) := inputs;
variable result : unsigned(0 to size-1);
variable left, right : integer;
attribute SYNTHESIS_RETURN of result:variable is "mux";
begin
if (sel = '1')
then
value := 1;
else
value := 0;
end if;
left := value*size;
right := (value + 1)*size -1;
for i in left to right loop
result(i-left) := ins(i);
end loop;
--result := ins (value*size to (value+1)*size-1);
return result;
end;
function mux_v
(inputs : unsigned;
sel : unsigned) return unsigned is
variable value : natural := conv_integer (unsigned(sel));
constant nb_inputs : positive := 2 ** sel'length;
constant size : positive := inputs'length/nb_inputs;
variable ins : unsigned(0 to inputs'length-1) := inputs;
variable result : unsigned(0 to size-1);
variable left, right : natural;
attribute SYNTHESIS_RETURN of result:variable is "mux";
begin
left := value*size;
right := (value + 1)*size -1;
for i in left to right loop
result(i-left) := ins(i);
end loop;
--result := ins (value*size to (value+1)*size-1);
return result;
end;
function mux_v
(inputs : signed;
sel : signed) return signed is
variable value : natural := conv_integer (unsigned(sel));
constant nb_inputs : positive := 2 ** sel'length;
constant size : positive := inputs'length/nb_inputs;
variable ins : signed(0 to inputs'length-1) := inputs;
variable result : signed(0 to size-1);
variable left, right : natural;
attribute SYNTHESIS_RETURN of result:variable is "mux";
begin
left := value*size;
right := (value + 1)*size -1;
for i in left to right loop
result(i-left) := ins(i);
end loop;
--result := ins (value*size to (value+1)*size-1);
return result;
end;
function mux1hot_s
(inputs : unsigned;
sel : unsigned) return std_logic is
variable ins : unsigned(0 to inputs'length-1) := inputs;
variable s : unsigned(0 to sel'length-1) := sel;
variable result : std_logic;
attribute SYNTHESIS_RETURN of result:variable is "mux1hot";
begin
for i in s'range loop
if s(i) = '1' then
result := ins (i);
return result;
end if;
end loop;
return '0';
end;
function mux1hot_v
(inputs : unsigned;
sel : unsigned) return unsigned is
constant size : positive := inputs'length/sel'length;
variable ins : unsigned(0 to inputs'length-1) := inputs;
variable s : unsigned(0 to sel'length-1) := sel;
variable result : unsigned(0 to size-1);
variable left, right : integer;
attribute SYNTHESIS_RETURN of result:variable is "mux1hot";
begin
for i in s'range loop
if (s(i) = '1') then
left := i*size;
right := (i+1)*size-1;
for j in left to right loop
result(j-left) := ins(j);
end loop;
--result := ins (i*size to (i+1)*size-1);
return result;
end if;
end loop;
result := (others=>'0');
return result;
end;
function mux1hot_v
(inputs : signed;
sel : signed) return signed is
constant size : positive := inputs'length/sel'length;
variable ins : signed(0 to inputs'length-1) := inputs;
variable s : signed(0 to sel'length-1) := sel;
variable result : signed(0 to size-1);
variable left, right : integer;
attribute SYNTHESIS_RETURN of result:variable is "mux1hot";
begin
for i in s'range loop
if (s(i) = '1') then
left := i*size;
right := (i+1)*size-1;
for j in left to right loop
result(j-left) := ins(j);
end loop;
--result := ins (i*size to (i+1)*size-1);
return result;
end if;
end loop;
result := (others=>'0');
return result;
end;
function muxv_s
(inputs : unsigned;
choices_nb : integers;
choices : unsigned;
sel : unsigned) return std_logic is
variable ins : unsigned(0 to inputs'length-1) := inputs;
variable chs : unsigned(0 to choices'length-1) := choices;
variable ch : unsigned(0 to sel'length-1);
variable choice_index : integer := 0;
variable result : std_logic;
attribute SYNTHESIS_RETURN of result:variable is "muxv";
begin
for i in 0 to choices_nb'length-1 loop
for j in 1 to choices_nb(i) loop
ch := chs (choice_index*sel'length to
(choice_index+1)*sel'length);
if (sel = ch) then
result := ins (i);
return result;
end if;
choice_index := choice_index + 1;
end loop;
end loop;
result := ins (choices_nb'length-1);
return result;
end;
function muxv_v
(inputs : unsigned;
choices_nb : integers;
choices : unsigned;
sel : unsigned) return unsigned is
constant size : positive := inputs'length/choices_nb'length;
variable ins : unsigned(0 to inputs'length-1) := inputs;
variable chs : unsigned(0 to choices'length-1) := choices;
variable ch : unsigned(0 to sel'length-1);
variable choice_index : integer := 0;
variable result : unsigned(0 to size-1);
variable left, right : integer;
attribute SYNTHESIS_RETURN of result:variable is "muxv";
begin
for i in 0 to choices_nb'length-1 loop
for j in 1 to choices_nb(i) loop
left := choice_index*sel'length;
right := (choice_index+1)*sel'length;
for k in left to right loop
ch(k-left) := chs(k);
end loop;
--ch := chs (choice_index*sel'length to
-- (choice_index+1)*sel'length);
if (sel = ch) then
left := i * size;
right := (i+1)*size-1;
for k in left to right loop
result(k-left) := ins(k);
end loop;
--result := ins (i*size to (i+1)*size-1);
return result;
end if;
choice_index := choice_index + 1;
end loop;
end loop;
left := (choices_nb'length-1)*size;
right := choices_nb'length*size-1;
for j in left to right loop
result(j-left) := ins(j);
end loop;
--result := ins ((choices_nb'length-1)*size to choices_nb'length*size-1);
return result;
end;
function lat_s
(input : std_logic;
clk : std_logic;
output : std_logic) return std_logic is
variable result : std_logic;
attribute SYNTHESIS_RETURN of result:variable is "lat";
begin
if (clk = '1') then
result := input;
return result;
else
result := output;
return result;
end if;
end;
function lat_v
(input : unsigned;
clk : std_logic;
output: unsigned) return unsigned is
variable result : unsigned(output'range);
attribute SYNTHESIS_RETURN of result:variable is "lat";
begin
if (clk = '1') then
result := input;
return result;
else
result := output;
return result;
end if;
end;
function tri_s
(input : std_logic;
control : std_logic) return std_logic is
variable result : std_logic;
attribute SYNTHESIS_RETURN of result:variable is "tri";
begin
if (control = '1') then
result := input;
return result;
else
result := 'Z';
return result;
end if;
end;
function tri_v
(input : unsigned;
control : std_logic) return unsigned is
variable result : unsigned(input'range);
attribute SYNTHESIS_RETURN of result:variable is "tri";
begin
if (control = '1') then
result := input;
return result;
else
result := (others => 'Z');
return result;
end if;
end;
--
-- Arithmetic, other functions missing from ieee.std_logic_arith
--
TYPE stdlogic_1d IS ARRAY (std_ulogic) OF std_ulogic;
TYPE stdlogic_table IS ARRAY(std_ulogic, std_ulogic) OF std_ulogic;
TYPE stdlogic_boolean_table IS ARRAY(std_ulogic, std_ulogic) OF BOOLEAN;
CONSTANT eq_table : stdlogic_boolean_table := (
--
----------------------------------------------------------------------------
-- | U X 0 1 Z W L H D | |
--
----------------------------------------------------------------------------
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | U |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | X |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | 0 |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | 1 |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | Z |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | W |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | L |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | H |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ) -- | D |
);
-- truth table for "and" function
CONSTANT and_table : stdlogic_table := (
-- ----------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------
( 'U', 'U', '0', 'U', 'U', 'U', '0', 'U', 'U' ), -- | U |
( 'U', 'X', '0', 'X', 'X', 'X', '0', 'X', 'X' ), -- | X |
( '0', '0', '0', '0', '0', '0', '0', '0', '0' ), -- | 0 |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | 1 |
( 'U', 'X', '0', 'X', 'X', 'X', '0', 'X', 'X' ), -- | Z |
( 'U', 'X', '0', 'X', 'X', 'X', '0', 'X', 'X' ), -- | W |
( '0', '0', '0', '0', '0', '0', '0', '0', '0' ), -- | L |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | H |
( 'U', 'X', '0', 'X', 'X', 'X', '0', 'X', 'X' ) -- | D |
);
-- truth table for "or" function
CONSTANT or_table : stdlogic_table := (
-- ----------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------
( 'U', 'U', 'U', '1', 'U', 'U', 'U', '1', 'U' ), -- | U |
( 'U', 'X', 'X', '1', 'X', 'X', 'X', '1', 'X' ), -- | X |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | 0 |
( '1', '1', '1', '1', '1', '1', '1', '1', '1' ), -- | 1 |
( 'U', 'X', 'X', '1', 'X', 'X', 'X', '1', 'X' ), -- | Z |
( 'U', 'X', 'X', '1', 'X', 'X', 'X', '1', 'X' ), -- | W |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | L |
( '1', '1', '1', '1', '1', '1', '1', '1', '1' ), -- | H |
( 'U', 'X', 'X', '1', 'X', 'X', 'X', '1', 'X' ) -- | D |
);
-- truth table for "xor" function
CONSTANT xor_table : stdlogic_table := (
-- ----------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------
( 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U' ), -- | U |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | X |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | 0 |
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' ), -- | 1 |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | Z |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | W |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | L |
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' ), -- | H |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ) -- | D |
);
-- truth table for "not" function
CONSTANT not_table: stdlogic_1D :=
-- -------------------------------------------------
-- | U X 0 1 Z W L H D |
-- -------------------------------------------------
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' );
FUNCTION "+" ( arg1, arg2 : STD_LOGIC ) RETURN STD_LOGIC IS
-- truth table for "xor" function
CONSTANT xor_table : stdlogic_table := (
-- ----------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------
( 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U' ), -- | U |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | X |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | 0 |
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' ), -- | 1 |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | Z |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | W |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | L |
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' ), -- | H |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ) -- | D |
);
VARIABLE result : STD_LOGIC ;
-- Arithmetic addition of two logic types. Works as XOR.
ATTRIBUTE synthesis_return OF result:VARIABLE IS "XOR" ;
BEGIN
result := xor_table( arg1, arg2 );
RETURN result ;
END "+";
FUNCTION "-" ( arg1, arg2 : std_logic ) RETURN std_logic IS
-- truth table for "xor" function
CONSTANT xor_table : stdlogic_table := (
-- ----------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------
( 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U' ), -- | U |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | X |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | 0 |
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' ), -- | 1 |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | Z |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | W |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | L |
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' ), -- | H |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ) -- | D |
);
-- Arithmetic addition of logic types. Same as XOR.
VARIABLE result : std_logic ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "XOR" ;
BEGIN
result := xor_table( arg1, arg2 );
RETURN result ;
END "-";
FUNCTION zxt( q : UNSIGNED; i : INTEGER ) RETURN UNSIGNED IS
VARIABLE qs : UNSIGNED (1 TO i);
VARIABLE qt : UNSIGNED (1 TO q'length);
-- Hidden function. Synthesis directives are present in its callers
BEGIN
qt := q;
IF i < q'length THEN
qs := qt( (q'length-i+1) TO qt'right);
ELSIF i > q'length THEN
qs := (OTHERS=>'0');
qs := qs(1 TO (i-q'length)) & qt;
ELSE
qs := qt;
END IF;
RETURN qs;
END;
FUNCTION eq ( l, r : STD_LOGIC ) RETURN BOOLEAN IS
-- Equal for two logic types
VARIABLE result : BOOLEAN ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "EQ" ;
BEGIN
result := eq_table( l, r );
RETURN result ;
END;
FUNCTION eq ( l,r : UNSIGNED ) RETURN BOOLEAN IS
CONSTANT ml : INTEGER := maximum( l'length, r'length );
VARIABLE lt : UNSIGNED ( 1 TO ml );
VARIABLE rt : UNSIGNED ( 1 TO ml );
-- Arithmetic Equal for two Unsigned vectors
VARIABLE result : BOOLEAN ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "EQ" ;
BEGIN
lt := zxt( l, ml );
rt := zxt( r, ml );
FOR i IN lt'range LOOP
IF NOT eq( lt(i), rt(i) ) THEN
result := FALSE ;
RETURN result;
END IF;
END LOOP;
RETURN TRUE;
END;
FUNCTION eq ( l,r : SIGNED ) RETURN BOOLEAN IS
CONSTANT len : INTEGER := maximum( l'length, r'length );
VARIABLE lt, rt : UNSIGNED ( len-1 downto 0 ) := (OTHERS => '0');
-- Arithmetic Equal for two Signed vectors
VARIABLE result : BOOLEAN ;
ATTRIBUTE is_signed OF l:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF r:CONSTANT IS TRUE ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "EQ" ;
BEGIN
assert l'length > 1 AND r'length > 1
report "SIGNED vector must be atleast 2 bits wide"
severity ERROR;
lt := (OTHERS => l(l'left)) ;
lt(l'length - 1 DOWNTO 0) := UNSIGNED(l);
rt := (OTHERS => r(r'left)) ;
rt(r'length - 1 DOWNTO 0) := UNSIGNED(r);
result := (eq( lt, rt ));
RETURN result ;
END;
FUNCTION "not" ( arg1 : SIGNED ) RETURN SIGNED IS
VARIABLE result : SIGNED ( arg1'RANGE ) := (Others => 'X');
-- Vector-wide NOT
-- Synthesizable as is.
ATTRIBUTE synthesis_return OF result:VARIABLE IS "NOT" ;
begin
for i in result'range loop
result(i) := not_table( arg1(i) );
end loop;
return result;
end "not";
FUNCTION "not" ( arg1 : UNSIGNED ) RETURN UNSIGNED IS
VARIABLE result : UNSIGNED ( arg1'RANGE ) := (Others => 'X');
-- Vector-wide NOT
-- Synthesizable as is.
ATTRIBUTE synthesis_return OF result:VARIABLE IS "NOT" ;
begin
for i in result'range loop
result(i) := not_table( arg1(i) );
end loop;
return result;
end "not";
FUNCTION "and" ( arg1,arg2 : UNSIGNED ) RETURN UNSIGNED IS
CONSTANT ml : integer := maximum( arg1'LENGTH, arg2'LENGTH );
VARIABLE lt : UNSIGNED ( 1 to ml );
VARIABLE rt : UNSIGNED ( 1 to ml );
VARIABLE res : UNSIGNED ( 1 to ml );
-- Vector-wide AND with zero-extend
ATTRIBUTE synthesis_return OF res:VARIABLE IS "AND" ;
begin
lt := zxt( arg1, ml );
rt := zxt( arg2, ml );
FOR i IN res'RANGE LOOP
res(i) := and_table( lt(i), rt(i) );
END LOOP;
RETURN res;
end "and";
FUNCTION "nand" ( arg1,arg2 : UNSIGNED ) RETURN UNSIGNED IS
CONSTANT ml : integer := maximum( arg1'LENGTH, arg2'LENGTH );
VARIABLE lt : UNSIGNED ( 1 to ml );
VARIABLE rt : UNSIGNED ( 1 to ml );
VARIABLE res : UNSIGNED ( 1 to ml );
-- Vector-wide NAND with zero-extend
ATTRIBUTE synthesis_return OF res:VARIABLE IS "NAND" ;
begin
lt := zxt( arg1, ml );
rt := zxt( arg2, ml );
FOR i IN res'RANGE LOOP
res(i) := not_table( and_table( lt(i), rt(i) ) );
END LOOP;
RETURN res;
end "nand";
FUNCTION "or" ( arg1,arg2 : UNSIGNED ) RETURN UNSIGNED IS
CONSTANT ml : integer := maximum( arg1'LENGTH, arg2'LENGTH );
VARIABLE lt : UNSIGNED ( 1 to ml );
VARIABLE rt : UNSIGNED ( 1 to ml );
VARIABLE res : UNSIGNED ( 1 to ml );
-- Vector-wide OR with zero-extend
ATTRIBUTE synthesis_return OF res:VARIABLE IS "OR" ;
begin
lt := zxt( arg1, ml );
rt := zxt( arg2, ml );
FOR i IN res'RANGE LOOP
res(i) := or_table( lt(i), rt(i) );
END LOOP;
RETURN res;
end "or";
FUNCTION "nor" ( arg1,arg2 : UNSIGNED ) RETURN UNSIGNED IS
CONSTANT ml : integer := maximum( arg1'LENGTH, arg2'LENGTH );
VARIABLE lt : UNSIGNED ( 1 to ml );
VARIABLE rt : UNSIGNED ( 1 to ml );
VARIABLE res : UNSIGNED ( 1 to ml );
-- Vector-wide NOR with zero-extend
ATTRIBUTE synthesis_return OF res:VARIABLE IS "NOR" ;
begin
lt := zxt( arg1, ml );
rt := zxt( arg2, ml );
FOR i IN res'RANGE LOOP
res(i) := not_table( or_table( lt(i), rt(i) ) );
END LOOP;
RETURN res;
end "nor";
FUNCTION "and" ( arg1,arg2 : SIGNED ) RETURN SIGNED IS
CONSTANT len : INTEGER := maximum(arg1'length,arg2'length) ;
VARIABLE a,b : UNSIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
VARIABLE answer : SIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
-- Vector-wide AND with sign extend
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF arg2:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF answer:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF answer:VARIABLE IS "AND" ;
BEGIN
a := (OTHERS => arg1(arg1'left)) ;
a(arg1'length - 1 DOWNTO 0) := UNSIGNED(arg1);
b := (OTHERS => arg2(arg2'left)) ;
b(arg2'length - 1 DOWNTO 0) := UNSIGNED(arg2);
answer := SIGNED(a and b);
RETURN (answer);
end "and";
FUNCTION "nand" ( arg1,arg2 : SIGNED ) RETURN SIGNED IS
CONSTANT len : INTEGER := maximum(arg1'length,arg2'length) ;
VARIABLE a,b : UNSIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
VARIABLE answer : SIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
-- Vector-wide NAND with sign extend
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF arg2:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF answer:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF answer:VARIABLE IS "NAND" ;
BEGIN
a := (OTHERS => arg1(arg1'left)) ;
a(arg1'length - 1 DOWNTO 0) := UNSIGNED(arg1);
b := (OTHERS => arg2(arg2'left)) ;
b(arg2'length - 1 DOWNTO 0) := UNSIGNED(arg2);
answer := SIGNED(a nand b);
RETURN (answer);
end "nand";
FUNCTION "or" ( arg1,arg2 : SIGNED ) RETURN SIGNED IS
CONSTANT len : INTEGER := maximum(arg1'length,arg2'length) ;
VARIABLE a,b : UNSIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
VARIABLE answer : SIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
-- Vector-wide OR with sign extend
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF arg2:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF answer:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF answer:VARIABLE IS "OR" ;
BEGIN
a := (OTHERS => arg1(arg1'left)) ;
a(arg1'length - 1 DOWNTO 0) := UNSIGNED(arg1);
b := (OTHERS => arg2(arg2'left)) ;
b(arg2'length - 1 DOWNTO 0) := UNSIGNED(arg2);
answer := SIGNED(a or b);
RETURN (answer);
end "or";
FUNCTION "nor" ( arg1,arg2 : SIGNED ) RETURN SIGNED IS
CONSTANT len : INTEGER := maximum(arg1'length,arg2'length) ;
VARIABLE a,b : UNSIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
VARIABLE answer : SIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
-- Vector-wide NOR with sign extend
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF arg2:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF answer:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF answer:VARIABLE IS "NOR" ;
BEGIN
a := (OTHERS => arg1(arg1'left)) ;
a(arg1'length - 1 DOWNTO 0) := UNSIGNED(arg1);
b := (OTHERS => arg2(arg2'left)) ;
b(arg2'length - 1 DOWNTO 0) := UNSIGNED(arg2);
answer := SIGNED(a nor b);
RETURN (answer);
end "nor";
FUNCTION "xnor" ( arg1, arg2 : UNSIGNED ) RETURN UNSIGNED IS
CONSTANT ml : integer := maximum( arg1'LENGTH, arg2'LENGTH );
VARIABLE lt : UNSIGNED ( 1 to ml );
VARIABLE rt : UNSIGNED ( 1 to ml );
VARIABLE res : UNSIGNED ( 1 to ml );
-- Vector-wide XNOR with zero extend
ATTRIBUTE synthesis_return OF res:VARIABLE IS "XNOR" ;
begin
lt := zxt( arg1, ml );
rt := zxt( arg2, ml );
FOR i IN res'RANGE LOOP
res(i) := not_table( xor_table( lt(i), rt(i) ) );
END LOOP;
RETURN res;
end "xnor";
FUNCTION "xnor" ( arg1, arg2 : SIGNED ) RETURN SIGNED IS
CONSTANT len : INTEGER := maximum(arg1'length,arg2'length) ;
VARIABLE a,b : UNSIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
VARIABLE answer : SIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
-- Vector-wide XNOR with sign extend
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF arg2:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF answer:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF answer:VARIABLE IS "XNOR" ;
BEGIN
a := (OTHERS => arg1(arg1'left)) ;
a(arg1'length - 1 DOWNTO 0) := UNSIGNED(arg1);
b := (OTHERS => arg2(arg2'left)) ;
b(arg2'length - 1 DOWNTO 0) := UNSIGNED(arg2);
answer := SIGNED(a xnor b);
RETURN (answer);
end "xnor";
FUNCTION and_reduce(arg: SIGNED) RETURN STD_LOGIC IS
VARIABLE result: STD_LOGIC;
-- Exemplar synthesis directive attributes for this function
ATTRIBUTE synthesis_RETURN OF result:VARIABLE IS "REDUCE_AND" ;
BEGIN
result := '1';
FOR i IN arg'RANGE LOOP
result := result AND arg(i);
END LOOP;
RETURN result;
END;
FUNCTION nand_reduce(arg: SIGNED) RETURN STD_LOGIC IS
VARIABLE result: STD_LOGIC;
ATTRIBUTE synthesis_RETURN OF result:VARIABLE IS "REDUCE_NAND" ;
BEGIN
result := NOT and_reduce(arg);
RETURN result;
END;
FUNCTION and_reduce(arg: UNSIGNED) RETURN STD_LOGIC IS
VARIABLE result: STD_LOGIC;
-- Exemplar synthesis directive attributes for this function
ATTRIBUTE synthesis_RETURN OF result:VARIABLE IS "REDUCE_AND" ;
BEGIN
result := '1';
FOR i IN arg'RANGE LOOP
result := result AND arg(i);
END LOOP;
RETURN result;
END;
FUNCTION nand_reduce(arg: UNSIGNED) RETURN STD_LOGIC IS
VARIABLE result: STD_LOGIC;
ATTRIBUTE synthesis_RETURN OF result:VARIABLE IS "REDUCE_NAND" ;
BEGIN
result := NOT and_reduce(arg);
RETURN result;
END;
FUNCTION hasx( v : SIGNED ) RETURN BOOLEAN IS
-- Synthesizable as is. Normal synthesis rules apply for
-- comparison of metalogical values.
BEGIN
FOR i IN v'range LOOP
IF v(i) = '0' OR v(i) = '1' OR v(i) = 'L' OR v(i) = 'H'THEN
NULL;
ELSE
RETURN TRUE;
END IF;
END LOOP;
RETURN FALSE;
END hasx;
FUNCTION hasx( v : UNSIGNED ) RETURN BOOLEAN IS
-- Synthesizable as is. Normal synthesis rules apply for
-- comparison of metalogical values.
BEGIN
FOR i IN v'range LOOP
IF v(i) = '0' OR v(i) = '1' OR v(i) = 'L' OR v(i) = 'H'THEN
NULL;
ELSE
RETURN TRUE;
END IF;
END LOOP;
RETURN FALSE;
END hasx;
FUNCTION sxt( q : SIGNED; i : INTEGER ) RETURN SIGNED IS
VARIABLE qs : SIGNED (1 TO i);
VARIABLE qt : SIGNED (1 TO q'length);
-- Hidden function for synthesis; Directives set for its callers
BEGIN
qt := q;
IF i < q'length THEN
qs := qt( (q'length-i+1) TO qt'right);
ELSIF i > q'length THEN
qs := (OTHERS=>q(q'left));
qs := qs(1 TO (i-q'length)) & qt;
ELSE
qs := qt;
END IF;
RETURN qs;
END;
FUNCTION "abs" (arg1:SIGNED) RETURN SIGNED IS
constant len : integer := arg1'length;
VARIABLE answer, tmp : SIGNED( len-1 downto 0 ) := (others=>'0');
VARIABLE index : integer := len;
-- Absolute value of Signed vector
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE ;
-- Return a UNsigned vector that is abs of the input vector
ATTRIBUTE synthesis_return OF answer:VARIABLE IS "ABS" ;
BEGIN
assert arg1'length > 1
report "SIGNED vector must be atleast 2 bits wide"
severity ERROR;
IF hasx(arg1) THEN
answer := (OTHERS => 'X');
ELSIF (arg1(arg1'left) = '0' OR arg1(arg1'left) = 'L') THEN
answer := arg1;
ELSE
tmp := arg1;
lp1 : FOR i IN answer'REVERSE_RANGE LOOP
IF (tmp(i) = '1' OR tmp(i) = 'H') THEN
index := i+1;
answer(i downto 0) := tmp(i downto 0);
exit;
END IF;
END LOOP lp1;
answer(len-1 downto index) := NOT tmp(len-1 downto index);
end if;
RETURN (answer);
END ;
FUNCTION to_stdlogic (arg1:BOOLEAN) RETURN STD_LOGIC IS
-- Synthesizable as is.
BEGIN
IF(arg1) THEN
RETURN('1') ;
ELSE
RETURN('0') ;
END IF ;
END ;
FUNCTION maximum (arg1,arg2:INTEGER) RETURN INTEGER IS
BEGIN
IF(arg1 > arg2) THEN
RETURN(arg1) ;
ELSE
RETURN(arg2) ;
END IF;
END ;
FUNCTION minimum (arg1,arg2:INTEGER) RETURN INTEGER IS
BEGIN
IF(arg1 < arg2) THEN
RETURN(arg1) ;
ELSE
RETURN(arg2) ;
END IF;
END ;
FUNCTION "xor" ( arg1, arg2 : UNSIGNED ) RETURN UNSIGNED IS
CONSTANT ml : integer := maximum( arg1'LENGTH, arg2'LENGTH );
VARIABLE lt : UNSIGNED ( 1 to ml );
VARIABLE rt : UNSIGNED ( 1 to ml );
VARIABLE res : UNSIGNED ( 1 to ml );
-- Vector-wide XOR with zero-extend
ATTRIBUTE synthesis_return OF res:VARIABLE IS "XOR" ;
begin
lt := zxt( arg1, ml );
rt := zxt( arg2, ml );
FOR i IN res'RANGE LOOP
res(i) := xor_table( lt(i), rt(i) );
END LOOP;
RETURN res;
end "xor";
FUNCTION "xor" ( arg1, arg2 : SIGNED ) RETURN SIGNED IS
CONSTANT len : INTEGER := maximum(arg1'length,arg2'length) ;
VARIABLE a,b : UNSIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
VARIABLE answer : SIGNED(len-1 DOWNTO 0) := (OTHERS => '0') ;
-- Vector-wide XOR with sign extend
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF arg2:CONSTANT IS TRUE ;
ATTRIBUTE is_signed OF answer:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF answer:VARIABLE IS "XOR" ;
BEGIN
a := (OTHERS => arg1(arg1'left)) ;
a(arg1'length - 1 DOWNTO 0) := UNSIGNED(arg1);
b := (OTHERS => arg2(arg2'left)) ;
b(arg2'length - 1 DOWNTO 0) := UNSIGNED(arg2);
answer := SIGNED(a xor b);
RETURN (answer);
end "xor";
FUNCTION shift( v : SIGNED ) RETURN SIGNED IS
VARIABLE v1 : SIGNED( v'range );
-- Hidden function. Synthesis directives set for its callers.
BEGIN
FOR i IN (v'left+1) TO v'right LOOP
v1(i-1) := v(i);
END LOOP;
v1(v1'right) := '0';
RETURN v1;
END shift;
FUNCTION shift( v : UNSIGNED ) RETURN UNSIGNED IS
VARIABLE v1 : UNSIGNED( v'range );
-- Hidden function. Synthesis directives set for its callers.
BEGIN
FOR i IN (v'left+1) TO v'right LOOP
v1(i-1) := v(i);
END LOOP;
v1(v1'right) := '0';
RETURN v1;
END shift;
FUNCTION rshift( v : UNSIGNED ) RETURN UNSIGNED IS
VARIABLE v1 : UNSIGNED( v'range );
-- Hidden function for synthesis; Directives set for its callers
BEGIN
FOR i IN v'left TO v'right-1 LOOP
v1(i+1) := v(i);
END LOOP;
v1(v1'left) := '0';
RETURN v1;
END rshift;
FUNCTION rshift( v : SIGNED ) RETURN SIGNED IS
VARIABLE v1 : SIGNED( v'range );
-- Hidden function for synthesis; Directives set for its callers
BEGIN
FOR i IN v'left TO v'right-1 LOOP
v1(i+1) := v(i);
END LOOP;
v1(v1'left) := '0';
RETURN v1;
END rshift;
FUNCTION "/" (l, r :UNSIGNED) RETURN UNSIGNED IS
CONSTANT ml : INTEGER := maximum(l'length,r'length);
VARIABLE lt : UNSIGNED(0 TO ml+1);
VARIABLE rt : UNSIGNED(0 TO ml+1);
VARIABLE quote : UNSIGNED(1 TO ml) := (OTHERS=>'X');
VARIABLE tmp : UNSIGNED(0 TO ml+1) := (OTHERS=>'0');
VARIABLE n : UNSIGNED(0 TO ml+1) := (OTHERS=>'0');
-- Division of Unsigned vectors.
ATTRIBUTE synthesis_return OF quote:VARIABLE IS "DIV" ;
BEGIN
if (eq(r,"0")) then
ASSERT false
REPORT "Attempted divide by ZERO"
SEVERITY ERROR;
ELSIF NOT (hasx(l) OR hasx(r)) THEN
lt := zxt( l, ml+2 );
WHILE lt >= r LOOP
rt := zxt( r, ml+2 );
n := (OTHERS=>'0');
n(n'right) := '1';
WHILE rt <= lt LOOP
rt := shift(rt);
n := shift(n);
END LOOP;
rt := rshift(rt);
lt := lt - rt;
n := rshift(n);
tmp := tmp + n;
END LOOP;
quote := tmp(2 TO ml+1);
END IF;
RETURN quote;
END "/";
FUNCTION "MOD" (l, r :UNSIGNED) RETURN UNSIGNED IS
CONSTANT ml : INTEGER := maximum(l'length,r'length);
VARIABLE lt : UNSIGNED(0 TO ml+1);
VARIABLE rt : UNSIGNED(0 TO ml+1);
-- Modulo with unsigned vectors
VARIABLE result : UNSIGNED(2 to ml+1) := (OTHERS=>'X');
ATTRIBUTE synthesis_return OF result:VARIABLE IS "MOD" ;
BEGIN
if (eq(r,"00")) then
ASSERT false
REPORT "Attempted divide by ZERO"
SEVERITY ERROR;
ELSIF NOT (hasx(l) OR hasx(r)) THEN
lt := zxt( l, ml+2 );
WHILE lt >= r LOOP
rt := zxt( r, ml+2 );
WHILE rt <= lt LOOP
rt := shift(rt);
END LOOP;
rt := rshift(rt);
lt := lt - rt;
END LOOP;
result := lt(2 TO ml+1);
END IF;
RETURN result ;
END "MOD";
FUNCTION "REM" (l, r :UNSIGNED) RETURN UNSIGNED IS
CONSTANT ml : INTEGER := maximum(l'length,r'length);
VARIABLE lt : UNSIGNED(0 TO ml+1);
VARIABLE rt : UNSIGNED(0 TO ml+1);
-- Remainder with unsigned vectors
VARIABLE result : UNSIGNED(2 to ml+1) := (OTHERS=>'X');
ATTRIBUTE synthesis_return OF result:VARIABLE IS "REM" ;
BEGIN
if (eq(r,"0")) then
ASSERT false
REPORT "Attempted divide by ZERO"
SEVERITY ERROR;
ELSIF NOT (hasx(l) OR hasx(r)) THEN
lt := zxt( l, ml+2 );
WHILE lt >= r LOOP
rt := zxt( r, ml+2 );
WHILE rt <= lt LOOP
rt := shift(rt);
END LOOP;
rt := rshift(rt);
lt := lt - rt;
END LOOP;
result := lt(2 TO ml+1);
END IF;
RETURN result ;
END "REM";
FUNCTION "**" (l, r :UNSIGNED) RETURN UNSIGNED IS
VARIABLE return_vector : UNSIGNED(l'range) := (OTHERS=>'0');
VARIABLE tmp : UNSIGNED(1 TO (2 * l'length)) := (OTHERS=>'0');
CONSTANT lsh_l : INTEGER := l'length+1;
CONSTANT lsh_r : INTEGER := 2 * l'length;
VARIABLE pow : INTEGER;
-- Power with unsigned vectors
ATTRIBUTE synthesis_return OF return_vector:VARIABLE IS "POWER" ;
BEGIN
IF (hasx(l) OR hasx(r)) THEN
FOR i IN return_vector'range LOOP
return_vector(i) := 'X';
END LOOP;
ELSE
pow := conv_integer(r);
tmp( tmp'right ) := '1';
FOR i IN 1 TO pow LOOP
tmp := tmp(lsh_l TO lsh_r) * l;
END LOOP;
return_vector := tmp(lsh_l TO lsh_r);
END IF;
RETURN return_vector;
END "**";
FUNCTION "**" (l, r :SIGNED) RETURN SIGNED IS
VARIABLE return_vector : SIGNED(l'range) := (OTHERS=>'0');
VARIABLE tmp : SIGNED(1 TO (2 * l'length)) := (OTHERS=>'0');
CONSTANT lsh_l : INTEGER := l'length+1;
CONSTANT lsh_r : INTEGER := 2 * l'length;
VARIABLE pow : INTEGER;
-- Power with signed vectors
ATTRIBUTE is_signed OF l:CONSTANT IS TRUE;
ATTRIBUTE is_signed OF r:CONSTANT IS TRUE;
-- Return a signed vector that is power of the input vectors
ATTRIBUTE is_signed OF return_vector:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF return_vector:VARIABLE IS "POWER" ;
BEGIN
IF (hasx(l) OR hasx(r)) THEN
FOR i IN return_vector'range LOOP
return_vector(i) := 'X';
END LOOP;
ELSE
pow := conv_integer(r);
tmp( tmp'right ) := '1';
FOR i IN 1 TO pow LOOP
tmp := tmp(lsh_l TO lsh_r) * l;
END LOOP;
return_vector := tmp(lsh_l TO lsh_r);
END IF;
RETURN return_vector;
END "**";
--
-- Shift Left (arithmetic) Functions
--
FUNCTION "sla" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT se : UNSIGNED(1 to len) := (others => arg1(arg1'right));
VARIABLE ans : UNSIGNED(1 to len) := arg1;
-- VHDL 93 SLA
VARIABLE result : UNSIGNED (1 to len) ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "SLA" ;
BEGIN
IF (arg2 >= len) THEN
ASSERT FALSE
REPORT "shift is further than array size."
SEVERITY WARNING ;
result := se;
ELSIF (arg2 = 0) THEN
result := arg1;
ELSE
result := ans(arg2+1 to len) & se(1 to arg2);
END IF;
RETURN result ;
END ;
FUNCTION "sla" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT se : SIGNED(1 to len) := (others => arg1(arg1'right));
VARIABLE ans : SIGNED(1 to len) := arg1;
-- VHDL 93 SLA
VARIABLE result : SIGNED (1 to len) ;
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE;
ATTRIBUTE is_signed OF result:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "SLA" ;
BEGIN
IF (arg2 >= len) THEN
ASSERT FALSE
REPORT "shift is further than array size."
SEVERITY WARNING ;
result := se;
ELSIF (arg2 = 0) THEN
result := arg1;
ELSE
result := ans(arg2+1 to len) & se(1 to arg2);
END IF;
RETURN result ;
END ;
--
-- Shift Right (arithmetics) Functions
--
FUNCTION "sra" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT se : UNSIGNED(1 to len) := (others => arg1(arg1'left));
VARIABLE ans : UNSIGNED(1 to len) := arg1;
-- VHDL 93 SRA
VARIABLE result : UNSIGNED (1 to len) ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "SRA" ;
BEGIN
IF (arg2 >= len) THEN
ASSERT FALSE
REPORT "shift is further than array size."
SEVERITY WARNING ;
result := (se);
ELSIF (arg2 = 0) THEN
result := (arg1);
ELSE
result := (se(1 to arg2) & ans(1 to len-arg2));
END IF;
RETURN result ;
END ;
FUNCTION "sra" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT se : SIGNED(1 to len) := (others => arg1(arg1'left));
VARIABLE ans : SIGNED(1 to len) := arg1;
-- VHDL 93 SRA
VARIABLE result : SIGNED (1 to len) ;
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE;
ATTRIBUTE is_signed OF result:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "SRA" ;
BEGIN
IF (arg2 >= len) THEN
ASSERT FALSE
REPORT "shift is further than array size."
SEVERITY WARNING ;
result := (se);
ELSIF (arg2 = 0) THEN
result := (arg1);
ELSE
result := (se(1 to arg2) & ans(1 to len-arg2));
END IF;
RETURN result ;
END ;
--
-- Shift Left (logical) Functions
--
FUNCTION "sll" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT se : UNSIGNED(1 to len) := (others =>'0');
VARIABLE ans : UNSIGNED(1 to len) := arg1;
-- VHDL 93 SLL
VARIABLE result : UNSIGNED (1 to len) ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "SLL" ;
BEGIN
IF (arg2 >= len) THEN
ASSERT FALSE
REPORT "shift is further than array size."
SEVERITY WARNING ;
result := (se);
ELSIF (arg2 = 0) THEN
result := (arg1);
ELSE
result := (ans(arg2+1 to len) & se(1 to arg2));
END IF;
RETURN result ;
END ;
FUNCTION "sll" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT se : SIGNED(1 to len) := (others =>'0');
VARIABLE ans : SIGNED(1 to len) := arg1;
-- VHDL 93 SLL
VARIABLE result : SIGNED (1 to len) ;
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE;
ATTRIBUTE is_signed OF result:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "SLL" ;
BEGIN
IF (arg2 >= len) THEN
ASSERT FALSE
REPORT "shift is further than array size."
SEVERITY WARNING ;
result := (se);
ELSIF (arg2 = 0) THEN
result := (arg1);
ELSE
result := (ans(arg2+1 to len) & se(1 to arg2));
END IF;
RETURN result ;
END ;
--
-- Shift Right (logical) Functions
--
FUNCTION "srl" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT se : UNSIGNED(1 to len) := (others => '0');
VARIABLE ans : UNSIGNED(1 to len) := arg1;
-- VHDL 93 SRL
VARIABLE result : UNSIGNED (1 to len) ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "SRL" ;
BEGIN
IF (arg2 >= len) THEN
ASSERT FALSE
REPORT "shift is further than array size."
SEVERITY WARNING ;
result := (se);
ELSIF (arg2 = 0) THEN
result := (arg1);
ELSE
result := (se(1 to arg2) & ans(1 to len-arg2));
END IF;
RETURN result ;
END ;
FUNCTION "srl" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT se : SIGNED(1 to len) := (others => '0');
VARIABLE ans : SIGNED(1 to len) := arg1;
-- VHDL 93 SRL
VARIABLE result : SIGNED (1 to len) ;
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE;
ATTRIBUTE is_signed OF result:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "SRL" ;
BEGIN
IF (arg2 >= len) THEN
ASSERT FALSE
REPORT "shift is further than array size."
SEVERITY WARNING ;
result := (se);
ELSIF (arg2 = 0) THEN
result := (arg1);
ELSE
result := (se(1 to arg2) & ans(1 to len-arg2));
END IF;
RETURN result ;
END ;
--
-- Rotate Left (Logical) Functions
--
FUNCTION "rol" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT marg2 : integer := arg2 mod len;
VARIABLE ans : UNSIGNED(1 to len) := arg1;
-- VHDL 93 ROL
VARIABLE result : UNSIGNED (1 to len) ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "ROL" ;
BEGIN
ASSERT arg2 <= len
REPORT "rotate is further than array size."
SEVERITY WARNING ;
IF (marg2 = 0) THEN
result := (arg1);
ELSE
result := (ans(marg2+1 to len) & ans(1 to marg2));
END IF;
RETURN result ;
END ;
FUNCTION "rol" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT marg2 : integer := arg2 mod len;
VARIABLE ans : SIGNED(1 to len) := arg1;
-- VHDL 93 ROL
VARIABLE result : SIGNED (1 to len) ;
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE;
ATTRIBUTE is_signed OF result:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "ROL" ;
BEGIN
ASSERT arg2 <= len
REPORT "rotate is further than array size."
SEVERITY WARNING ;
IF (marg2 = 0) THEN
result := (arg1);
ELSE
result := (ans(marg2+1 to len) & ans(1 to marg2));
END IF;
RETURN result ;
END ;
--
-- Rotate Right (Logical) Functions
--
FUNCTION "ror" (arg1:UNSIGNED ; arg2:NATURAL) RETURN UNSIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT marg2 : integer := arg2 mod len;
VARIABLE ans : UNSIGNED(1 to len) := arg1;
-- VHDL 93 ROR
VARIABLE result : UNSIGNED (1 to len) ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "ROR" ;
BEGIN
ASSERT arg2 <= len
REPORT "rotate is further than array size."
SEVERITY WARNING ;
IF (marg2 = 0) THEN
result := (arg1);
ELSE
result := (ans(len-marg2+1 to len) & ans(1 to len-marg2));
END IF;
RETURN result ;
END ;
FUNCTION "ror" (arg1:SIGNED ; arg2:NATURAL) RETURN SIGNED IS
CONSTANT len : INTEGER := arg1'length ;
CONSTANT marg2 : integer := arg2 mod len;
VARIABLE ans : SIGNED(1 to len) := arg1;
-- VHDL 93 ROR
VARIABLE result : SIGNED (1 to len) ;
ATTRIBUTE is_signed OF arg1:CONSTANT IS TRUE;
ATTRIBUTE is_signed OF result:VARIABLE IS TRUE ;
ATTRIBUTE synthesis_return OF result:VARIABLE IS "ROR" ;
BEGIN
ASSERT arg2 <= len
REPORT "rotate is further than array size."
SEVERITY WARNING ;
IF (marg2 = 0) THEN
result := (arg1);
ELSE
result := (ans(len-marg2+1 to len) & ans(1 to len-marg2));
END IF;
RETURN result ;
END ;
--
-- In general, objects in this package are required to be functions.
-- For synthesizability reasons, we want the "flipflop" functions to be
-- procedures. There is a special kludge in the rtl netlister to let
-- this work.
--
procedure flipflop
(signal input : in std_logic;
signal clk : in std_logic;
signal output : out std_logic) is
attribute SYNTHESIS_RETURN of output:signal is "flipflop";
begin
if (clk'last_value = '0' and clk = '1') then
output <= input;
end if;
end;
procedure flipflop
(signal input : in unsigned;
signal clk : in std_logic;
signal output : out unsigned) is
attribute SYNTHESIS_RETURN of output:signal is "flipflop";
begin
if (clk'last_value = '0' and clk = '1') then
output <= input;
end if;
end;
procedure flipflop
(signal input : in std_logic;
signal clk : in std_logic;
signal output : out std_logic;
signal rst : in std_logic;
signal value : in std_logic) is
attribute SYNTHESIS_RETURN of output:signal is "flipflop";
begin
if (rst = '1') then
output <= value;
elsif (clk'event and clk = '1') then
output <= input;
end if;
end;
procedure flipflop
(signal input : in unsigned;
signal clk : in std_logic;
signal output : out unsigned;
signal rst : in std_logic;
signal value : in unsigned) is
attribute SYNTHESIS_RETURN of output:signal is "flipflop";
begin
if (rst = '1') then
output <= value;
elsif (clk'event and clk = '1') then
output <= input;
end if;
end;
--
-- This is used in the special case when we need to pick a vector that
-- has been changed into a constant. This is because the VHDL language
-- doesn't allow one to say "bits"[index]
--
function readindex
(input : unsigned;
index : natural) return std_logic is
variable result : std_logic;
attribute SYNTHESIS_RETURN of result:variable is "readindex";
begin
result := input(index);
return result;
end;
--
-- The following procedures insert n consecutive wait until edge
-- statements into the process. 'phase' gives the active edge ie it
-- should be either '1' or '0'
--
procedure wait_clock_cycles
(constant n : natural;
signal clk : in std_logic;
constant phase : in std_logic) is
-- pragma built_in synch
begin
for i in 1 to n loop
wait until clk'event and clk=phase;
end loop;
end;
procedure wait_clock_cycles
(constant n : natural;
signal clk : in bit;
constant phase : in bit) is
-- pragma built_in synch
begin
for i in 1 to n loop
wait until clk'event and clk=phase;
end loop;
end;
end FUNCS;
|
-- RS232 sender with Wishbone slave interface and fixed, but generic,
-- baudrate and 8N1 mode.
--
-- The master sends data to this slave by setting dat_i to the byte to send
-- and stb_i to 1 at the rising edge of clk_i. This slave acknowledge the
-- request with ack_o = 1 at the next rising edge of clk_i. Then the master
-- resets stb_i to 0 and the slave acknowledges this by setting ack_o to 0.
-- The slave acknowledges the next stb_i signal after the current byte is
-- sent to the RS232 port.
--
-- Supported Whishbone cycles: SLAVE, WRITE
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity rs232_sender is
generic(
system_speed, -- clk_i speed, in hz
baudrate: integer); -- baudrate, in bps
port(
ack_o: out std_logic; -- Wishbone ACK_O signal
clk_i: in std_logic; -- Wishbone CLK_i signal
dat_i: in unsigned(7 downto 0); -- Wishbone DAT_i signal
rst_i: in std_logic; -- Wishbone RST_i signal
stb_i: in std_logic; -- Wishbone STB_i signal
tx: out std_logic); -- RS232 transmit pin
end entity rs232_sender;
architecture rtl of rs232_sender is
constant max_counter: natural := system_speed / baudrate;
type state_type is (
wait_for_strobe,
send_start_bit,
send_bits,
send_stop_bit);
signal state: state_type := wait_for_strobe;
signal baudrate_counter: natural range 0 to max_counter := 0;
signal bit_counter: natural range 0 to 7 := 0;
signal shift_register: unsigned(7 downto 0) := (others => '0');
signal data_sending_started: std_logic := '0';
begin
-- acknowledge, when sending process was started
ack_o <= data_sending_started and stb_i;
update: process(clk_i)
begin
if rising_edge(clk_i) then
if rst_i = '1' then
tx <= '1';
data_sending_started <= '0';
state <= wait_for_strobe;
else
case state is
-- wait until the master asserts valid data
when wait_for_strobe =>
if stb_i = '1' then
state <= send_start_bit;
baudrate_counter <= max_counter - 1;
tx <= '0';
shift_register <= dat_i;
data_sending_started <= '1';
else
tx <= '1';
end if;
when send_start_bit =>
if baudrate_counter = 0 then
state <= send_bits;
baudrate_counter <= max_counter - 1;
tx <= shift_register(0);
bit_counter <= 7;
else
baudrate_counter <= baudrate_counter - 1;
end if;
when send_bits =>
if baudrate_counter = 0 then
if bit_counter = 0 then
state <= send_stop_bit;
tx <= '1';
else
tx <= shift_register(1);
shift_register <= shift_right(shift_register, 1);
bit_counter <= bit_counter - 1;
end if;
baudrate_counter <= max_counter - 1;
else
baudrate_counter <= baudrate_counter - 1;
end if;
when send_stop_bit =>
if baudrate_counter = 0 then
state <= wait_for_strobe;
else
baudrate_counter <= baudrate_counter - 1;
end if;
end case;
-- this resets acknowledge until all bits are sent
if stb_i = '0' and data_sending_started = '1' then
data_sending_started <= '0';
end if;
end if;
end if;
end process;
end architecture rtl; |
------------------------------------------------------------------------------
-- axi_cond_vars.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: axi_cond_vars.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Thu Jun 26 14:24:54 2014 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library axi_lite_ipif_v1_01_a;
use axi_lite_ipif_v1_01_a.axi_lite_ipif;
library axi_master_lite_v1_00_a;
use axi_master_lite_v1_00_a.axi_master_lite;
library axi_cond_vars_v1_00_a;
use axi_cond_vars_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_S_AXI_DATA_WIDTH -- AXI4LITE slave: Data width
-- C_S_AXI_ADDR_WIDTH -- AXI4LITE slave: Address Width
-- C_S_AXI_MIN_SIZE -- AXI4LITE slave: Min Size
-- C_USE_WSTRB -- AXI4LITE slave: Write Strobe
-- C_DPHASE_TIMEOUT -- AXI4LITE slave: Data Phase Timeout
-- C_BASEADDR -- AXI4LITE slave: base address
-- C_HIGHADDR -- AXI4LITE slave: high address
-- C_FAMILY -- FPGA Family
-- C_NUM_REG -- Number of software accessible registers
-- C_NUM_MEM -- Number of address-ranges
-- C_SLV_AWIDTH -- Slave interface address bus width
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_M_AXI_LITE_ADDR_WIDTH -- Master-Intf address bus width
-- C_M_AXI_LITE_DATA_WIDTH -- Master-Intf data bus width
--
-- Definition of Ports:
-- S_AXI_ACLK -- AXI4LITE slave: Clock
-- S_AXI_ARESETN -- AXI4LITE slave: Reset
-- S_AXI_AWADDR -- AXI4LITE slave: Write address
-- S_AXI_AWVALID -- AXI4LITE slave: Write address valid
-- S_AXI_WDATA -- AXI4LITE slave: Write data
-- S_AXI_WSTRB -- AXI4LITE slave: Write strobe
-- S_AXI_WVALID -- AXI4LITE slave: Write data valid
-- S_AXI_BREADY -- AXI4LITE slave: Response ready
-- S_AXI_ARADDR -- AXI4LITE slave: Read address
-- S_AXI_ARVALID -- AXI4LITE slave: Read address valid
-- S_AXI_RREADY -- AXI4LITE slave: Read data ready
-- S_AXI_ARREADY -- AXI4LITE slave: read addres ready
-- S_AXI_RDATA -- AXI4LITE slave: Read data
-- S_AXI_RRESP -- AXI4LITE slave: Read data response
-- S_AXI_RVALID -- AXI4LITE slave: Read data valid
-- S_AXI_WREADY -- AXI4LITE slave: Write data ready
-- S_AXI_BRESP -- AXI4LITE slave: Response
-- S_AXI_BVALID -- AXI4LITE slave: Resonse valid
-- S_AXI_AWREADY -- AXI4LITE slave: Wrte address ready
-- m_axi_lite_aclk -- AXI4LITE master: Clock
-- m_axi_lite_aresetn -- AXI4LITE master: Reset
-- md_error -- AXI4LITE master: Error
-- m_axi_lite_arready -- AXI4LITE master: Read address ready
-- m_axi_lite_arvalid -- AXI4LITE master: read address valid
-- m_axi_lite_araddr -- AXI4LITE master: read address protection
-- m_axi_lite_arprot -- AXI4LITE master: Read address protection
-- m_axi_lite_rready -- AXI4LITE master: Read data ready
-- m_axi_lite_rvalid -- AXI4LITE master: Read data valid
-- m_axi_lite_rdata -- AXI4LITE master: Read data
-- m_axi_lite_rresp -- AXI4LITE master: read data response
-- m_axi_lite_awready -- AXI4LITE master: write address ready
-- m_axi_lite_awvalid -- AXI4LITE master: write address valid
-- m_axi_lite_awaddr -- AXI4LITE master: write address valid
-- m_axi_lite_awprot -- AXI4LITE master: write address protection
-- m_axi_lite_wready -- AXI4LITE master: write data ready
-- m_axi_lite_wvalid -- AXI4LITE master: write data valid
-- m_axi_lite_wdata -- AXI4LITE master: write data
-- m_axi_lite_wstrb -- AXI4LITE master: write data strobe
-- m_axi_lite_bready -- AXI4LITE master: read response ready
-- m_axi_lite_bvalid -- AXI4LITE master: read response valid
-- m_axi_lite_bresp -- AXI4LITE master: read response
------------------------------------------------------------------------------
entity axi_cond_vars is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
C_TM_BASE : std_logic_vector := X"11000000";
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector := X"00FFFFFF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer := 0;
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_FAMILY : string := "virtex6";
C_NUM_REG : integer := 1;
C_NUM_MEM : integer := 1;
C_SLV_AWIDTH : integer := 32;
C_SLV_DWIDTH : integer := 32;
C_M_AXI_LITE_ADDR_WIDTH : integer := 32;
C_M_AXI_LITE_DATA_WIDTH : integer := 32
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
Soft_Reset : in std_logic;
Reset_Done : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_RREADY : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_AWREADY : out std_logic;
m_axi_lite_aclk : in std_logic;
m_axi_lite_aresetn : in std_logic;
md_error : out std_logic;
m_axi_lite_arready : in std_logic;
m_axi_lite_arvalid : out std_logic;
m_axi_lite_araddr : out std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0);
m_axi_lite_arprot : out std_logic_vector(2 downto 0);
m_axi_lite_rready : out std_logic;
m_axi_lite_rvalid : in std_logic;
m_axi_lite_rdata : in std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0);
m_axi_lite_rresp : in std_logic_vector(1 downto 0);
m_axi_lite_awready : in std_logic;
m_axi_lite_awvalid : out std_logic;
m_axi_lite_awaddr : out std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0);
m_axi_lite_awprot : out std_logic_vector(2 downto 0);
m_axi_lite_wready : in std_logic;
m_axi_lite_wvalid : out std_logic;
m_axi_lite_wdata : out std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0);
m_axi_lite_wstrb : out std_logic_vector((C_M_AXI_LITE_DATA_WIDTH/8)-1 downto 0);
m_axi_lite_bready : out std_logic;
m_axi_lite_bvalid : in std_logic;
m_axi_lite_bresp : in std_logic_vector(1 downto 0)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000";
attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000";
attribute SIGIS of S_AXI_ACLK : signal is "Clk";
attribute SIGIS of S_AXI_ARESETN : signal is "Rst";
attribute MAX_FANOUT of m_axi_lite_aclk : signal is "10000";
attribute MAX_FANOUT of m_axi_lite_aresetn : signal is "10000";
attribute SIGIS of m_axi_lite_aclk : signal is "Clk";
attribute SIGIS of m_axi_lite_aresetn : signal is "Rst";
end entity axi_cond_vars;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of axi_cond_vars is
constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
constant USER_SLV_HIGHADDR : std_logic_vector := C_BASEADDR or X"000000FF";
--constant USER_MST_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
-- constant USER_MST_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
--ZERO_ADDR_PAD & USER_MST_BASEADDR, -- user logic master space base address
--ZERO_ADDR_PAD & USER_MST_HIGHADDR -- user logic master space high address
);
constant USER_SLV_NUM_REG : integer := 1;
--constant USER_MST_NUM_REG : integer := 4;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;--+USER_MST_NUM_REG;
constant TOTAL_IPIF_CE : integer := USER_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => (USER_SLV_NUM_REG) -- number of ce for user logic slave space
-- 1 => (USER_MST_NUM_REG) -- number of ce for user logic master space
);
------------------------------------------
-- Width of the master address bus (32 only)
------------------------------------------
constant USER_MST_AWIDTH : integer := C_M_AXI_LITE_ADDR_WIDTH;
------------------------------------------
-- Width of the master data bus (32 only)
------------------------------------------
constant USER_MST_DWIDTH : integer := C_M_AXI_LITE_DATA_WIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
--constant USER_MST_CS_INDEX : integer := 1;
--constant USER_MST_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_MST_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Resetn : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0);
signal ipif_Bus2IP_CS : std_logic_vector((IPIF_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1 downto 0);
signal ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_ip2bus_mstrd_req : std_logic;
signal ipif_ip2bus_mstwr_req : std_logic;
signal ipif_ip2bus_mst_addr : std_logic_vector(0 to C_M_AXI_LITE_ADDR_WIDTH-1);
signal ipif_ip2bus_mst_be : std_logic_vector(0 to (C_M_AXI_LITE_DATA_WIDTH/8)-1);
signal ipif_ip2bus_mst_lock : std_logic;
signal ipif_ip2bus_mst_reset : std_logic;
signal ipif_bus2ip_mst_cmdack : std_logic;
signal ipif_bus2ip_mst_cmplt : std_logic;
signal ipif_bus2ip_mst_error : std_logic;
signal ipif_bus2ip_mst_rearbitrate : std_logic;
signal ipif_bus2ip_mst_cmd_timeout : std_logic;
signal ipif_bus2ip_mstrd_d : std_logic_vector(0 to C_M_AXI_LITE_DATA_WIDTH-1);
signal ipif_bus2ip_mstrd_src_rdy_n : std_logic;
signal ipif_ip2bus_mstwr_d : std_logic_vector(0 to C_M_AXI_LITE_DATA_WIDTH-1);
signal ipif_bus2ip_mstwr_dst_rdy_n : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_Bus2IP_WrCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_IP2Bus_Data : std_logic_vector(USER_SLV_DWIDTH-1 downto 0);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate axi_lite_ipif
------------------------------------------
AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_01_a.axi_lite_ipif
generic map
(
C_S_AXI_DATA_WIDTH => IPIF_SLV_DWIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_USE_WSTRB => C_USE_WSTRB,
C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT,
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_FAMILY => C_FAMILY
)
port map
(
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_RREADY => S_AXI_RREADY,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Resetn => ipif_Bus2IP_Resetn,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE,
Bus2IP_Data => ipif_Bus2IP_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
IP2Bus_Data => ipif_IP2Bus_Data
);
------------------------------------------
-- instantiate axi_master_lite
------------------------------------------
AXI_MASTER_LITE_I : entity axi_master_lite_v1_00_a.axi_master_lite
generic map
(
C_M_AXI_LITE_ADDR_WIDTH => C_M_AXI_LITE_ADDR_WIDTH,
C_M_AXI_LITE_DATA_WIDTH => C_M_AXI_LITE_DATA_WIDTH,
C_FAMILY => C_FAMILY
)
port map
(
m_axi_lite_aclk => m_axi_lite_aclk,
m_axi_lite_aresetn => m_axi_lite_aresetn,
md_error => md_error,
m_axi_lite_arready => m_axi_lite_arready,
m_axi_lite_arvalid => m_axi_lite_arvalid,
m_axi_lite_araddr => m_axi_lite_araddr,
m_axi_lite_arprot => m_axi_lite_arprot,
m_axi_lite_rready => m_axi_lite_rready,
m_axi_lite_rvalid => m_axi_lite_rvalid,
m_axi_lite_rdata => m_axi_lite_rdata,
m_axi_lite_rresp => m_axi_lite_rresp,
m_axi_lite_awready => m_axi_lite_awready,
m_axi_lite_awvalid => m_axi_lite_awvalid,
m_axi_lite_awaddr => m_axi_lite_awaddr,
m_axi_lite_awprot => m_axi_lite_awprot,
m_axi_lite_wready => m_axi_lite_wready,
m_axi_lite_wvalid => m_axi_lite_wvalid,
m_axi_lite_wdata => m_axi_lite_wdata,
m_axi_lite_wstrb => m_axi_lite_wstrb,
m_axi_lite_bready => m_axi_lite_bready,
m_axi_lite_bvalid => m_axi_lite_bvalid,
m_axi_lite_bresp => m_axi_lite_bresp,
ip2bus_mstrd_req => ipif_ip2bus_mstrd_req,
ip2bus_mstwr_req => ipif_ip2bus_mstwr_req,
ip2bus_mst_addr => ipif_ip2bus_mst_addr,
ip2bus_mst_be => ipif_ip2bus_mst_be,
ip2bus_mst_lock => ipif_ip2bus_mst_lock,
ip2bus_mst_reset => ipif_ip2bus_mst_reset,
bus2ip_mst_cmdack => ipif_bus2ip_mst_cmdack,
bus2ip_mst_cmplt => ipif_bus2ip_mst_cmplt,
bus2ip_mst_error => ipif_bus2ip_mst_error,
bus2ip_mst_rearbitrate => ipif_bus2ip_mst_rearbitrate,
bus2ip_mst_cmd_timeout => ipif_bus2ip_mst_cmd_timeout,
bus2ip_mstrd_d => ipif_bus2ip_mstrd_d,
bus2ip_mstrd_src_rdy_n => ipif_bus2ip_mstrd_src_rdy_n,
ip2bus_mstwr_d => ipif_ip2bus_mstwr_d,
bus2ip_mstwr_dst_rdy_n => ipif_bus2ip_mstwr_dst_rdy_n
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity axi_cond_vars_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
C_TM_BASE => C_TM_BASE,
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_MST_AWIDTH => USER_MST_AWIDTH,
C_MST_DWIDTH => USER_MST_DWIDTH,
C_NUM_REG => USER_NUM_REG,
C_SLV_DWIDTH => USER_SLV_DWIDTH
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
Soft_Reset => Soft_Reset ,
Reset_Done => Reset_Done ,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error,
ip2bus_mstrd_req => ipif_ip2bus_mstrd_req,
ip2bus_mstwr_req => ipif_ip2bus_mstwr_req,
ip2bus_mst_addr => ipif_ip2bus_mst_addr,
ip2bus_mst_be => ipif_ip2bus_mst_be,
ip2bus_mst_lock => ipif_ip2bus_mst_lock,
ip2bus_mst_reset => ipif_ip2bus_mst_reset,
bus2ip_mst_cmdack => ipif_bus2ip_mst_cmdack,
bus2ip_mst_cmplt => ipif_bus2ip_mst_cmplt,
bus2ip_mst_error => ipif_bus2ip_mst_error,
bus2ip_mst_rearbitrate => ipif_bus2ip_mst_rearbitrate,
bus2ip_mst_cmd_timeout => ipif_bus2ip_mst_cmd_timeout,
bus2ip_mstrd_d => ipif_bus2ip_mstrd_d,
bus2ip_mstrd_src_rdy_n => ipif_bus2ip_mstrd_src_rdy_n,
ip2bus_mstwr_d => ipif_ip2bus_mstwr_d,
bus2ip_mstwr_dst_rdy_n => ipif_bus2ip_mstwr_dst_rdy_n
);
------------------------------------------
-- connect internal signals
------------------------------------------
IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
begin
case ipif_Bus2IP_CS is
when "1" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
when others => ipif_IP2Bus_Data <= (others => '0');
end case;
end process IP2BUS_DATA_MUX_PROC;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE(USER_SLV_NUM_REG-1 downto 0) <= ipif_Bus2IP_RdCE(TOTAL_IPIF_CE -USER_SLV_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_SLV_CE_INDEX -USER_SLV_NUM_REG);
user_Bus2IP_WrCE(USER_SLV_NUM_REG-1 downto 0) <= ipif_Bus2IP_WrCE(TOTAL_IPIF_CE -USER_SLV_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_SLV_CE_INDEX -USER_SLV_NUM_REG);
-- user_Bus2IP_RdCE(USER_NUM_REG-1 downto USER_NUM_REG-USER_MST_NUM_REG) <= ipif_Bus2IP_RdCE(TOTAL_IPIF_CE - USER_MST_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_MST_CE_INDEX -USER_MST_NUM_REG);
-- user_Bus2IP_WrCE(USER_NUM_REG-1 downto USER_NUM_REG- USER_MST_NUM_REG) <= ipif_Bus2IP_WrCE(TOTAL_IPIF_CE - USER_MST_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_MST_CE_INDEX -USER_MST_NUM_REG);
ipif_Bus2IP_Reset <= not ipif_Bus2IP_Resetn;
end IMP;
|
--------------------------------------------------------------------------------
--
-- DIST MEM GEN Core - Random Number Generator
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: Font_tb_rng.vhd
--
-- Description:
-- Random Generator
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY Font_TB_RNG IS
GENERIC (
WIDTH : INTEGER := 32;
SEED : INTEGER :=2
);
PORT (
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
EN : IN STD_LOGIC;
RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) --OUTPUT VECTOR
);
END Font_TB_RNG;
ARCHITECTURE BEHAVIORAL OF Font_TB_RNG IS
BEGIN
PROCESS(CLK)
VARIABLE RAND_TEMP : STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(SEED,WIDTH);
VARIABLE TEMP : STD_LOGIC := '0';
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST='1') THEN
RAND_TEMP := CONV_STD_LOGIC_VECTOR(SEED,WIDTH);
ELSE
IF(EN = '1') THEN
TEMP := RAND_TEMP(WIDTH-1) XOR RAND_TEMP(WIDTH-2);
RAND_TEMP(WIDTH-1 DOWNTO 1) := RAND_TEMP(WIDTH-2 DOWNTO 0);
RAND_TEMP(0) := TEMP;
END IF;
END IF;
END IF;
RANDOM_NUM <= RAND_TEMP;
END PROCESS;
END ARCHITECTURE;
|
--------------------------------------------------------------------------
--
-- Copyright (C) 1993, Peter J. Ashenden
-- Mail: Dept. Computer Science
-- University of Adelaide, SA 5005, Australia
-- e-mail: [email protected]
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 1, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
--
--------------------------------------------------------------------------
--
-- $RCSfile: dlx_test_rtl.vhdl,v $ $Revision: 2.1 $ $Date: 1993/11/02 20:20:00 $
--
--------------------------------------------------------------------------
--
-- Configuration of DLX test bench using register transfer level
-- architecture of DLX processor.
--
configuration dlx_test_rtl of dlx_test is
for bench
for cg : clock_gen
use entity work.clock_gen(behaviour)
generic map (Tpw => 8 ns, Tps => 2 ns);
end for;
for mem : memory
use entity work.memory(behaviour)
generic map (mem_size => 65536,
Tac1 => 95 ns, Tacb => 35 ns, Tpd_clk_out => 2 ns);
end for;
for bus_monitor : dlx_bus_monitor
use entity work.dlx_bus_monitor(behaviour)
generic map (enable => true, verbose => true, tag => "bus monitor");
end for;
for proc : dlx
use entity work.dlx(rtl)
generic map (Tpd_clk_out => 2 ns, debug => true, tag => "proc");
for rtl
for all : alu
use entity work.alu(behaviour)
generic map (Tpd => 4 ns);
end for;
for all : reg_file
use entity work.reg_file(behaviour)
generic map (Tac => 4 ns);
end for;
for all : latch
use entity work.latch(behaviour)
generic map (Tpd => 2 ns);
end for;
for all : reg_1_out
use entity work.reg_1_out(behaviour)
generic map (Tpd => 2 ns);
end for;
for all : reg_2_out
use entity work.reg_2_out(behaviour)
generic map (Tpd => 2 ns);
end for;
for all : reg_2_1_out
use entity work.reg_2_1_out(behaviour)
generic map (Tpd => 2 ns);
end for;
for all : reg_3_out
use entity work.reg_3_out(behaviour)
generic map (Tpd => 2 ns);
end for;
for all : mux2
use entity work.mux2(behaviour)
generic map (Tpd => 1 ns);
end for;
for all : ir
use entity work.ir(behaviour)
generic map (Tpd => 2 ns);
end for;
for the_controller : controller
use entity work.controller(behaviour)
generic map (Tpd_clk_ctrl => 2 ns, Tpd_clk_const => 4 ns,
debug => true);
end for;
end for; -- rtl of dlx
end for; -- proc : dlx
end for; -- bench of dlx_test
end dlx_test_rtl;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Fri Jan 13 17:31:21 2017
-- Host : KLight-PC running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- D:/Document/Verilog/VGA/VGA.srcs/sources_1/ip/pikachu_pixel_1/pikachu_pixel_sim_netlist.vhdl
-- Design : pikachu_pixel
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity pikachu_pixel_blk_mem_gen_mux is
port (
douta : out STD_LOGIC_VECTOR ( 7 downto 0 );
addra : in STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
DOADO : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of pikachu_pixel_blk_mem_gen_mux : entity is "blk_mem_gen_mux";
end pikachu_pixel_blk_mem_gen_mux;
architecture STRUCTURE of pikachu_pixel_blk_mem_gen_mux is
signal sel_pipe : STD_LOGIC;
signal sel_pipe_d1 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \douta[10]_INST_0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \douta[11]_INST_0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \douta[4]_INST_0\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \douta[5]_INST_0\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \douta[6]_INST_0\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \douta[7]_INST_0\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \douta[8]_INST_0\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \douta[9]_INST_0\ : label is "soft_lutpair2";
begin
\douta[10]_INST_0\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => DOADO(6),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(6),
I2 => sel_pipe_d1,
O => douta(6)
);
\douta[11]_INST_0\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => DOADO(7),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(7),
I2 => sel_pipe_d1,
O => douta(7)
);
\douta[4]_INST_0\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => DOADO(0),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(0),
I2 => sel_pipe_d1,
O => douta(0)
);
\douta[5]_INST_0\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => DOADO(1),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(1),
I2 => sel_pipe_d1,
O => douta(1)
);
\douta[6]_INST_0\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => DOADO(2),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(2),
I2 => sel_pipe_d1,
O => douta(2)
);
\douta[7]_INST_0\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => DOADO(3),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(3),
I2 => sel_pipe_d1,
O => douta(3)
);
\douta[8]_INST_0\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => DOADO(4),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(4),
I2 => sel_pipe_d1,
O => douta(4)
);
\douta[9]_INST_0\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => DOADO(5),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(5),
I2 => sel_pipe_d1,
O => douta(5)
);
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clka,
CE => '1',
D => sel_pipe,
Q => sel_pipe_d1,
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clka,
CE => '1',
D => addra(0),
Q => sel_pipe,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity pikachu_pixel_blk_mem_gen_prim_wrapper_init is
port (
douta : out STD_LOGIC_VECTOR ( 3 downto 0 );
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 3 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of pikachu_pixel_blk_mem_gen_prim_wrapper_init : entity is "blk_mem_gen_prim_wrapper_init";
end pikachu_pixel_blk_mem_gen_prim_wrapper_init;
architecture STRUCTURE of pikachu_pixel_blk_mem_gen_prim_wrapper_init is
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000001000000000000000000000",
INIT_09 => X"0000000000000000000000000210000000000000000000000000000000000000",
INIT_0A => X"0000000001100000001100000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000001200000000000000000000000000000000",
INIT_0C => X"0000000000000100000000000000000000000000000000000000000000111100",
INIT_0D => X"0000000000000000000000000000000000000000001110000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000174000000000000000000000000000000000000000",
INIT_11 => X"000002FA00000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"00000000000000000000000000000000000000000000000000002F9000011000",
INIT_14 => X"0000000000000000000000000000000000027400001000000000000000000000",
INIT_15 => X"0000000000000000011000000000000000000000000000000000000000000000",
INIT_16 => X"0000100000000000000000000000000000000000000011000000000000000000",
INIT_17 => X"0000000000000000000000000000110000000000000000000000000000000000",
INIT_18 => X"0000000000011000000000000000000000000000000000000001000000000100",
INIT_19 => X"0000000000000000000000000000000000000000000010000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000001100000000000000000000000000000000000000",
INIT_20 => X"0000000110000000000000000000000000001000000000000000000000000000",
INIT_21 => X"0000000000000000000210000000000000000000000000000000000000000000",
INIT_22 => X"0001100000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000011000000000000",
INIT_25 => X"0000002100000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000033000000",
INIT_28 => X"0000000000000000000000000000000000000000310000000000000000000000",
INIT_29 => X"0000000000010000000000001000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000001200000",
INIT_2C => X"0000000000000000000000000000000000000001200000000000000000000000",
INIT_2D => X"0000000000000000000011000000000000000000000000000000000000000000",
INIT_2E => X"0000200000000000000000010000000000000000000000000000000000000000",
INIT_2F => X"0000001000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000011000000000000",
INIT_34 => X"0000000000000000000000000000001102300000000000001100000000000000",
INIT_35 => X"0000000000000000000000000000000001000000000000000000000000000000",
INIT_36 => X"0000000000000000110000000000000000000000000000021000000000000000",
INIT_37 => X"1100000000000000000000000000000000000000000000000000001000000000",
INIT_38 => X"0000000000000000000000000000000000000210000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000100000000000000",
INIT_3A => X"0000000000000000000000000000000010000000000000200000000000001100",
INIT_3B => X"0000000000000000000000000000110000000000000010000000000000000000",
INIT_3C => X"0100000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000010000000000000000000000000000000",
INIT_3E => X"0000110000000001000000000000000000000000000000001100000000000000",
INIT_3F => X"0000000000000000000000000000000011000000000000000000000000000000",
INIT_40 => X"0000000000000000010000000000000000000000000000000002300000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000001000001000000000000000000000000000000000",
INIT_45 => X"0000000100001100000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000110000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000001100000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000045000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"00000000000000000000000000000000000000000000000000000000000006A1",
INIT_54 => X"0000000000000000000000000000000000000000000033000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000020000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"1100010000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000001100000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000011000000000000000000000100000",
INIT_64 => X"0000000000000000000110000000000000000000010000000000000010000000",
INIT_65 => X"0001000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000001011000000000000000000000000000000000000000000",
INIT_67 => X"1202200000000000000000000000000000000000000000000000010000100000",
INIT_68 => X"0000000000000000000000000000000000001100110000000000000000000000",
INIT_69 => X"0000000000000000000022200000000000000000000000210000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "PERFORMANCE",
READ_WIDTH_A => 4,
READ_WIDTH_B => 4,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 4,
WRITE_WIDTH_B => 4
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 2) => addra(12 downto 0),
ADDRARDADDR(1 downto 0) => B"11",
ADDRBWRADDR(15 downto 0) => B"0000000000000000",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clka,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 4) => B"0000000000000000000000000000",
DIADI(3 downto 0) => dina(3 downto 0),
DIBDI(31 downto 0) => B"00000000000000000000000000000000",
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 4) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 4),
DOADO(3 downto 0) => douta(3 downto 0),
DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => '1',
ENBWREN => '0',
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '1',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 0) => B"00000000"
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \pikachu_pixel_blk_mem_gen_prim_wrapper_init__parameterized0\ is
port (
\douta[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \pikachu_pixel_blk_mem_gen_prim_wrapper_init__parameterized0\ : entity is "blk_mem_gen_prim_wrapper_init";
end \pikachu_pixel_blk_mem_gen_prim_wrapper_init__parameterized0\;
architecture STRUCTURE of \pikachu_pixel_blk_mem_gen_prim_wrapper_init__parameterized0\ is
signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_88\ : STD_LOGIC;
signal ena_array : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_01 => X"4F4F4F4F4F4F4F4F4F4F0000000000000000004F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_02 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_03 => X"7777000000000000004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_04 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F000000000000337777",
INIT_05 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_06 => X"4F4F4F4F4F4F00000000001199FFFFFFEE33111111111111114F4F4F4F4F4F4F",
INIT_07 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_08 => X"EEDDDDDDDDDDDD660000000000004F4F4F4F00000000000000000000004F4F4F",
INIT_09 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F00000011DDFFFFFFFFFF",
INIT_0A => X"00667777777777777777774400004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_0B => X"4F4F4F4F4F4F000011888899FFFFFFFFFFFFFFFFFFFFCC778888770000000000",
INIT_0C => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_0D => X"FFFFFFFFFFFFFFFFEEDD110011111133FFFFFFFFFFFFFFFFFFFF881111114F4F",
INIT_0E => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F000000CCFFFFFFFF",
INIT_0F => X"FFFFFFFFFFFFFFFFFFEEDDDD660000004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_10 => X"4F4F4F4F4F4F4F4F4F4F1199FFFFFFFFFFFFFFFFFFFF2211000066DDDDDDEEFF",
INIT_11 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_12 => X"CC8877777766666677CCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC77774400",
INIT_13 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F000000000000004F4F4F005599FFFFFFFF",
INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFF88114F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_15 => X"00001111114F4F4F4F0000CCEEEEEE6600000022FFFFFFFFFFFFFFFFFFFFFFFF",
INIT_16 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F000000",
INIT_17 => X"CCDDEEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEECC0000",
INIT_18 => X"4F4F4F4F4F4F4F4F4F4F4F000000000000CCDDCC000000000000001111110000",
INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFF8844004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_1A => X"FFFFFF887777777777666666667788FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_1B => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F000000004499",
INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEEEEFFFF77004F",
INIT_1D => X"4F4F4F4F4F4F4F4F4F4F00000077FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_1E => X"FFFFFFFFFFFFFFEE1188FFFF77004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_20 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F001133FFFF",
INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB77666688FFBB77114F4F",
INIT_22 => X"4F4F4F4F4F4F4F4F4F4F00000077CCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_23 => X"FFFFFFFF6600FF7700DDFFEE11004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_25 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0077EEFF",
INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6611FF8800DDFFDD00004F4F4F",
INIT_27 => X"4F4F4F4F4F4F4F4F4F4F4F4F1133FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_28 => X"FFFF7700773311EEFFEE884400004F4F0000004F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_2A => X"0000004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F000077CCFF",
INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7700000011EEFFFFFF881111114F00",
INIT_2C => X"4F4F4F4F4F4F4F4F4F4F00000077EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_2D => X"7700000011EEFFFFFFEEDDDD770000111100004F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_2F => X"0000004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F1133EEFF",
INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7700000011EEFFFFFFFFFFFF88000088CC",
INIT_31 => X"4F4F4F4F4F4F4F4F4F4F4F0011EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_32 => X"000033EEFFFFFFFFFFFF77000099FF8833000000004F4F4F4F4F4F4F4F4F4F4F",
INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8811",
INIT_34 => X"111100004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0011EEFFFFFF",
INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFEEBB0055EEFFFFFFFFFFFFFF77000088FFFF88",
INIT_36 => X"4F4F4F4F4F4F4F4F4F0011EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_37 => X"FFFFFFFFFFFFFFFF77000088FFFFEEDDDD660000004F4F4F4F4F4F4F4F4F4F4F",
INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF89BC",
INIT_39 => X"CC77774400004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F005588EEFFFFFFFFFF",
INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFEFEFEFFFFFFFFFFFFFFFFFFFF7700005599FFFFFFFF",
INIT_3B => X"4F4F4F4F4F4F0088FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_3C => X"FFFFFFFFFFFF77004F0011EEFFFFFFFFFFFF881100004F4F4F4F4F4F4F4F4F4F",
INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F1F2F2F9FFFF",
INIT_3E => X"FFEECC00000000004F4F4F4F4F4F4F4F4F4F4F4F4F0088FFFFFFFFFFFFFFFFFF",
INIT_3F => X"FFFFFFFFFFFFFBF7F0F0F0F0F3F9FFFFFFFFFFFFFF88004F0011EEFFFFFFFFFF",
INIT_40 => X"4F4F4F4F0088FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_41 => X"FFFFFFEE77004F0022EEFFFFFFFFFFFFFFFF887766000000004F4F4F4F4F4F4F",
INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F0F0F0F0F0F0F1FEFFFF",
INIT_43 => X"FFFFFFEE33111100004F4F4F4F4F4F4F4F4F4F0077EEFFFFFFFFFFFFFFFFFFFF",
INIT_44 => X"FFFFFFFFF7F0F0F0F0F0F0F1FEFFFFFFFFFF33114F4F0011CCFFFFFFFFFFFFFF",
INIT_45 => X"4F4F4F1133EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_46 => X"7700004F4F4F4F1199FFFFFFFFFFFFFFFFFFFFEEDDCC0000004F4F4F4F4F4F4F",
INIT_47 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F0F0F0F0F0F0F1FEFFFFFFCC",
INIT_48 => X"FFFFFFFFFF887766114F4F4F4F4F4F4F4F4F0011EEFFFFFFFFFFFFFFFFFFFFFF",
INIT_49 => X"FFFFF7F0F0F0F0F0F0F1FEFFFFEE660000004F4F4F4F00448888CCFFFFFFFFFF",
INIT_4A => X"4F0011EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_4B => X"004F4F4F4F4F00000077EEFFFFFFFFFFFFFFFFFFFFFFEE11004F4F4F4F4F4F4F",
INIT_4C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F0F0F0F0F0F0F1FEFFEE22000000",
INIT_4D => X"FFFFFFFFFFEE11004F4F4F4F4F4F4F4F0011EEFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_4E => X"FBF6F0F0F0F0F3F8FEFFEE77330000004F4F4F4F4F4F4F4F1133FFFFFFFFFFFF",
INIT_4F => X"22EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_50 => X"4F4F4F4F4F4F4F4F0077CCFFFFFFFFFFFFFFFFBB7711004F4F4F4F4F4F4F4F00",
INIT_51 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF3F1F1F1F9FFFFFFFFFF88004F4F4F",
INIT_52 => X"FFFF77004F4F4F4F4F4F4F4F4F4F0011CCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_53 => X"FEFDFDFDFFFFFFFFFFFF88004F4F4F4F4F4F4F4F4F4F4F4F0077FFFFFFFFFFFF",
INIT_54 => X"99FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_55 => X"4F4F4F4F4F4F4F0088FFFFFFFFFFFFFFFF77004F4F4F4F4F4F4F4F4F4F4F4F11",
INIT_56 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9955004F4F4F4F4F",
INIT_57 => X"88004F4F4F4F4F4F4F4F4F4F4F4F0063D8C8ECFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_58 => X"FFFFFFFFFFFFCC00004F4F4F4F4F4F4F4F4F4F4F4F4F005599FFFFFFFFFFFFFF",
INIT_59 => X"90C6FEFEFEFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_5A => X"4F4F4F4F4F4F0000CCFFFFFFFFFFEE66004F4F4F4F4F4F4F4F4F4F4F4F004090",
INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99114F4F4F4F4F4F4F4F4F4F",
INIT_5C => X"4F4F4F4F4F4F4F4F4F4F4F4F4F012180A0A1A1A1B3FFFFFFFFFFFFFFFFFFFFFF",
INIT_5D => X"FFEE9944004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F1199FFFFFFEE330000",
INIT_5E => X"90909090C7C8D9FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_5F => X"4F4F4F4F4F4F0077FFFFFFDD0000004F4F4F4F4F4F4F4F4F4F4F4F4F010180A0",
INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD00004F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_61 => X"4F4F4F4F4F4F4F4F4F4F4F001080A0909090909090A0ECFFFFFFFFFFFFFFFFFF",
INIT_62 => X"00004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0088FFFFFFDD11004F4F4F",
INIT_63 => X"A0A0909090A1D9FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD",
INIT_64 => X"4F4F4F4F0088FFFFFFDD0000004F4F4F4F4F4F4F4F4F4F4F4F4F001080A09090",
INIT_65 => X"FFAA77FFFFFFFFFFFFFFFFFFFFFFEE8844004F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_66 => X"4F4F4F4F4F4F4F4F4F0010B6D7C7C7734080908080C7FFFFFFFFFFFFFFFFFFFF",
INIT_67 => X"114F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0077FFFFFFEE8877660000004F",
INIT_68 => X"91A385A1D8FFFFFFFFFFFFFFFFFFFFFF7711CCEEFFFFFFFFFFFFFFFFFFFFFF88",
INIT_69 => X"4F4F1199FFFFFFFFFFFFEE3311114F4F4F4F4F4F4F4F4F4F0012EEFFFFFF7811",
INIT_6A => X"BB1188FFFFFFFFFFFFFFFFFFFFFFEECC11004F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_6B => X"4F4F4F4F4F4F4F0011EEFFFFFFEEDDFDFEEEFDFEFFFFFFFFFFFFFFFFFFFFFFEE",
INIT_6C => X"004F4F4F4F4F4F4F4F4F4F4F4F4F4F0000CCEEFFFFFFFFFFFFFFEEDDCC000000",
INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF775577FFFFFFFFFFFFFFFFFFFFFFEE11",
INIT_6E => X"FFFFFFFFFFFFFFFFFFFFFFFF996430004F4F4F4F4F4F0011EEFFFFFFFFFFFFFF",
INIT_6F => X"7711CCEEFFFFFFFFFFFFFFFFFFDD11004F4F4F4F4F4F4F4F4F4F4F4F4F005599",
INIT_70 => X"4F4F4F4F4F0011EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_71 => X"4F4F4F4F4F4F4F4F4F4F4F4F0077FFFFFFFFFFFFFFFFFFFFFFFFFFFFD7801000",
INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEEBB1188FFFFFFFFFFFFFFFFFFDD00004F",
INIT_73 => X"FFFFFFFFFFFFFFFFFFFFB3B09011014F4F4F4F4F0011EEFFFFFFFFFFFFFFFFFF",
INIT_74 => X"775577FFFFFFFFFFFFFFFFEE8844004F4F4F4F4F4F4F4F4F4F4F4F4F1133FFFF",
INIT_75 => X"4F4F005588EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_76 => X"4F4F4F4F4F4F4F4F4F4F4F4F00778899FFFFFFFFFFFFFFECC7A0704101014F4F",
INIT_77 => X"FFFFFFFFEEEEEEFFFFFFFFFFFFFFFFFF7711CCEEFFFFFFFFFFFFFFFF88004F4F",
INIT_78 => X"EEEEFFFFFFFEC690A0410100004F4F4F4F0088FFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_79 => X"BB1188FFFFFFFFFFFFFFFF77004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F000000CC",
INIT_7A => X"0088FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88111199FFFFFFFFFFFFFFFFEE",
INIT_7B => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F111133FFFFFFB390A0A040004F4F4F4F4F4F",
INIT_7C => X"FFFFBB66666677777799FFFFFFFFFFFF775577FFFFFFFFFFFFFF77004F4F4F4F",
INIT_7D => X"77B8D7A08091A040004F4F4F4F00000088FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_7E => X"7711CCEEFFFFFFFFFF77004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F000000",
INIT_7F => X"FEFEFEFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8800000000CCFFFFFFFFFFFF",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "PERFORMANCE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => addra(11 downto 0),
ADDRARDADDR(2 downto 0) => B"111",
ADDRBWRADDR(15 downto 0) => B"0000000000000000",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clka,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 8) => B"000000000000000000000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(31 downto 0) => B"00000000000000000000000000000000",
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 8),
DOADO(7 downto 0) => \douta[11]\(7 downto 0),
DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 0),
DOPADOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 1),
DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_88\,
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena_array(0),
ENBWREN => '0',
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '1',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 0) => B"00000000"
);
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => addra(12),
O => ena_array(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \pikachu_pixel_blk_mem_gen_prim_wrapper_init__parameterized1\ is
port (
DOADO : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \pikachu_pixel_blk_mem_gen_prim_wrapper_init__parameterized1\ : entity is "blk_mem_gen_prim_wrapper_init";
end \pikachu_pixel_blk_mem_gen_prim_wrapper_init__parameterized1\;
architecture STRUCTURE of \pikachu_pixel_blk_mem_gen_prim_wrapper_init__parameterized1\ is
signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_88\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F0000003090A09283A040004F4F4F4F00000077",
INIT_01 => X"FFFFFFEEDDDD55000099FFFFFFFFFFEEBB1188FFFFFFFFFF77004F4F4F4F4F4F",
INIT_02 => X"2090A0A0A0410100004F4F00000051B1A1A1B3FFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_03 => X"886677EEFFFFFF77004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0000",
INIT_04 => X"9090C7ECFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC77666677FFFFFFFFFFFF",
INIT_05 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F0000004050509070410000004F000040709090",
INIT_06 => X"FFFFFFFFFFFFFF7711CCEEFFFFFFFFEE6611EEFFFFFF88004F4F4F4F4F4F4F4F",
INIT_07 => X"020180B0902000004F001090A09090909090C6FEFEFFFFFFFFFFFFFFFFFFFFFF",
INIT_08 => X"DDFFFFFFFF88114F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F000001",
INIT_09 => X"9090A191D8FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEEBB1188FFFFFFEE2266",
INIT_0A => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F02017090A090300000001080A090909090",
INIT_0B => X"FFFFFFFFFFFFFF77667777777766BBFFFFFFFFFF88114F4F4F4F4F4F4F4F4F4F",
INIT_0C => X"3170A0A0704000001080A090909090C6C7C6C6EBFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0D => X"FFFFFF88114F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0001",
INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8800000022FFFFFFFF",
INIT_0F => X"4F4F4F4F4F4F4F4F4F4F4F4F4F000001419090A09020011180A090A1A1B3FFFF",
INIT_10 => X"FFFFFFFFFFFFEEDDDDDDEEFFFFFFFFFFFFFF88114F4F4F4F4F4F4F4F4F4F4F4F",
INIT_11 => X"2190A0909030008090A0ECFDFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_12 => X"FF99114F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0001",
INIT_13 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_14 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F0001014080B0B04001B7D8E9FFFFFFFFFFFFFF",
INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE88114F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_16 => X"4090903023DDEFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_17 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F00",
INIT_18 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE5544",
INIT_19 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F00101150A0B15233EEFFFFFFFFFFFFFFFF",
INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFEE33114F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_1B => X"012160A03001DEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_1C => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F00",
INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD44004F4F",
INIT_1E => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F000001803011EEFFFFFFFFFFFFFFFFFFFF",
INIT_1F => X"FFFFFFFFFFFFFFFFFF9933774F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_20 => X"00100011EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_21 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F00",
INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88004F4F4F4F4F4F",
INIT_23 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F105455002388EEFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_24 => X"FFFFFFFFFFFFFF88114F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_25 => X"88FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_26 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F1076AA22",
INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99114F4F4F4F4F4F4F4F",
INIT_28 => X"4F4F4F4F4F4F4F4F4F4F4F4F1022CCEEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_29 => X"FFFFFFFF9966334F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_2B => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F004488FFFFFF",
INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE44334F4F4F4F4F4F4F4F4F4F4F",
INIT_2D => X"4F4F4F4F4F4F4F4F4F1199FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_2E => X"FFFFEE660000004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_30 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0011CCFFFFFFFFFFFFFF",
INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB777744004F4F4F4F4F4F4F4F4F",
INIT_32 => X"4F4F4F4F4F0022FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_33 => X"FFFFFFFF88004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_35 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0011EEFFFFFFFFFFFFFFFFFF",
INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88004F4F4F4F4F4F4F4F4F4F4F",
INIT_37 => X"4F4F4F0022EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_38 => X"EE9955004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_3A => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F001177CCFFFFFFFFFFFFFFFFFFFF",
INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD00004F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_3C => X"4F4F4F0077EEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_3D => X"004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE11",
INIT_3F => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F1133FFFFFFFFFFFFFFFFFFFFFF",
INIT_40 => X"FFFFFFFFFFFFFFFFFFFFFFFFCC7711004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_41 => X"4F4F000077CCFFFFFFFFFFFFFFFFCC8888888888888888888888CCFFFFFFFFFF",
INIT_42 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_43 => X"00000000000000000066EEEEEEEEFFFFFFFFFFFFFFFFFFFFFFFFEE66004F4F4F",
INIT_44 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F00000066EEFFFFFFFFFFFFEE660000",
INIT_45 => X"FFFFFFFFFFFFFFFFFF33114F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_46 => X"4F4F000033FFFFFFFFFFEE33114F4F4F4F4F4F4F4F4F4F000011111133FFFFFF",
INIT_47 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_48 => X"4F4F4F4F4F4F00000000000077888888CCFFFFFFFF998877004F4F4F4F4F4F4F",
INIT_49 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F00000077CCFFFFFFDD0000004F4F4F",
INIT_4A => X"EEFFFFCC0000004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_4B => X"4F00000066EEEEFFEE3300004F4F4F4F4F4F4F4F4F4F4F4F4F00000000000077",
INIT_4C => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_4D => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F1144FF99114F00004F4F4F4F4F4F4F4F4F4F",
INIT_4E => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0000111199FFFF77004F4F4F4F4F",
INIT_4F => X"55004F00004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_50 => X"4F0000000044889944004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F0077",
INIT_51 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_52 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F00004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_53 => X"4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F00000000004F4F4F4F4F4F4F",
INIT_54 => X"0000000000000000000000004F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F4F",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "PERFORMANCE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => addra(11 downto 0),
ADDRARDADDR(2 downto 0) => B"111",
ADDRBWRADDR(15 downto 0) => B"0000000000000000",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clka,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 8) => B"000000000000000000000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(31 downto 0) => B"00000000000000000000000000000000",
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 8),
DOADO(7 downto 0) => DOADO(7 downto 0),
DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 0),
DOPADOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 1),
DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_88\,
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => addra(12),
ENBWREN => '0',
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '1',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 0) => B"00000000"
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity pikachu_pixel_blk_mem_gen_prim_width is
port (
douta : out STD_LOGIC_VECTOR ( 3 downto 0 );
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 3 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of pikachu_pixel_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end pikachu_pixel_blk_mem_gen_prim_width;
architecture STRUCTURE of pikachu_pixel_blk_mem_gen_prim_width is
begin
\prim_init.ram\: entity work.pikachu_pixel_blk_mem_gen_prim_wrapper_init
port map (
addra(12 downto 0) => addra(12 downto 0),
clka => clka,
dina(3 downto 0) => dina(3 downto 0),
douta(3 downto 0) => douta(3 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \pikachu_pixel_blk_mem_gen_prim_width__parameterized0\ is
port (
\douta[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \pikachu_pixel_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width";
end \pikachu_pixel_blk_mem_gen_prim_width__parameterized0\;
architecture STRUCTURE of \pikachu_pixel_blk_mem_gen_prim_width__parameterized0\ is
begin
\prim_init.ram\: entity work.\pikachu_pixel_blk_mem_gen_prim_wrapper_init__parameterized0\
port map (
addra(12 downto 0) => addra(12 downto 0),
clka => clka,
dina(7 downto 0) => dina(7 downto 0),
\douta[11]\(7 downto 0) => \douta[11]\(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \pikachu_pixel_blk_mem_gen_prim_width__parameterized1\ is
port (
DOADO : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \pikachu_pixel_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width";
end \pikachu_pixel_blk_mem_gen_prim_width__parameterized1\;
architecture STRUCTURE of \pikachu_pixel_blk_mem_gen_prim_width__parameterized1\ is
begin
\prim_init.ram\: entity work.\pikachu_pixel_blk_mem_gen_prim_wrapper_init__parameterized1\
port map (
DOADO(7 downto 0) => DOADO(7 downto 0),
addra(12 downto 0) => addra(12 downto 0),
clka => clka,
dina(7 downto 0) => dina(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity pikachu_pixel_blk_mem_gen_generic_cstr is
port (
douta : out STD_LOGIC_VECTOR ( 11 downto 0 );
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of pikachu_pixel_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end pikachu_pixel_blk_mem_gen_generic_cstr;
architecture STRUCTURE of pikachu_pixel_blk_mem_gen_generic_cstr is
signal \ramloop[1].ram.r_n_0\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_1\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_2\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_3\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_4\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_5\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_6\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_7\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_0\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_1\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_2\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_3\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_4\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_5\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_6\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_7\ : STD_LOGIC;
begin
\has_mux_a.A\: entity work.pikachu_pixel_blk_mem_gen_mux
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(7) => \ramloop[1].ram.r_n_0\,
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(6) => \ramloop[1].ram.r_n_1\,
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(5) => \ramloop[1].ram.r_n_2\,
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(4) => \ramloop[1].ram.r_n_3\,
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(3) => \ramloop[1].ram.r_n_4\,
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(2) => \ramloop[1].ram.r_n_5\,
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(1) => \ramloop[1].ram.r_n_6\,
\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\(0) => \ramloop[1].ram.r_n_7\,
DOADO(7) => \ramloop[2].ram.r_n_0\,
DOADO(6) => \ramloop[2].ram.r_n_1\,
DOADO(5) => \ramloop[2].ram.r_n_2\,
DOADO(4) => \ramloop[2].ram.r_n_3\,
DOADO(3) => \ramloop[2].ram.r_n_4\,
DOADO(2) => \ramloop[2].ram.r_n_5\,
DOADO(1) => \ramloop[2].ram.r_n_6\,
DOADO(0) => \ramloop[2].ram.r_n_7\,
addra(0) => addra(12),
clka => clka,
douta(7 downto 0) => douta(11 downto 4)
);
\ramloop[0].ram.r\: entity work.pikachu_pixel_blk_mem_gen_prim_width
port map (
addra(12 downto 0) => addra(12 downto 0),
clka => clka,
dina(3 downto 0) => dina(3 downto 0),
douta(3 downto 0) => douta(3 downto 0),
wea(0) => wea(0)
);
\ramloop[1].ram.r\: entity work.\pikachu_pixel_blk_mem_gen_prim_width__parameterized0\
port map (
addra(12 downto 0) => addra(12 downto 0),
clka => clka,
dina(7 downto 0) => dina(11 downto 4),
\douta[11]\(7) => \ramloop[1].ram.r_n_0\,
\douta[11]\(6) => \ramloop[1].ram.r_n_1\,
\douta[11]\(5) => \ramloop[1].ram.r_n_2\,
\douta[11]\(4) => \ramloop[1].ram.r_n_3\,
\douta[11]\(3) => \ramloop[1].ram.r_n_4\,
\douta[11]\(2) => \ramloop[1].ram.r_n_5\,
\douta[11]\(1) => \ramloop[1].ram.r_n_6\,
\douta[11]\(0) => \ramloop[1].ram.r_n_7\,
wea(0) => wea(0)
);
\ramloop[2].ram.r\: entity work.\pikachu_pixel_blk_mem_gen_prim_width__parameterized1\
port map (
DOADO(7) => \ramloop[2].ram.r_n_0\,
DOADO(6) => \ramloop[2].ram.r_n_1\,
DOADO(5) => \ramloop[2].ram.r_n_2\,
DOADO(4) => \ramloop[2].ram.r_n_3\,
DOADO(3) => \ramloop[2].ram.r_n_4\,
DOADO(2) => \ramloop[2].ram.r_n_5\,
DOADO(1) => \ramloop[2].ram.r_n_6\,
DOADO(0) => \ramloop[2].ram.r_n_7\,
addra(12 downto 0) => addra(12 downto 0),
clka => clka,
dina(7 downto 0) => dina(11 downto 4),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity pikachu_pixel_blk_mem_gen_top is
port (
douta : out STD_LOGIC_VECTOR ( 11 downto 0 );
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of pikachu_pixel_blk_mem_gen_top : entity is "blk_mem_gen_top";
end pikachu_pixel_blk_mem_gen_top;
architecture STRUCTURE of pikachu_pixel_blk_mem_gen_top is
begin
\valid.cstr\: entity work.pikachu_pixel_blk_mem_gen_generic_cstr
port map (
addra(12 downto 0) => addra(12 downto 0),
clka => clka,
dina(11 downto 0) => dina(11 downto 0),
douta(11 downto 0) => douta(11 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity pikachu_pixel_blk_mem_gen_v8_3_5_synth is
port (
douta : out STD_LOGIC_VECTOR ( 11 downto 0 );
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of pikachu_pixel_blk_mem_gen_v8_3_5_synth : entity is "blk_mem_gen_v8_3_5_synth";
end pikachu_pixel_blk_mem_gen_v8_3_5_synth;
architecture STRUCTURE of pikachu_pixel_blk_mem_gen_v8_3_5_synth is
begin
\gnbram.gnativebmg.native_blk_mem_gen\: entity work.pikachu_pixel_blk_mem_gen_top
port map (
addra(12 downto 0) => addra(12 downto 0),
clka => clka,
dina(11 downto 0) => dina(11 downto 0),
douta(11 downto 0) => douta(11 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity pikachu_pixel_blk_mem_gen_v8_3_5 is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
regcea : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
douta : out STD_LOGIC_VECTOR ( 11 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
regceb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 12 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 11 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 11 downto 0 );
injectsbiterr : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
eccpipece : in STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
rdaddrecc : out STD_LOGIC_VECTOR ( 12 downto 0 );
sleep : in STD_LOGIC;
deepsleep : in STD_LOGIC;
shutdown : in STD_LOGIC;
rsta_busy : out STD_LOGIC;
rstb_busy : out STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_injectsbiterr : in STD_LOGIC;
s_axi_injectdbiterr : in STD_LOGIC;
s_axi_sbiterr : out STD_LOGIC;
s_axi_dbiterr : out STD_LOGIC;
s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 12 downto 0 )
);
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 13;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 13;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 9;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "0";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "3";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "Estimated Power for IP : 5.016775 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "artix7";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "pikachu_pixel.mem";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "pikachu_pixel.mif";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 6804;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 6804;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 12;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 12;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 6804;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 6804;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 12;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is 12;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "artix7";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "blk_mem_gen_v8_3_5";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of pikachu_pixel_blk_mem_gen_v8_3_5 : entity is "yes";
end pikachu_pixel_blk_mem_gen_v8_3_5;
architecture STRUCTURE of pikachu_pixel_blk_mem_gen_v8_3_5 is
signal \<const0>\ : STD_LOGIC;
begin
dbiterr <= \<const0>\;
doutb(11) <= \<const0>\;
doutb(10) <= \<const0>\;
doutb(9) <= \<const0>\;
doutb(8) <= \<const0>\;
doutb(7) <= \<const0>\;
doutb(6) <= \<const0>\;
doutb(5) <= \<const0>\;
doutb(4) <= \<const0>\;
doutb(3) <= \<const0>\;
doutb(2) <= \<const0>\;
doutb(1) <= \<const0>\;
doutb(0) <= \<const0>\;
rdaddrecc(12) <= \<const0>\;
rdaddrecc(11) <= \<const0>\;
rdaddrecc(10) <= \<const0>\;
rdaddrecc(9) <= \<const0>\;
rdaddrecc(8) <= \<const0>\;
rdaddrecc(7) <= \<const0>\;
rdaddrecc(6) <= \<const0>\;
rdaddrecc(5) <= \<const0>\;
rdaddrecc(4) <= \<const0>\;
rdaddrecc(3) <= \<const0>\;
rdaddrecc(2) <= \<const0>\;
rdaddrecc(1) <= \<const0>\;
rdaddrecc(0) <= \<const0>\;
rsta_busy <= \<const0>\;
rstb_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(3) <= \<const0>\;
s_axi_bid(2) <= \<const0>\;
s_axi_bid(1) <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_dbiterr <= \<const0>\;
s_axi_rdaddrecc(12) <= \<const0>\;
s_axi_rdaddrecc(11) <= \<const0>\;
s_axi_rdaddrecc(10) <= \<const0>\;
s_axi_rdaddrecc(9) <= \<const0>\;
s_axi_rdaddrecc(8) <= \<const0>\;
s_axi_rdaddrecc(7) <= \<const0>\;
s_axi_rdaddrecc(6) <= \<const0>\;
s_axi_rdaddrecc(5) <= \<const0>\;
s_axi_rdaddrecc(4) <= \<const0>\;
s_axi_rdaddrecc(3) <= \<const0>\;
s_axi_rdaddrecc(2) <= \<const0>\;
s_axi_rdaddrecc(1) <= \<const0>\;
s_axi_rdaddrecc(0) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(3) <= \<const0>\;
s_axi_rid(2) <= \<const0>\;
s_axi_rid(1) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_sbiterr <= \<const0>\;
s_axi_wready <= \<const0>\;
sbiterr <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
inst_blk_mem_gen: entity work.pikachu_pixel_blk_mem_gen_v8_3_5_synth
port map (
addra(12 downto 0) => addra(12 downto 0),
clka => clka,
dina(11 downto 0) => dina(11 downto 0),
douta(11 downto 0) => douta(11 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity pikachu_pixel is
port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 12 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
douta : out STD_LOGIC_VECTOR ( 11 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of pikachu_pixel : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of pikachu_pixel : entity is "pikachu_pixel,blk_mem_gen_v8_3_5,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of pikachu_pixel : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of pikachu_pixel : entity is "blk_mem_gen_v8_3_5,Vivado 2016.4";
end pikachu_pixel;
architecture STRUCTURE of pikachu_pixel is
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_doutb_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 12 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 12 downto 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of U0 : label is 13;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of U0 : label is 13;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of U0 : label is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of U0 : label is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of U0 : label is 9;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of U0 : label is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of U0 : label is "0";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of U0 : label is "3";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of U0 : label is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of U0 : label is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of U0 : label is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of U0 : label is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of U0 : label is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of U0 : label is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of U0 : label is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of U0 : label is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of U0 : label is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 5.016775 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "artix7";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of U0 : label is 0;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of U0 : label is 0;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 1;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of U0 : label is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of U0 : label is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of U0 : label is 0;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of U0 : label is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of U0 : label is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of U0 : label is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of U0 : label is "pikachu_pixel.mem";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of U0 : label is "pikachu_pixel.mif";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of U0 : label is 1;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 0;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of U0 : label is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of U0 : label is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of U0 : label is 6804;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of U0 : label is 6804;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of U0 : label is 12;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of U0 : label is 12;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of U0 : label is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of U0 : label is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of U0 : label is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of U0 : label is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of U0 : label is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of U0 : label is 0;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of U0 : label is 0;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of U0 : label is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of U0 : label is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of U0 : label is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of U0 : label is 1;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of U0 : label is 1;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of U0 : label is 6804;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of U0 : label is 6804;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of U0 : label is 12;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of U0 : label is 12;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.pikachu_pixel_blk_mem_gen_v8_3_5
port map (
addra(12 downto 0) => addra(12 downto 0),
addrb(12 downto 0) => B"0000000000000",
clka => clka,
clkb => '0',
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
deepsleep => '0',
dina(11 downto 0) => dina(11 downto 0),
dinb(11 downto 0) => B"000000000000",
douta(11 downto 0) => douta(11 downto 0),
doutb(11 downto 0) => NLW_U0_doutb_UNCONNECTED(11 downto 0),
eccpipece => '0',
ena => '0',
enb => '0',
injectdbiterr => '0',
injectsbiterr => '0',
rdaddrecc(12 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(12 downto 0),
regcea => '0',
regceb => '0',
rsta => '0',
rsta_busy => NLW_U0_rsta_busy_UNCONNECTED,
rstb => '0',
rstb_busy => NLW_U0_rstb_busy_UNCONNECTED,
s_aclk => '0',
s_aresetn => '0',
s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_arburst(1 downto 0) => B"00",
s_axi_arid(3 downto 0) => B"0000",
s_axi_arlen(7 downto 0) => B"00000000",
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arsize(2 downto 0) => B"000",
s_axi_arvalid => '0',
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(1 downto 0) => B"00",
s_axi_awid(3 downto 0) => B"0000",
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awsize(2 downto 0) => B"000",
s_axi_awvalid => '0',
s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED,
s_axi_injectdbiterr => '0',
s_axi_injectsbiterr => '0',
s_axi_rdaddrecc(12 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(12 downto 0),
s_axi_rdata(11 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(11 downto 0),
s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED,
s_axi_wdata(11 downto 0) => B"000000000000",
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(0) => '0',
s_axi_wvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
shutdown => '0',
sleep => '0',
wea(0) => wea(0),
web(0) => '0'
);
end STRUCTURE;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc680.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:00 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:32 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:40 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00680ent IS
END c03s04b01x00p23n01i00680ent;
ARCHITECTURE c03s04b01x00p23n01i00680arch OF c03s04b01x00p23n01i00680ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
type FT is file of INTEGER;
-- Declare the actual file to read.
file FILEV : FT open read_mode is "iofile.08";
-- Declare a variable into which we will read.
constant CON : INTEGER := 1;
variable VAR : INTEGER;
variable k : integer := 0;
BEGIN
-- Read in the file.
for I in 1 to 100 loop
if (ENDFILE( FILEV ) /= FALSE) then
k := 1;
end if;
assert( (ENDFILE( FILEV ) = FALSE) )
report "Hit the end of file too soon.";
READ( FILEV,VAR );
if (VAR /= CON) then
k := 1;
end if;
end loop;
-- Verify that we are at the end.
if (ENDFILE( FILEV ) /= TRUE) then
k := 1;
end if;
assert( ENDFILE( FILEV ) = TRUE )
report "Have not reached end of file yet."
severity ERROR;
assert NOT( k = 0 )
report "***PASSED TEST: c03s04b01x00p23n01i00680"
severity NOTE;
assert( k = 0 )
report "***FAILED TEST: c03s04b01x00p23n01i00680 - The variables don't equal the constants."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00680arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc680.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:00 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:32 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:40 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00680ent IS
END c03s04b01x00p23n01i00680ent;
ARCHITECTURE c03s04b01x00p23n01i00680arch OF c03s04b01x00p23n01i00680ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
type FT is file of INTEGER;
-- Declare the actual file to read.
file FILEV : FT open read_mode is "iofile.08";
-- Declare a variable into which we will read.
constant CON : INTEGER := 1;
variable VAR : INTEGER;
variable k : integer := 0;
BEGIN
-- Read in the file.
for I in 1 to 100 loop
if (ENDFILE( FILEV ) /= FALSE) then
k := 1;
end if;
assert( (ENDFILE( FILEV ) = FALSE) )
report "Hit the end of file too soon.";
READ( FILEV,VAR );
if (VAR /= CON) then
k := 1;
end if;
end loop;
-- Verify that we are at the end.
if (ENDFILE( FILEV ) /= TRUE) then
k := 1;
end if;
assert( ENDFILE( FILEV ) = TRUE )
report "Have not reached end of file yet."
severity ERROR;
assert NOT( k = 0 )
report "***PASSED TEST: c03s04b01x00p23n01i00680"
severity NOTE;
assert( k = 0 )
report "***FAILED TEST: c03s04b01x00p23n01i00680 - The variables don't equal the constants."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00680arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc680.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:00 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:32 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:40 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00680ent IS
END c03s04b01x00p23n01i00680ent;
ARCHITECTURE c03s04b01x00p23n01i00680arch OF c03s04b01x00p23n01i00680ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
type FT is file of INTEGER;
-- Declare the actual file to read.
file FILEV : FT open read_mode is "iofile.08";
-- Declare a variable into which we will read.
constant CON : INTEGER := 1;
variable VAR : INTEGER;
variable k : integer := 0;
BEGIN
-- Read in the file.
for I in 1 to 100 loop
if (ENDFILE( FILEV ) /= FALSE) then
k := 1;
end if;
assert( (ENDFILE( FILEV ) = FALSE) )
report "Hit the end of file too soon.";
READ( FILEV,VAR );
if (VAR /= CON) then
k := 1;
end if;
end loop;
-- Verify that we are at the end.
if (ENDFILE( FILEV ) /= TRUE) then
k := 1;
end if;
assert( ENDFILE( FILEV ) = TRUE )
report "Have not reached end of file yet."
severity ERROR;
assert NOT( k = 0 )
report "***PASSED TEST: c03s04b01x00p23n01i00680"
severity NOTE;
assert( k = 0 )
report "***FAILED TEST: c03s04b01x00p23n01i00680 - The variables don't equal the constants."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00680arch;
|
--
-- This file is part of top_mandelbrot_1b
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity mult_16_8 is
Port ( a : in STD_LOGIC_VECTOR (15 downto 0);
b : in STD_LOGIC_VECTOR (15 downto 0);
p : out STD_LOGIC_VECTOR (15 downto 0));
end mult_16_8;
architecture Behavioral of mult_16_8 is
signal internal : std_logic_vector(31 downto 0);
signal internal_reduced : std_logic_vector(15 downto 0);
signal abs_a : std_logic_vector(15 downto 0);
signal abs_b : std_logic_vector(15 downto 0);
signal l_neg : std_logic := '0'; -- sign of product
begin
-- Compute absolute values of operands
abs_a <= std_logic_vector(abs(signed(a)));
abs_b <= std_logic_vector(abs(signed(b)));
-- Compute sign of product
l_neg <= a(15) xor b(15);
-- Compute unsigned extendend product
simple_mult : entity work.simple_mult port map(
a => abs_a,
b => abs_b,
p => internal);
-- internal <= std_logic_vector(unsigned(abs_a) * unsigned(abs_b));
-- Trunk product
trunc : entity work.truncator port map(
i => internal,
o => internal_reduced);
--internal_reduced(15 downto 0) <= internal(23 downto 8);
-- restablish sign if needed
p <= internal_reduced when l_neg = '0' else std_logic_vector(-signed(internal_reduced));
-- internal_a(15 downto 0) <= a;
-- internal_a(17) <= a(15);
-- internal_a(16) <= a(15);
-- internal_b(15 downto 0) <= b;
-- internal_b(17) <= b(15);
-- internal_b(16) <= b(15);
-- internal <= std_logic_vector(unsigned(internal_a) * unsigned(internal_b));
-- p(15 downto 0) <= internal(23 downto 8);
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 10:03:34 10/01/2013
-- Design Name:
-- Module Name: uart_receive - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity uart_receive is
port(
rst_i : in std_logic;
clk_i : in std_logic;
Top16_i : in std_logic;
TopRx_i : in std_logic;
Dout_o : out std_logic_vector(7 downto 0);
ClrDiv_o: out std_logic;
Recvd : out std_logic;
Rx_i : in std_logic
);
end uart_receive;
architecture Behavioral of uart_receive is
type state_type is (idle, start_rx, edge_rx, shift_rx, stop_rx, rxovf);
--constant NDbits : std_logic_vector(2 downto 0) :=8;
signal RxFSM : state_type;
signal Rx_Reg : std_logic_vector(7 downto 0);
signal RxBitCnt : integer;
signal RxRdyi : std_logic;
signal ClrDiv : std_logic;
signal RxErr : std_logic;
begin
-- ------------------------
-- RECEIVE State Machine
-- ------------------------
Rx_FSM: process (rst_i, clk_i)
begin
if rst_i='1' then
Rx_Reg <= (others => '0');
Dout_o <= (others => '0');
RxBitCnt <= 0;
Recvd <= '0';
RxFSM <= Idle;
elsif rising_edge(clk_i) then
case RxFSM is
when Idle =>
Recvd <= '0';
if Top16_i = '1' and Rx_i = '0' then
RxFSM <= Start_Rx;
RxBitCnt <= 0;
end if;
when Start_Rx =>
if TopRx_i = '1' then
if Rx_i = '1' then
RxFSM <= RxOVF;
else
RxFSM <= Edge_Rx;
end if;
end if;
when Edge_Rx =>
if TopRx_i = '1' then
if RxBitCnt = 8 then
RxFSM <= Stop_Rx;
else
RxFSM <= Shift_Rx;
end if;
end if;
when Shift_Rx =>
if TopRx_i = '1' then
RxFSM <= Edge_Rx;
Rx_Reg(RxBitCnt) <= Rx_i;
RxBitCnt <= RxBitCnt + 1;
end if;
when Stop_Rx =>
if TopRx_i = '1' then
RxFSM <= Idle;
Dout_o <= Rx_Reg;
Recvd <= '1';
end if;
when RxOVF =>
if Rx_i = '1' then
RxFSM <= Idle;
end if;
end case;
end if;
end process Rx_FSM;
ClrDiv_o <= ClrDiv;
RxRdyi <= '1' when RxFSM = Idle else '0';
RxErr <= '1' when RxFSM = RxOVF else '0';
ClrDiv <= '1' when RxFSM = idle and Top16_i = '1' and Rx_i = '0' else '0';
end Behavioral;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1197.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p05n01i01197ent IS
END c08s01b00x00p05n01i01197ent;
ARCHITECTURE c08s01b00x00p05n01i01197arch OF c08s01b00x00p05n01i01197ent IS
signal k : integer := 0;
BEGIN
TESTING: PROCESS
BEGIN
k <= 5 after 5 ns;
wait on k until (k=5);
assert NOT( k=5 )
report "***PASSED TEST: c08s01b00x00p05n01i01197"
severity NOTE;
assert ( k=5 )
report "***FAILED TEST: c08s01b00x00p05n01i01197 - In the wait statement, the reserved word 'until' is followed by a boolean statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p05n01i01197arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1197.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p05n01i01197ent IS
END c08s01b00x00p05n01i01197ent;
ARCHITECTURE c08s01b00x00p05n01i01197arch OF c08s01b00x00p05n01i01197ent IS
signal k : integer := 0;
BEGIN
TESTING: PROCESS
BEGIN
k <= 5 after 5 ns;
wait on k until (k=5);
assert NOT( k=5 )
report "***PASSED TEST: c08s01b00x00p05n01i01197"
severity NOTE;
assert ( k=5 )
report "***FAILED TEST: c08s01b00x00p05n01i01197 - In the wait statement, the reserved word 'until' is followed by a boolean statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p05n01i01197arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1197.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p05n01i01197ent IS
END c08s01b00x00p05n01i01197ent;
ARCHITECTURE c08s01b00x00p05n01i01197arch OF c08s01b00x00p05n01i01197ent IS
signal k : integer := 0;
BEGIN
TESTING: PROCESS
BEGIN
k <= 5 after 5 ns;
wait on k until (k=5);
assert NOT( k=5 )
report "***PASSED TEST: c08s01b00x00p05n01i01197"
severity NOTE;
assert ( k=5 )
report "***FAILED TEST: c08s01b00x00p05n01i01197 - In the wait statement, the reserved word 'until' is followed by a boolean statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p05n01i01197arch;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use work.ROCACHE_PKG.all;
use work.RWCACHE_PKG.all;
entity DLX_TB is
end DLX_TB;
architecture TEST of DLX_TB is
component ROMEM is
generic (
file_path : string(1 to 87) := "/home/gandalf/Documents/Universita/Postgrad/Modules/Microelectronic/dlx/rocache/hex.txt";
ENTRIES : integer := 256;
WORD_SIZE : integer := 32;
data_delay : natural := 2
);
port (
CLK : in std_logic;
RST : in std_logic;
ADDRESS : in std_logic_vector(WORD_SIZE - 1 downto 0);
ENABLE : in std_logic;
DATA_READY : out std_logic;
DATA : out std_logic_vector(2*WORD_SIZE - 1 downto 0)
);
end component;
component RWMEM is
generic (
file_path: string(1 to 87):= "/home/gandalf/Documents/Universita/Postgrad/Modules/Microelectronic/dlx/rwcache/hex.txt";
Data_size : natural := 64;
Instr_size: natural := 32;
RAM_DEPTH: natural := 128;
data_delay: natural := 2
);
port (
CLK : in std_logic;
RST : in std_logic;
ADDR : in std_logic_vector(Instr_size - 1 downto 0);
ENABLE : in std_logic;
READNOTWRITE : in std_logic;
DATA_READY : out std_logic;
INOUT_DATA : inout std_logic_vector(Data_size-1 downto 0)
);
end component;
component DLX is
port (
-- Inputs
CLK : in std_logic; -- Clock
RST : in std_logic; -- Reset:Active-High
IRAM_ADDRESS : out std_logic_vector(Instr_size - 1 downto 0);
IRAM_ISSUE : out std_logic;
IRAM_READY : in std_logic;
IRAM_DATA : in std_logic_vector(2*Data_size-1 downto 0);
DRAM_ADDRESS : out std_logic_vector(Instr_size-1 downto 0);
DRAM_ISSUE : out std_logic;
DRAM_READNOTWRITE : out std_logic;
DRAM_READY : in std_logic;
DRAM_DATA : inout std_logic_vector(2*Data_size-1 downto 0)
);
end component;
signal CLK : std_logic := '0'; -- Clock
signal RST : std_logic; -- Reset:Active-Low
signal IRAM_ADDRESS : std_logic_vector(Instr_size - 1 downto 0);
signal IRAM_ENABLE : std_logic;
signal IRAM_READY : std_logic;
signal IRAM_DATA : std_logic_vector(2*Data_size-1 downto 0);
signal DRAM_ADDRESS : std_logic_vector(Instr_size-1 downto 0);
signal DRAM_ENABLE : std_logic;
signal DRAM_READNOTWRITE : std_logic;
signal DRAM_READY : std_logic;
signal DRAM_DATA : std_logic_vector(2*Data_size-1 downto 0);
begin
-- IRAM
IRAM : ROMEM
port map (CLK, RST, IRAM_ADDRESS, IRAM_ENABLE, IRAM_READY, IRAM_DATA);
-- DRAM
DRAM : RWMEM
port map ( CLK, RST, DRAM_ADDRESS, DRAM_ENABLE, DRAM_READNOTWRITE, DRAM_READY, DRAM_DATA );
-- DLX
GIANLUCA : DLX
port map ( CLK, RST, IRAM_ADDRESS, IRAM_ENABLE, IRAM_READY, IRAM_DATA, DRAM_ADDRESS, DRAM_ENABLE, DRAM_READNOTWRITE, DRAM_READY, DRAM_DATA );
Clk <= not Clk after 10 ns;
Rst <= '1', '0' after 5 ns;
end test;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: clkgen_saed32
-- File: clkgen_saed32.vhd
-- Author: Fredrik Ringhage - Aeroflex Gaisler AB
-- Description: Clock generator for SAED32
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
entity clkgen_saed32 is
port (
clkin : in std_logic;
clk : out std_logic; -- main clock
clk2x : out std_logic; -- 2x clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk4x : out std_logic; -- 4x clock
clk1xu : out std_logic; -- unscaled 1X clock
clk2xu : out std_logic); -- unscaled 2X clock
end;
architecture struct of clkgen_saed32 is
component PLL
port (
-- VDD25 : in std_logic;
-- DVDD : inout std_logic;
-- VSSA : in std_logic;
-- AVDD : inout std_logic;
REF_CLK : in std_logic;
FB_CLK : in std_logic;
FB_MODE : in std_logic;
PLL_BYPASS : in std_logic;
CLK_4X : out std_logic;
CLK_2X : out std_logic;
CLK_1X : out std_logic);
end component;
-----------------------------------------------------------------------------
-- attributes
-----------------------------------------------------------------------------
attribute DONT_TOUCH : Boolean;
attribute DONT_TOUCH of pll0 : label is True;
begin
pll0 : PLL port map (
-- VDD25 => '1',
-- DVDD => open,
-- VSSA => '0',
-- AVDD => open,
REF_CLK => clkin,
FB_CLK => cgi.pllref,
FB_MODE => cgi.pllctrl(1),
PLL_BYPASS => cgi.pllctrl(0),
CLK_4X => clk4x,
CLK_2X => clk2x,
CLK_1X => clk
);
cgo.clklock <= '1';
sdclk <= '0';
pciclk <= '0';
cgo.pcilock <= '1';
clk1xu <= '0';
clk2xu <= '0';
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
--library saed32;
--use saed32.CGLPPSX4_LVT;
-- pragma translate_on
entity clkand_saed32 is
port (
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic;
tsten : in std_ulogic := '0');
end clkand_saed32;
architecture rtl of clkand_saed32 is
component CGLPPSX4_LVT
port (
GCLK : out std_ulogic;
CLK : in std_ulogic;
EN : in std_ulogic;
SE : in std_ulogic
);
end component;
attribute DONT_TOUCH : Boolean;
attribute DONT_TOUCH of gate : label is True;
begin
gate: CGLPPSX4_LVT port map (GCLK => o , CLK => i , EN => en, SE => tsten);
end rtl;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
--library saed32;
--use saed32.MUX21X1_LVT;
-- pragma translate_on
entity clkmux_saed32 is
port (
i0 : in std_ulogic;
i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic);
end clkmux_saed32;
architecture rtl of clkmux_saed32 is
component MUX21X1_LVT
port (
Y : out std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
S0 : in std_ulogic
);
end component;
attribute DONT_TOUCH : Boolean;
attribute DONT_TOUCH of m0 : label is True;
begin
m0: MUX21X1_LVT port map (A1 => i0 , A2 => i1 , S0 => sel, Y => o);
end rtl;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
--library saed32;
--use saed32.INVX4_LVT;
-- pragma translate_on
entity clkinv_saed32 is
port (
i : in std_ulogic;
o : out std_ulogic);
end clkinv_saed32;
architecture rtl of clkinv_saed32 is
component INVX4_LVT
port (
Y : out std_ulogic;
A : in std_ulogic
);
end component;
attribute DONT_TOUCH : Boolean;
attribute DONT_TOUCH of gate : label is True;
begin
gate: INVX4_LVT port map (A => i , Y => o);
end rtl;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: irqmp
-- File: irqmp.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Multi-processor APB interrupt controller. Implements a
-- two-level interrupt controller for 15 interrupts.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.leon3.all;
entity irqmp is
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ncpu : integer := 1;
eirq : integer := 0;
irqmap : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
irqi : in irq_out_vector(0 to ncpu-1);
irqo : out irq_in_vector(0 to ncpu-1)
);
end;
architecture rtl of irqmp is
constant REVISION : integer := 3;
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_IRQMP, 0, REVISION, 0),
1 => apb_iobar(paddr, pmask));
function IMAP_HIGH return integer is
begin
if irqmap = 0 then
return 0;
elsif eirq /= 0 or irqmap = 2 then
return 31;
end if;
return 15;
end function IMAP_HIGH;
constant IMAP_LOW : integer := 0; -- allow remap of irq line 0
function IMAP_LEN return integer is
begin
if irqmap = 0 then
return 1;
elsif eirq /= 0 then
return 5;
end if;
return 4;
end function IMAP_LEN;
type mask_type is array (0 to ncpu-1) of std_logic_vector(15 downto 1);
type mask2_type is array (0 to ncpu-1) of std_logic_vector(15 downto 0);
type irl_type is array (0 to ncpu-1) of std_logic_vector(3 downto 0);
type irl2_type is array (0 to ncpu-1) of std_logic_vector(4 downto 0);
type irqmap_type is array (IMAP_LOW to (IMAP_HIGH)) of std_logic_vector(IMAP_LEN-1 downto 0);
type reg_type is record
imask : mask_type;
ilevel : std_logic_vector(15 downto 1);
ipend : std_logic_vector(15 downto 1);
iforce : mask_type;
ibroadcast : std_logic_vector(15 downto 1);
irl : irl_type;
cpurst : std_logic_vector(ncpu-1 downto 0);
imap : irqmap_type;
end record;
type ereg_type is record
imask : mask2_type;
ipend : std_logic_vector(15 downto 0);
irl : irl2_type;
end record;
constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1;
constant RRES : reg_type := (
imask => (others => (others => '0')), ilevel => (others => '0'),
ipend => (others => '0'), iforce => (others => (others => '0')),
ibroadcast => (others => '0'), irl => (others => (others => '0')),
cpurst => (others => '0'), imap => (others => (others => '0')));
constant ERES : ereg_type := (
imask => (others => (others => '0')), ipend => (others => '0'),
irl => (others => (others => '0')));
function prioritize(b : std_logic_vector(15 downto 0)) return std_logic_vector is
variable a : std_logic_vector(15 downto 0);
variable irl : std_logic_vector(3 downto 0);
variable level : integer range 0 to 15;
begin
irl := "0000"; level := 0; a := b;
for i in 15 downto 0 loop
level := i;
if a(i) = '1' then exit; end if;
end loop;
irl := conv_std_logic_vector(level, 4);
return(irl);
end;
signal r, rin : reg_type;
signal r2, r2in : ereg_type;
begin
comb : process(rst, r, r2, apbi, irqi)
variable v : reg_type;
variable temp : mask_type;
variable prdata : std_logic_vector(31 downto 0);
variable tmpirq : std_logic_vector(15 downto 0);
variable tmpvar : std_logic_vector(15 downto 1);
variable cpurun : std_logic_vector(ncpu-1 downto 0);
variable v2 : ereg_type;
variable irl2 : std_logic_vector(3 downto 0);
variable ipend2 : std_logic_vector(ncpu-1 downto 0);
variable temp2 : mask2_type;
variable irq : std_logic_vector(NAHBIRQ-1 downto 0);
begin
v := r; v.cpurst := (others => '0');
cpurun := (others => '0'); cpurun(0) := '1';
tmpvar := (others => '0'); ipend2 := (others => '0');
v2 := r2;
-- prioritize interrupts
if eirq /= 0 then
for i in 0 to ncpu-1 loop
temp2(i) := r2.ipend and r2.imask(i);
ipend2(i) := orv(temp2(i));
end loop;
end if;
for i in 0 to ncpu-1 loop
temp(i) := ((r.iforce(i) or r.ipend) and r.imask(i));
if eirq /= 0 then temp(i)(eirq) := temp(i)(eirq) or ipend2(i); end if;
v.irl(i) := prioritize((temp(i) and r.ilevel) & '0');
if v.irl(i) = "0000" then
if eirq /= 0 then temp(i)(eirq) := temp(i)(eirq) or ipend2(i); end if;
v.irl(i) := prioritize((temp(i) and not r.ilevel) & '0');
end if;
end loop;
-- register read
prdata := (others => '0');
case apbi.paddr(7 downto 6) is
when "00" =>
case apbi.paddr(4 downto 2) is
when "000" => prdata(15 downto 1) := r.ilevel;
when "001" =>
prdata(15 downto 1) := r.ipend;
if eirq /= 0 then prdata(31 downto 16) := r2.ipend; end if;
when "010" => prdata(15 downto 1) := r.iforce(0);
when "011" =>
when "100" | "101" =>
prdata(31 downto 28) := conv_std_logic_vector(ncpu-1, 4);
prdata(19 downto 16) := conv_std_logic_vector(eirq, 4);
for i in 0 to ncpu -1 loop prdata(i) := irqi(i).pwd; end loop;
if ncpu > 1 then
prdata(27) := '1';
case apbi.paddr(4 downto 2) is
when "101" =>
prdata := (others => '0');
prdata(15 downto 1) := r.ibroadcast;
when others =>
end case;
end if;
when others =>
end case;
when "01" =>
for i in 0 to ncpu-1 loop
if i = conv_integer( apbi.paddr(5 downto 2)) then
prdata(15 downto 1) := r.imask(i);
if eirq /= 0 then prdata(31 downto 16) := r2.imask(i); end if;
end if;
end loop;
when "10" =>
for i in 0 to ncpu-1 loop
if i = conv_integer( apbi.paddr(5 downto 2)) then
prdata(15 downto 1) := r.iforce(i);
end if;
end loop;
when "11" =>
if eirq /= 0 then
for i in 0 to ncpu-1 loop
if i = conv_integer( apbi.paddr(5 downto 2)) then
prdata(4 downto 0) := r2.irl(i);
end if;
end loop;
end if;
when others =>
end case;
-- register write
if ((apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' and
(irqmap = 0 or apbi.paddr(9) = '0')) then
case apbi.paddr(7 downto 6) is
when "00" =>
case apbi.paddr(4 downto 2) is
when "000" => v.ilevel := apbi.pwdata(15 downto 1);
when "001" => v.ipend := apbi.pwdata(15 downto 1);
if eirq /= 0 then v2.ipend := apbi.pwdata(31 downto 16); end if;
when "010" => v.iforce(0) := apbi.pwdata(15 downto 1);
when "011" => v.ipend := r.ipend and not apbi.pwdata(15 downto 1);
if eirq /= 0 then v2.ipend := r2.ipend and not apbi.pwdata(31 downto 16); end if;
when "100" =>
for i in 0 to ncpu -1 loop v.cpurst(i) := apbi.pwdata(i); end loop;
when others =>
if ncpu > 1 then
case apbi.paddr(4 downto 2) is
when "101" =>
v.ibroadcast := apbi.pwdata(15 downto 1);
when others =>
end case;
end if;
end case;
when "01" =>
for i in 0 to ncpu-1 loop
if i = conv_integer( apbi.paddr(5 downto 2)) then
v.imask(i) := apbi.pwdata(15 downto 1);
if eirq /= 0 then v2.imask(i) := apbi.pwdata(31 downto 16); end if;
end if;
end loop;
when "10" =>
for i in 0 to ncpu-1 loop
if i = conv_integer( apbi.paddr(5 downto 2)) then
v.iforce(i) := (r.iforce(i) or apbi.pwdata(15 downto 1)) and
not apbi.pwdata(31 downto 17);
end if;
end loop;
when others =>
end case;
end if;
-- optionally remap interrupts
irq := (others => '0');
if irqmap /= 0 then
if (apbi.psel(pindex) and apbi.penable and andv(apbi.paddr(9 downto 8))) = '1' then
prdata := (others => '0');
for i in r.imap'range loop
if i/4 = conv_integer(apbi.paddr(4 downto 2)) then
prdata(IMAP_LEN-1+(24-(i mod 4)*8) downto (24-(i mod 4)*8)) := r.imap(i);
if apbi.pwrite = '1' then
v.imap(i) := apbi.pwdata(IMAP_LEN-1+(24-(i mod 4)*8) downto (24-(i mod 4)*8));
end if;
end if;
end loop;
end if;
for i in 0 to IMAP_HIGH loop
if i > NAHBIRQ-1 then
exit;
end if;
if apbi.pirq(i) = '1' then
irq(conv_integer(r.imap(i))) := '1';
end if;
end loop;
else
irq := apbi.pirq;
v.imap := RRES.IMAP;
end if;
-- register new interrupts
for i in 1 to 15 loop
if i > NAHBIRQ-1 then
exit;
end if;
if ncpu = 1 then
v.ipend(i) := v.ipend(i) or irq(i);
else
v.ipend(i) := v.ipend(i) or (irq(i) and not r.ibroadcast(i));
for j in 0 to ncpu-1 loop
tmpvar := v.iforce(j);
tmpvar(i) := tmpvar(i) or (irq(i) and r.ibroadcast(i));
v.iforce(j) := tmpvar;
end loop;
end if;
end loop;
if eirq /= 0 then
for i in 16 to 31 loop
if i > NAHBIRQ-1 then exit; end if;
v2.ipend(i-16) := v2.ipend(i-16) or irq(i);
end loop;
end if;
-- interrupt acknowledge
for i in 0 to ncpu-1 loop
if irqi(i).intack = '1' then
tmpirq := decode(irqi(i).irl);
temp(i) := tmpirq(15 downto 1);
v.iforce(i) := v.iforce(i) and not temp(i);
v.ipend := v.ipend and not ((not r.iforce(i)) and temp(i));
if eirq /= 0 then
if eirq = conv_integer(irqi(i).irl) then
v2.irl(i) := orv(temp2(i)) & prioritize(temp2(i));
if v2.irl(i)(4) = '1' then
v2.ipend(conv_integer(v2.irl(i)(3 downto 0))) := '0';
end if;
end if;
end if;
end if;
end loop;
-- reset
if (not RESET_ALL) and (rst = '0') then
v.imask := RRES.imask; v.iforce := RRES.iforce; v.ipend := RRES.ipend;
if ncpu > 1 then
v.ibroadcast := RRES.ibroadcast;
end if;
if irqmap /= 0 then
for i in r.imap'range loop
v.imap(i) := conv_std_logic_vector(i, IMAP_LEN);
end loop;
end if;
v2.ipend := ERES.ipend; v2.imask := ERES.imask; v2.irl := ERES.irl;
end if;
apbo.prdata <= prdata;
for i in 0 to ncpu-1 loop
irqo(i).irl <= r.irl(i); irqo(i).rst <= r.cpurst(i);
irqo(i).run <= cpurun(i);
irqo(i).rstvec <= (others => '0'); -- Alternate reset vector
irqo(i).iact <= '0';
irqo(i).index <= conv_std_logic_vector(i, 4);
irqo(i).hrdrst <= '0';
end loop;
rin <= v; r2in <= v2;
end process;
apbo.pirq <= (others => '0');
apbo.pconfig <= pconfig;
apbo.pindex <= pindex;
regs : process(clk)
begin
if rising_edge(clk) then
r <= rin;
if RESET_ALL and (rst = '0') then r <= RRES; end if;
end if;
end process;
dor2regs : if eirq /= 0 generate
regs : process(clk)
begin
if rising_edge(clk) then
r2 <= r2in;
if RESET_ALL and (rst = '0') then r2 <= ERES; end if;
end if;
end process;
end generate;
nor2regs : if eirq = 0 generate
-- r2 <= ((others => "0000000000000000"), "0000000000000000", (others => "00000"));
r2.ipend <= (others => '0');
driveregs: for i in 0 to (ncpu-1) generate
r2.imask(i) <= (others => '0');
r2.irl(i) <= (others => '0');
end generate driveregs;
end generate;
-- pragma translate_off
bootmsg : report_version
generic map ("irqmp" &
": Multi-processor Interrupt Controller rev " & tost(REVISION) &
", #cpu " & tost(NCPU) & ", eirq " & tost(eirq));
-- pragma translate_on
-- pragma translate_off
cproc : process
begin
assert (irqmap = 0) or (apb_membar_size(pmask) >= 1024)
report "IRQMP: irqmap /= 0 requires pmask to give memory area >= 1024 bytes"
severity failure;
wait;
end process;
-- pragma translate_on
end;
|
-- Function: VGA Controller
-- Resolutions: 640x480 --- 1920x1080
-- INSTRUCTIONS: For changing the resolution, comment the generic mapping at
-- the entity level for the actual resolution,
-- and uncomment the generic mapping for the desired resolution.
-- NOTE: It is important to wire this component with the specified input clock,
-- when an instance is created on a higher level.
library IEEE;
use IEEE.std_logic_1164.all;
entity vga_controller is
---- Map for a 1920x1200 diplay -- Refresh rate: 60 Hz
-- Ideal pixel clock: 193.16 MHz
-- generic(
-- h_pulse : INTEGER := 208; --horiztonal sync pulse width in pixels
-- h_bp : INTEGER := 336; --horiztonal back porch width in pixels
-- h_pixels : INTEGER := 1920; --horiztonal display width in pixels
-- h_fp : INTEGER := 128; --horiztonal front porch width in pixels
-- h_pol : STD_LOGIC := '0'; --horizontal sync pulse polarity
-- v_pulse : INTEGER := 3; --vertical sync pulse width in rows
-- v_bp : INTEGER := 38; --vertical back porch width in rows
-- v_pixels : INTEGER := 1200; --vertical display width in rows
-- v_fp : INTEGER := 1; --vertical front porch width in rows
-- v_pol : STD_LOGIC := '1'); --vertical sync pulse polarity
---- Map for a 640x480 diplay -- Refresh rate: 60 Hz
-- Ideal pixel clock: 25.175 MHz
generic(
h_pulse : INTEGER := 96; --horizontal sync pulse width in pixels
h_bp : INTEGER := 48; --horizontal back porch width in pixels
h_pixels : INTEGER := 640; --horizontal display width in pixels
h_fp : INTEGER := 16; --horizontal front porch width in pixels
h_pol : STD_LOGIC := '0'; --horizontal sync pulse polarity
v_pulse : INTEGER := 2; --vertical sync pulse width in rows
v_bp : INTEGER := 33; --vertical back porch width in rows
v_pixels : INTEGER := 480; --vertical display width in rows
v_fp : INTEGER := 10; --vertical front porch width in rows
v_pol : STD_LOGIC := '0'); --vertical sync pulse polarity
port(
pixel_clk : IN STD_LOGIC; --pixel clock at frequency of VGA mode
reset_n : IN STD_LOGIC; --active low asycnchronous reset
h_sync : OUT STD_LOGIC; --horizontal sync pulse
v_sync : OUT STD_LOGIC; --vertical sync pulse
disp_ena : OUT STD_LOGIC; --display enable ('1'=display, '0'=blanking)
column : OUT INTEGER; --horizontal pixel coordinate
row : OUT INTEGER; --vertical pixel coordinate
n_blank : OUT STD_LOGIC; --direct blacking output to DAC
n_sync : OUT STD_LOGIC; --sync-on-green output to DAC
data_req : OUT STD_LOGIC);
end vga_controller;
architecture behavior of vga_controller is
--total number of pixel clocks in a row and total number of rows in frame.
constant h_period : INTEGER := h_pulse + h_bp + h_pixels + h_fp;
constant v_period : INTEGER := v_pulse + v_bp + v_pixels + v_fp;
begin
n_blank <= '1'; --no direct blanking
n_sync <= '0'; --no sync on green
-- The statements below will be evaluated any time there is a change in the
-- sensitivity list (pixel_clk or reset_n).
process(pixel_clk, reset_n)
--horizontal counter (columns) and vertical counter (rows).
variable h_count : INTEGER RANGE 0 TO h_period - 1 := 0;
variable v_count : INTEGER RANGE 0 TO v_period - 1 := 0;
begin
if(reset_n = '0') then --reset asserted
h_count := 0; --reset horizontal counter
v_count := 0; --reset vertical counter
h_sync <= NOT h_pol; --deassert horizontal sync
v_sync <= NOT v_pol; --deassert vertical sync
disp_ena <= '0'; --disable display
data_req <= '0'; --disable request
column <= 0; --reset column pixel coordinate
row <= 0; --reset row pixel coordinate
elsif(pixel_clk'event AND pixel_clk = '1') then
--counters
if(h_count < h_period - 1) then --horizontal counter (pixels)
h_count := h_count + 1;
else
h_count := 0;
if(v_count < v_period - 1) then --veritcal counter (rows)
v_count := v_count + 1;
else
v_count := 0;
end if;
end if;
--horizontal sync signal
if(h_count < h_pixels + h_fp OR h_count > h_pixels + h_fp + h_pulse) then
h_sync <= NOT h_pol; --deassert horiztonal sync pulse
else
h_sync <= h_pol; --assert horiztonal sync pulse
end if;
--vertical sync signal
if(v_count < v_pixels + v_fp OR v_count > v_pixels + v_fp + v_pulse) then
v_sync <= NOT v_pol; --deassert vertical sync pulse
else
v_sync <= v_pol; --assert vertical sync pulse
end if;
--set pixel coordinates
if(h_count < h_pixels) then --horiztonal display time
column <= h_count; --set horiztonal pixel coordinate
end if;
if(v_count < v_pixels) then --vertical display time
row <= v_count; --set vertical pixel coordinate
end if;
--set display enable output
if(h_count < h_pixels AND v_count < v_pixels) then --display time
disp_ena <= '1'; --enable display
else --blanking time
disp_ena <= '0'; --disable display
end if;
--set data request value
if (h_count < h_pixels - 2) AND (h_count >= h_period - 3)
AND (v_count < v_pixels)
then
data_req <= '1';
else
data_req <= '0';
end if;
end if;
end process;
end behavior;
|
-- Function: VGA Controller
-- Resolutions: 640x480 --- 1920x1080
-- INSTRUCTIONS: For changing the resolution, comment the generic mapping at
-- the entity level for the actual resolution,
-- and uncomment the generic mapping for the desired resolution.
-- NOTE: It is important to wire this component with the specified input clock,
-- when an instance is created on a higher level.
library IEEE;
use IEEE.std_logic_1164.all;
entity vga_controller is
---- Map for a 1920x1200 diplay -- Refresh rate: 60 Hz
-- Ideal pixel clock: 193.16 MHz
-- generic(
-- h_pulse : INTEGER := 208; --horiztonal sync pulse width in pixels
-- h_bp : INTEGER := 336; --horiztonal back porch width in pixels
-- h_pixels : INTEGER := 1920; --horiztonal display width in pixels
-- h_fp : INTEGER := 128; --horiztonal front porch width in pixels
-- h_pol : STD_LOGIC := '0'; --horizontal sync pulse polarity
-- v_pulse : INTEGER := 3; --vertical sync pulse width in rows
-- v_bp : INTEGER := 38; --vertical back porch width in rows
-- v_pixels : INTEGER := 1200; --vertical display width in rows
-- v_fp : INTEGER := 1; --vertical front porch width in rows
-- v_pol : STD_LOGIC := '1'); --vertical sync pulse polarity
---- Map for a 640x480 diplay -- Refresh rate: 60 Hz
-- Ideal pixel clock: 25.175 MHz
generic(
h_pulse : INTEGER := 96; --horizontal sync pulse width in pixels
h_bp : INTEGER := 48; --horizontal back porch width in pixels
h_pixels : INTEGER := 640; --horizontal display width in pixels
h_fp : INTEGER := 16; --horizontal front porch width in pixels
h_pol : STD_LOGIC := '0'; --horizontal sync pulse polarity
v_pulse : INTEGER := 2; --vertical sync pulse width in rows
v_bp : INTEGER := 33; --vertical back porch width in rows
v_pixels : INTEGER := 480; --vertical display width in rows
v_fp : INTEGER := 10; --vertical front porch width in rows
v_pol : STD_LOGIC := '0'); --vertical sync pulse polarity
port(
pixel_clk : IN STD_LOGIC; --pixel clock at frequency of VGA mode
reset_n : IN STD_LOGIC; --active low asycnchronous reset
h_sync : OUT STD_LOGIC; --horizontal sync pulse
v_sync : OUT STD_LOGIC; --vertical sync pulse
disp_ena : OUT STD_LOGIC; --display enable ('1'=display, '0'=blanking)
column : OUT INTEGER; --horizontal pixel coordinate
row : OUT INTEGER; --vertical pixel coordinate
n_blank : OUT STD_LOGIC; --direct blacking output to DAC
n_sync : OUT STD_LOGIC; --sync-on-green output to DAC
data_req : OUT STD_LOGIC);
end vga_controller;
architecture behavior of vga_controller is
--total number of pixel clocks in a row and total number of rows in frame.
constant h_period : INTEGER := h_pulse + h_bp + h_pixels + h_fp;
constant v_period : INTEGER := v_pulse + v_bp + v_pixels + v_fp;
begin
n_blank <= '1'; --no direct blanking
n_sync <= '0'; --no sync on green
-- The statements below will be evaluated any time there is a change in the
-- sensitivity list (pixel_clk or reset_n).
process(pixel_clk, reset_n)
--horizontal counter (columns) and vertical counter (rows).
variable h_count : INTEGER RANGE 0 TO h_period - 1 := 0;
variable v_count : INTEGER RANGE 0 TO v_period - 1 := 0;
begin
if(reset_n = '0') then --reset asserted
h_count := 0; --reset horizontal counter
v_count := 0; --reset vertical counter
h_sync <= NOT h_pol; --deassert horizontal sync
v_sync <= NOT v_pol; --deassert vertical sync
disp_ena <= '0'; --disable display
data_req <= '0'; --disable request
column <= 0; --reset column pixel coordinate
row <= 0; --reset row pixel coordinate
elsif(pixel_clk'event AND pixel_clk = '1') then
--counters
if(h_count < h_period - 1) then --horizontal counter (pixels)
h_count := h_count + 1;
else
h_count := 0;
if(v_count < v_period - 1) then --veritcal counter (rows)
v_count := v_count + 1;
else
v_count := 0;
end if;
end if;
--horizontal sync signal
if(h_count < h_pixels + h_fp OR h_count > h_pixels + h_fp + h_pulse) then
h_sync <= NOT h_pol; --deassert horiztonal sync pulse
else
h_sync <= h_pol; --assert horiztonal sync pulse
end if;
--vertical sync signal
if(v_count < v_pixels + v_fp OR v_count > v_pixels + v_fp + v_pulse) then
v_sync <= NOT v_pol; --deassert vertical sync pulse
else
v_sync <= v_pol; --assert vertical sync pulse
end if;
--set pixel coordinates
if(h_count < h_pixels) then --horiztonal display time
column <= h_count; --set horiztonal pixel coordinate
end if;
if(v_count < v_pixels) then --vertical display time
row <= v_count; --set vertical pixel coordinate
end if;
--set display enable output
if(h_count < h_pixels AND v_count < v_pixels) then --display time
disp_ena <= '1'; --enable display
else --blanking time
disp_ena <= '0'; --disable display
end if;
--set data request value
if (h_count < h_pixels - 2) AND (h_count >= h_period - 3)
AND (v_count < v_pixels)
then
data_req <= '1';
else
data_req <= '0';
end if;
end if;
end process;
end behavior;
|
--!
--! \file fifo_mgr.vhd
--!
--! Protocol converter between FIFO channels, command decoder, and memory
--! interface (TODO).
--!
--! \author Enno Luebbers <[email protected]>
--! \date 04.10.2007
--
-----------------------------------------------------------------------------
-- %%%RECONOS_COPYRIGHT_BEGIN%%%
--
-- This file is part of ReconOS (http://www.reconos.de).
-- Copyright (c) 2006-2010 The ReconOS Project and contributors (see AUTHORS).
-- All rights reserved.
--
-- ReconOS is free software: you can redistribute it and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 3 of the License, or (at your option)
-- any later version.
--
-- ReconOS is distributed in the hope that it will be useful, but WITHOUT ANY
-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
-- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more
-- details.
--
-- You should have received a copy of the GNU General Public License along
-- with ReconOS. If not, see <http://www.gnu.org/licenses/>.
--
-- %%%RECONOS_COPYRIGHT_END%%%
-----------------------------------------------------------------------------
--
-- Major changes
-- 04.10.2007 Enno Luebbers File created
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity fifo_mgr is
generic (
C_FIFO_DWIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
-- local FIFO access signals
i_local_read_remove : in std_logic;
o_local_read_data : out std_logic_vector(0 to C_FIFO_DWIDTH-1);
o_local_read_wait : out std_logic; -- either empty or busy
i_local_write_add : in std_logic;
i_local_write_data : in std_logic_vector(0 to C_FIFO_DWIDTH-1);
o_local_write_wait : out std_logic; -- either full or busy
-- "real" FIFO access signals
-- left (read) FIFO
o_fifo_read_en : out std_logic;
i_fifo_read_data : in std_logic_vector(0 to C_FIFO_DWIDTH-1);
i_fifo_read_ready : in std_logic;
-- right (write) FIFO
o_fifo_write_en : out std_logic;
o_fifo_write_data : out std_logic_vector(0 to C_FIFO_DWIDTH-1);
i_fifo_write_ready : in std_logic
-- TODO: signal to communicate with the bus_slave_regs module
);
end fifo_mgr;
architecture behavioral of fifo_mgr is
signal local_read_remove_d1 : std_logic := '0';
begin
-- delay read_remove for 1 clock cycle
process(clk, reset)
begin
if reset = '1' then
local_read_remove_d1 <= '0';
elsif rising_edge(clk) then
local_read_remove_d1 <= i_local_read_remove;
end if;
end process;
-- for now, the FIFO manager only services local accesses.
-- so we just need to pass the local access signals straight
-- through to the "real" FIFOs
o_fifo_read_en <= local_read_remove_d1; -- hack to fit slow OSIF request/busy handshake
-- this will be obsoleted once we connect the HW
-- FIFO to the burst RAM interface (mq)
o_local_read_data <= i_fifo_read_data;
o_local_read_wait <= not i_fifo_read_ready;
o_fifo_write_en <= i_local_write_add;
o_fifo_write_data <= i_local_write_data;
o_local_write_wait <= not i_fifo_write_ready;
end behavioral;
|
--!
--! \file fifo_mgr.vhd
--!
--! Protocol converter between FIFO channels, command decoder, and memory
--! interface (TODO).
--!
--! \author Enno Luebbers <[email protected]>
--! \date 04.10.2007
--
-----------------------------------------------------------------------------
-- %%%RECONOS_COPYRIGHT_BEGIN%%%
--
-- This file is part of ReconOS (http://www.reconos.de).
-- Copyright (c) 2006-2010 The ReconOS Project and contributors (see AUTHORS).
-- All rights reserved.
--
-- ReconOS is free software: you can redistribute it and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 3 of the License, or (at your option)
-- any later version.
--
-- ReconOS is distributed in the hope that it will be useful, but WITHOUT ANY
-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
-- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more
-- details.
--
-- You should have received a copy of the GNU General Public License along
-- with ReconOS. If not, see <http://www.gnu.org/licenses/>.
--
-- %%%RECONOS_COPYRIGHT_END%%%
-----------------------------------------------------------------------------
--
-- Major changes
-- 04.10.2007 Enno Luebbers File created
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity fifo_mgr is
generic (
C_FIFO_DWIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
-- local FIFO access signals
i_local_read_remove : in std_logic;
o_local_read_data : out std_logic_vector(0 to C_FIFO_DWIDTH-1);
o_local_read_wait : out std_logic; -- either empty or busy
i_local_write_add : in std_logic;
i_local_write_data : in std_logic_vector(0 to C_FIFO_DWIDTH-1);
o_local_write_wait : out std_logic; -- either full or busy
-- "real" FIFO access signals
-- left (read) FIFO
o_fifo_read_en : out std_logic;
i_fifo_read_data : in std_logic_vector(0 to C_FIFO_DWIDTH-1);
i_fifo_read_ready : in std_logic;
-- right (write) FIFO
o_fifo_write_en : out std_logic;
o_fifo_write_data : out std_logic_vector(0 to C_FIFO_DWIDTH-1);
i_fifo_write_ready : in std_logic
-- TODO: signal to communicate with the bus_slave_regs module
);
end fifo_mgr;
architecture behavioral of fifo_mgr is
signal local_read_remove_d1 : std_logic := '0';
begin
-- delay read_remove for 1 clock cycle
process(clk, reset)
begin
if reset = '1' then
local_read_remove_d1 <= '0';
elsif rising_edge(clk) then
local_read_remove_d1 <= i_local_read_remove;
end if;
end process;
-- for now, the FIFO manager only services local accesses.
-- so we just need to pass the local access signals straight
-- through to the "real" FIFOs
o_fifo_read_en <= local_read_remove_d1; -- hack to fit slow OSIF request/busy handshake
-- this will be obsoleted once we connect the HW
-- FIFO to the burst RAM interface (mq)
o_local_read_data <= i_fifo_read_data;
o_local_read_wait <= not i_fifo_read_ready;
o_fifo_write_en <= i_local_write_add;
o_fifo_write_data <= i_local_write_data;
o_local_write_wait <= not i_fifo_write_ready;
end behavioral;
|
--!
--! \file fifo_mgr.vhd
--!
--! Protocol converter between FIFO channels, command decoder, and memory
--! interface (TODO).
--!
--! \author Enno Luebbers <[email protected]>
--! \date 04.10.2007
--
-----------------------------------------------------------------------------
-- %%%RECONOS_COPYRIGHT_BEGIN%%%
--
-- This file is part of ReconOS (http://www.reconos.de).
-- Copyright (c) 2006-2010 The ReconOS Project and contributors (see AUTHORS).
-- All rights reserved.
--
-- ReconOS is free software: you can redistribute it and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 3 of the License, or (at your option)
-- any later version.
--
-- ReconOS is distributed in the hope that it will be useful, but WITHOUT ANY
-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
-- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more
-- details.
--
-- You should have received a copy of the GNU General Public License along
-- with ReconOS. If not, see <http://www.gnu.org/licenses/>.
--
-- %%%RECONOS_COPYRIGHT_END%%%
-----------------------------------------------------------------------------
--
-- Major changes
-- 04.10.2007 Enno Luebbers File created
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity fifo_mgr is
generic (
C_FIFO_DWIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
-- local FIFO access signals
i_local_read_remove : in std_logic;
o_local_read_data : out std_logic_vector(0 to C_FIFO_DWIDTH-1);
o_local_read_wait : out std_logic; -- either empty or busy
i_local_write_add : in std_logic;
i_local_write_data : in std_logic_vector(0 to C_FIFO_DWIDTH-1);
o_local_write_wait : out std_logic; -- either full or busy
-- "real" FIFO access signals
-- left (read) FIFO
o_fifo_read_en : out std_logic;
i_fifo_read_data : in std_logic_vector(0 to C_FIFO_DWIDTH-1);
i_fifo_read_ready : in std_logic;
-- right (write) FIFO
o_fifo_write_en : out std_logic;
o_fifo_write_data : out std_logic_vector(0 to C_FIFO_DWIDTH-1);
i_fifo_write_ready : in std_logic
-- TODO: signal to communicate with the bus_slave_regs module
);
end fifo_mgr;
architecture behavioral of fifo_mgr is
signal local_read_remove_d1 : std_logic := '0';
begin
-- delay read_remove for 1 clock cycle
process(clk, reset)
begin
if reset = '1' then
local_read_remove_d1 <= '0';
elsif rising_edge(clk) then
local_read_remove_d1 <= i_local_read_remove;
end if;
end process;
-- for now, the FIFO manager only services local accesses.
-- so we just need to pass the local access signals straight
-- through to the "real" FIFOs
o_fifo_read_en <= local_read_remove_d1; -- hack to fit slow OSIF request/busy handshake
-- this will be obsoleted once we connect the HW
-- FIFO to the burst RAM interface (mq)
o_local_read_data <= i_fifo_read_data;
o_local_read_wait <= not i_fifo_read_ready;
o_fifo_write_en <= i_local_write_add;
o_fifo_write_data <= i_local_write_data;
o_local_write_wait <= not i_fifo_write_ready;
end behavioral;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.