content
stringlengths 1
1.04M
⌀ |
---|
library ieee;
use ieee.std_LOGIC_1164.all;
use ieee.std_LOGIC_ARITH.all;
use ieee.std_LOGIC_unsigned.all;
entity testeabc is
port( clk : in std_logic;
reset : in std_logic;
breakIn : in std_logic;
mudouClock : in std_logic;
breakOut : out std_logic
);
end testeabc;
ARCHITECTURE ab of testeabc is
begin
process(clk, reset)
variable estadoAnterior : std_logic;
variable estado : std_logic_vector(3 downto 0);
begin
if(reset = '1') then
estadoAnterior := '0';
estado := x"0";
elsif(clk'event and clk = '1') then
if(estado = x"0") then
if(breakIn = not estadoAnterior) then
breakOut <= '1';
end if;
if(mudouClock = '0') then -- mudouClock = 0 -> clock manual
estado := x"1";
end if;
elsif (estado = x"1") then
if(mudouClock = '1') then
estadoAnterior := breakIn;
estado := x"0";
breakOut <= '0';
end if;
end if;
end if;
end process;
end ab;
|
entity reserved4 is
end;
architecture behav of reserved4 is
signal xnor : bit;
begin
process
begin
wait;
end process;
end behav;
|
entity reserved4 is
end;
architecture behav of reserved4 is
signal xnor : bit;
begin
process
begin
wait;
end process;
end behav;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 01:52:53 10/04/2009
-- Design Name:
-- Module Name: C:/Users/Ben/Desktop/Folders/FPGA/Projects/Current Projects/Systems/TestCPU1/TestCPU1_iROM_TB.vhd
-- Project Name: TestCPU1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: TestCPU1_iROM
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
ENTITY TestCPU1_iROM_TB IS
END TestCPU1_iROM_TB;
ARCHITECTURE behavior OF TestCPU1_iROM_TB IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT TestCPU1_iROM
PORT(
addr : IN std_logic_vector(10 downto 0);
data_out : OUT std_logic_vector(15 downto 0)
);
END COMPONENT;
--Inputs
signal addr : std_logic_vector(10 downto 0) := (others => '0');
--Outputs
signal data_out : std_logic_vector(15 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: TestCPU1_iROM PORT MAP (
addr => addr,
data_out => data_out
);
-- Stimulus process
stim_proc: process
begin
addr <= b"00000000000";
wait for 10 ns;
addr <= b"00000000001";
wait for 10 ns;
addr <= b"00000000010";
wait;
end process;
END;
|
-------------------------------------------------------------------------------
-- Model: capacitor
--
-- Author: Vladimir Kolchuzhin, LMGT, TU Chemnitz
-- <[email protected]>
--
-- Date: 21.06.2011
-- Library: kvl in hAMSter
-------------------------------------------------------------------------------
-- ID: capacitor.vhd
-- Rev. 1.0
-------------------------------------------------------------------------------
use work.electromagnetic_system.all;
use work.all;
library ieee;
entity capacitor is
generic (capacitance:real); -- capacitance value
port (terminal p,n:electrical); -- interface ports
end entity capacitor;
architecture basic of capacitor is
quantity v across i through p to n;
begin
i == capacitance*v'dot;
end architecture basic;
|
-- Copyright (c) 2013 Antonio de la Piedra
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- This is an iterative implementation of the NOEKEON block
-- cipher relying on the direct mode of the cipher. This means that
-- key schedule is not performed.
entity noekeon is
port(clk : in std_logic;
rst : in std_logic;
enc : in std_logic; -- (enc, 0) / (dec, 1)
a_0_in : in std_logic_vector(31 downto 0);
a_1_in : in std_logic_vector(31 downto 0);
a_2_in : in std_logic_vector(31 downto 0);
a_3_in : in std_logic_vector(31 downto 0);
k_0_in : in std_logic_vector(31 downto 0);
k_1_in : in std_logic_vector(31 downto 0);
k_2_in : in std_logic_vector(31 downto 0);
k_3_in : in std_logic_vector(31 downto 0);
a_0_out : out std_logic_vector(31 downto 0);
a_1_out : out std_logic_vector(31 downto 0);
a_2_out : out std_logic_vector(31 downto 0);
a_3_out : out std_logic_vector(31 downto 0));
end noekeon;
architecture Behavioral of noekeon is
component round_f is
port(enc : in std_logic;
rc_in : in std_logic_vector(31 downto 0);
a_0_in : in std_logic_vector(31 downto 0);
a_1_in : in std_logic_vector(31 downto 0);
a_2_in : in std_logic_vector(31 downto 0);
a_3_in : in std_logic_vector(31 downto 0);
k_0_in : in std_logic_vector(31 downto 0);
k_1_in : in std_logic_vector(31 downto 0);
k_2_in : in std_logic_vector(31 downto 0);
k_3_in : in std_logic_vector(31 downto 0);
a_0_out : out std_logic_vector(31 downto 0);
a_1_out : out std_logic_vector(31 downto 0);
a_2_out : out std_logic_vector(31 downto 0);
a_3_out : out std_logic_vector(31 downto 0));
end component;
component rc_gen is
port(clk : in std_logic;
rst : in std_logic;
enc : in std_logic; -- (enc, 0) / (dec, 1)
rc_out : out std_logic_vector(7 downto 0));
end component;
component output_trans is
port(clk : in std_logic;
enc : in std_logic; -- (enc, 0) / (dec, 1)
rc_in : in std_logic_vector(31 downto 0);
a_0_in : in std_logic_vector(31 downto 0);
a_1_in : in std_logic_vector(31 downto 0);
a_2_in : in std_logic_vector(31 downto 0);
a_3_in : in std_logic_vector(31 downto 0);
k_0_in : in std_logic_vector(31 downto 0);
k_1_in : in std_logic_vector(31 downto 0);
k_2_in : in std_logic_vector(31 downto 0);
k_3_in : in std_logic_vector(31 downto 0);
a_0_out : out std_logic_vector(31 downto 0);
a_1_out : out std_logic_vector(31 downto 0);
a_2_out : out std_logic_vector(31 downto 0);
a_3_out : out std_logic_vector(31 downto 0));
end component;
component theta is
port(a_0_in : in std_logic_vector(31 downto 0);
a_1_in : in std_logic_vector(31 downto 0);
a_2_in : in std_logic_vector(31 downto 0);
a_3_in : in std_logic_vector(31 downto 0);
k_0_in : in std_logic_vector(31 downto 0);
k_1_in : in std_logic_vector(31 downto 0);
k_2_in : in std_logic_vector(31 downto 0);
k_3_in : in std_logic_vector(31 downto 0);
a_0_out : out std_logic_vector(31 downto 0);
a_1_out : out std_logic_vector(31 downto 0);
a_2_out : out std_logic_vector(31 downto 0);
a_3_out : out std_logic_vector(31 downto 0));
end component;
signal rc_s : std_logic_vector(7 downto 0);
signal rc_ext_s : std_logic_vector(31 downto 0);
signal a_0_in_s : std_logic_vector(31 downto 0);
signal a_1_in_s : std_logic_vector(31 downto 0);
signal a_2_in_s : std_logic_vector(31 downto 0);
signal a_3_in_s : std_logic_vector(31 downto 0);
signal out_t_a_0_in_s : std_logic_vector(31 downto 0);
signal out_t_a_1_in_s : std_logic_vector(31 downto 0);
signal out_t_a_2_in_s : std_logic_vector(31 downto 0);
signal out_t_a_3_in_s : std_logic_vector(31 downto 0);
signal a_0_out_s : std_logic_vector(31 downto 0);
signal a_1_out_s : std_logic_vector(31 downto 0);
signal a_2_out_s : std_logic_vector(31 downto 0);
signal a_3_out_s : std_logic_vector(31 downto 0);
signal k_0_d_s : std_logic_vector(31 downto 0);
signal k_1_d_s : std_logic_vector(31 downto 0);
signal k_2_d_s : std_logic_vector(31 downto 0);
signal k_3_d_s : std_logic_vector(31 downto 0);
signal k_0_mux_s : std_logic_vector(31 downto 0);
signal k_1_mux_s : std_logic_vector(31 downto 0);
signal k_2_mux_s : std_logic_vector(31 downto 0);
signal k_3_mux_s : std_logic_vector(31 downto 0);
begin
RC_GEN_0 : rc_gen port map (clk, rst, enc, rc_s);
rc_ext_s <= X"000000" & rc_s;
ROUND_F_0 : round_f port map (enc,
rc_ext_s,
a_0_in_s,
a_1_in_s,
a_2_in_s,
a_3_in_s,
k_0_mux_s,
k_1_mux_s,
k_2_mux_s,
k_3_mux_s,
a_0_out_s,
a_1_out_s,
a_2_out_s,
a_3_out_s);
pr_noe: process(clk, rst, enc)
begin
if rising_edge(clk) then
if rst = '1' then
a_0_in_s <= a_0_in;
a_1_in_s <= a_1_in;
a_2_in_s <= a_2_in;
a_3_in_s <= a_3_in;
else
a_0_in_s <= a_0_out_s;
a_1_in_s <= a_1_out_s;
a_2_in_s <= a_2_out_s;
a_3_in_s <= a_3_out_s;
end if;
end if;
end process;
-- Key decryption as k' = theta(0, k)
-- This is the key required for decryption
-- in NOEKEON
THETA_DECRYPT_0 : theta port map (
k_0_in,
k_1_in,
k_2_in,
k_3_in,
(others => '0'),
(others => '0'),
(others => '0'),
(others => '0'),
k_0_d_s,
k_1_d_s,
k_2_d_s,
k_3_d_s);
-- These multiplexers select the key that is used
-- in each mode i.e. during decryption the key generated
-- as k' = theta(0, k) (THETA_DECRYPT_0) is utilized.
k_0_mux_s <= k_0_in when enc = '0' else k_0_d_s;
k_1_mux_s <= k_1_in when enc = '0' else k_1_d_s;
k_2_mux_s <= k_2_in when enc = '0' else k_2_d_s;
k_3_mux_s <= k_3_in when enc = '0' else k_3_d_s;
out_trans_pr: process(clk, rst, a_0_out_s, a_1_out_s, a_2_out_s, a_3_out_s)
begin
if rising_edge(clk) then
out_t_a_0_in_s <= a_0_out_s;
out_t_a_1_in_s <= a_1_out_s;
out_t_a_2_in_s <= a_2_out_s;
out_t_a_3_in_s <= a_3_out_s;
end if;
end process;
-- This component performs the last operation
-- with theta.
OUT_TRANS_0 : output_trans port map (clk, enc, rc_ext_s,
out_t_a_0_in_s,
out_t_a_1_in_s,
out_t_a_2_in_s,
out_t_a_3_in_s,
k_0_mux_s,
k_1_mux_s,
k_2_mux_s,
k_3_mux_s,
a_0_out,
a_1_out,
a_2_out,
a_3_out);
end Behavioral;
|
-- Copyright (c) 2013 Antonio de la Piedra
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- This is an iterative implementation of the NOEKEON block
-- cipher relying on the direct mode of the cipher. This means that
-- key schedule is not performed.
entity noekeon is
port(clk : in std_logic;
rst : in std_logic;
enc : in std_logic; -- (enc, 0) / (dec, 1)
a_0_in : in std_logic_vector(31 downto 0);
a_1_in : in std_logic_vector(31 downto 0);
a_2_in : in std_logic_vector(31 downto 0);
a_3_in : in std_logic_vector(31 downto 0);
k_0_in : in std_logic_vector(31 downto 0);
k_1_in : in std_logic_vector(31 downto 0);
k_2_in : in std_logic_vector(31 downto 0);
k_3_in : in std_logic_vector(31 downto 0);
a_0_out : out std_logic_vector(31 downto 0);
a_1_out : out std_logic_vector(31 downto 0);
a_2_out : out std_logic_vector(31 downto 0);
a_3_out : out std_logic_vector(31 downto 0));
end noekeon;
architecture Behavioral of noekeon is
component round_f is
port(enc : in std_logic;
rc_in : in std_logic_vector(31 downto 0);
a_0_in : in std_logic_vector(31 downto 0);
a_1_in : in std_logic_vector(31 downto 0);
a_2_in : in std_logic_vector(31 downto 0);
a_3_in : in std_logic_vector(31 downto 0);
k_0_in : in std_logic_vector(31 downto 0);
k_1_in : in std_logic_vector(31 downto 0);
k_2_in : in std_logic_vector(31 downto 0);
k_3_in : in std_logic_vector(31 downto 0);
a_0_out : out std_logic_vector(31 downto 0);
a_1_out : out std_logic_vector(31 downto 0);
a_2_out : out std_logic_vector(31 downto 0);
a_3_out : out std_logic_vector(31 downto 0));
end component;
component rc_gen is
port(clk : in std_logic;
rst : in std_logic;
enc : in std_logic; -- (enc, 0) / (dec, 1)
rc_out : out std_logic_vector(7 downto 0));
end component;
component output_trans is
port(clk : in std_logic;
enc : in std_logic; -- (enc, 0) / (dec, 1)
rc_in : in std_logic_vector(31 downto 0);
a_0_in : in std_logic_vector(31 downto 0);
a_1_in : in std_logic_vector(31 downto 0);
a_2_in : in std_logic_vector(31 downto 0);
a_3_in : in std_logic_vector(31 downto 0);
k_0_in : in std_logic_vector(31 downto 0);
k_1_in : in std_logic_vector(31 downto 0);
k_2_in : in std_logic_vector(31 downto 0);
k_3_in : in std_logic_vector(31 downto 0);
a_0_out : out std_logic_vector(31 downto 0);
a_1_out : out std_logic_vector(31 downto 0);
a_2_out : out std_logic_vector(31 downto 0);
a_3_out : out std_logic_vector(31 downto 0));
end component;
component theta is
port(a_0_in : in std_logic_vector(31 downto 0);
a_1_in : in std_logic_vector(31 downto 0);
a_2_in : in std_logic_vector(31 downto 0);
a_3_in : in std_logic_vector(31 downto 0);
k_0_in : in std_logic_vector(31 downto 0);
k_1_in : in std_logic_vector(31 downto 0);
k_2_in : in std_logic_vector(31 downto 0);
k_3_in : in std_logic_vector(31 downto 0);
a_0_out : out std_logic_vector(31 downto 0);
a_1_out : out std_logic_vector(31 downto 0);
a_2_out : out std_logic_vector(31 downto 0);
a_3_out : out std_logic_vector(31 downto 0));
end component;
signal rc_s : std_logic_vector(7 downto 0);
signal rc_ext_s : std_logic_vector(31 downto 0);
signal a_0_in_s : std_logic_vector(31 downto 0);
signal a_1_in_s : std_logic_vector(31 downto 0);
signal a_2_in_s : std_logic_vector(31 downto 0);
signal a_3_in_s : std_logic_vector(31 downto 0);
signal out_t_a_0_in_s : std_logic_vector(31 downto 0);
signal out_t_a_1_in_s : std_logic_vector(31 downto 0);
signal out_t_a_2_in_s : std_logic_vector(31 downto 0);
signal out_t_a_3_in_s : std_logic_vector(31 downto 0);
signal a_0_out_s : std_logic_vector(31 downto 0);
signal a_1_out_s : std_logic_vector(31 downto 0);
signal a_2_out_s : std_logic_vector(31 downto 0);
signal a_3_out_s : std_logic_vector(31 downto 0);
signal k_0_d_s : std_logic_vector(31 downto 0);
signal k_1_d_s : std_logic_vector(31 downto 0);
signal k_2_d_s : std_logic_vector(31 downto 0);
signal k_3_d_s : std_logic_vector(31 downto 0);
signal k_0_mux_s : std_logic_vector(31 downto 0);
signal k_1_mux_s : std_logic_vector(31 downto 0);
signal k_2_mux_s : std_logic_vector(31 downto 0);
signal k_3_mux_s : std_logic_vector(31 downto 0);
begin
RC_GEN_0 : rc_gen port map (clk, rst, enc, rc_s);
rc_ext_s <= X"000000" & rc_s;
ROUND_F_0 : round_f port map (enc,
rc_ext_s,
a_0_in_s,
a_1_in_s,
a_2_in_s,
a_3_in_s,
k_0_mux_s,
k_1_mux_s,
k_2_mux_s,
k_3_mux_s,
a_0_out_s,
a_1_out_s,
a_2_out_s,
a_3_out_s);
pr_noe: process(clk, rst, enc)
begin
if rising_edge(clk) then
if rst = '1' then
a_0_in_s <= a_0_in;
a_1_in_s <= a_1_in;
a_2_in_s <= a_2_in;
a_3_in_s <= a_3_in;
else
a_0_in_s <= a_0_out_s;
a_1_in_s <= a_1_out_s;
a_2_in_s <= a_2_out_s;
a_3_in_s <= a_3_out_s;
end if;
end if;
end process;
-- Key decryption as k' = theta(0, k)
-- This is the key required for decryption
-- in NOEKEON
THETA_DECRYPT_0 : theta port map (
k_0_in,
k_1_in,
k_2_in,
k_3_in,
(others => '0'),
(others => '0'),
(others => '0'),
(others => '0'),
k_0_d_s,
k_1_d_s,
k_2_d_s,
k_3_d_s);
-- These multiplexers select the key that is used
-- in each mode i.e. during decryption the key generated
-- as k' = theta(0, k) (THETA_DECRYPT_0) is utilized.
k_0_mux_s <= k_0_in when enc = '0' else k_0_d_s;
k_1_mux_s <= k_1_in when enc = '0' else k_1_d_s;
k_2_mux_s <= k_2_in when enc = '0' else k_2_d_s;
k_3_mux_s <= k_3_in when enc = '0' else k_3_d_s;
out_trans_pr: process(clk, rst, a_0_out_s, a_1_out_s, a_2_out_s, a_3_out_s)
begin
if rising_edge(clk) then
out_t_a_0_in_s <= a_0_out_s;
out_t_a_1_in_s <= a_1_out_s;
out_t_a_2_in_s <= a_2_out_s;
out_t_a_3_in_s <= a_3_out_s;
end if;
end process;
-- This component performs the last operation
-- with theta.
OUT_TRANS_0 : output_trans port map (clk, enc, rc_ext_s,
out_t_a_0_in_s,
out_t_a_1_in_s,
out_t_a_2_in_s,
out_t_a_3_in_s,
k_0_mux_s,
k_1_mux_s,
k_2_mux_s,
k_3_mux_s,
a_0_out,
a_1_out,
a_2_out,
a_3_out);
end Behavioral;
|
-- universal "adapter"
-- pipelined <> classic
-- word-aligned/byte-aligned address
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.wishbone_pkg.all;
entity wb_slave_adapter is
generic (
g_master_use_struct : boolean;
g_master_mode : t_wishbone_interface_mode;
g_master_granularity : t_wishbone_address_granularity;
g_slave_use_struct : boolean;
g_slave_mode : t_wishbone_interface_mode;
g_slave_granularity : t_wishbone_address_granularity
);
port (
clk_sys_i : in std_logic;
rst_n_i : in std_logic;
-- slave port (i.e. wb_slave_adapter is slave)
sl_adr_i : in std_logic_vector(c_wishbone_address_width-1 downto 0);
sl_dat_i : in std_logic_vector(c_wishbone_data_width-1 downto 0);
sl_sel_i : in std_logic_vector(c_wishbone_data_width/8-1 downto 0);
sl_cyc_i : in std_logic;
sl_stb_i : in std_logic;
sl_we_i : in std_logic;
sl_dat_o : out std_logic_vector(c_wishbone_data_width-1 downto 0);
sl_err_o : out std_logic;
sl_rty_o : out std_logic;
sl_ack_o : out std_logic;
sl_stall_o : out std_logic;
sl_int_o : out std_logic;
slave_i : in t_wishbone_slave_in;
slave_o : out t_wishbone_slave_out;
-- master port (i.e. wb_slave_adapter is master)
ma_adr_o : out std_logic_vector(c_wishbone_address_width-1 downto 0);
ma_dat_o : out std_logic_vector(c_wishbone_data_width-1 downto 0);
ma_sel_o : out std_logic_vector(c_wishbone_data_width/8-1 downto 0);
ma_cyc_o : out std_logic;
ma_stb_o : out std_logic;
ma_we_o : out std_logic;
ma_dat_i : in std_logic_vector(c_wishbone_data_width-1 downto 0);
ma_err_i : in std_logic;
ma_rty_i : in std_logic;
ma_ack_i : in std_logic;
ma_stall_i : in std_logic;
ma_int_i : in std_logic;
master_i : in t_wishbone_master_in;
master_o : out t_wishbone_master_out
);
end wb_slave_adapter;
architecture rtl of wb_slave_adapter is
function f_num_byte_address_bits
return integer is
begin
case c_wishbone_data_width is
when 8 => return 0;
when 16 => return 1;
when 32 => return 2;
when 64 => return 3;
when others =>
report "wb_slave_adapter: invalid c_wishbone_data_width (we support 8, 16, 32 and 64)" severity failure;
end case;
return 0;
end f_num_byte_address_bits;
function f_zeros(size : integer)
return std_logic_vector is
begin
return std_logic_vector(to_unsigned(0, size));
end f_zeros;
type t_fsm_state is (IDLE, WAIT4ACK);
signal fsm_state : t_fsm_state := IDLE;
signal master_in : t_wishbone_master_in;
signal master_out : t_wishbone_master_out;
signal slave_in : t_wishbone_slave_in;
signal slave_out : t_wishbone_slave_out;
signal stored_we : std_logic;
begin -- rtl
gen_slave_use_struct : if (g_slave_use_struct) generate
slave_in <= slave_i;
end generate gen_slave_use_struct;
gen_slave_use_slv : if (not g_slave_use_struct) generate
slave_in.cyc <= sl_cyc_i;
slave_in.stb <= sl_stb_i;
slave_in.we <= sl_we_i;
slave_in.dat <= sl_dat_i;
slave_in.sel <= sl_sel_i;
slave_in.adr <= sl_adr_i;
end generate gen_slave_use_slv;
slave_o <= slave_out;
sl_ack_o <= slave_out.ack;
sl_rty_o <= slave_out.rty;
sl_err_o <= slave_out.err;
sl_stall_o <= slave_out.stall;
sl_dat_o <= slave_out.dat;
sl_int_o <= slave_out.int;
gen_master_use_struct : if (g_master_use_struct) generate
master_in <= master_i;
end generate gen_master_use_struct;
gen_master_use_slv : if (not g_master_use_struct) generate
master_in <= (
ack => ma_ack_i,
rty => ma_rty_i,
err => ma_err_i,
dat => ma_dat_i,
stall => ma_stall_i,
int => ma_int_i);
end generate gen_master_use_slv;
master_o <= master_out;
ma_adr_o <= master_out.adr;
ma_dat_o <= master_out.dat;
ma_sel_o <= master_out.sel;
ma_cyc_o <= master_out.cyc;
ma_stb_o <= master_out.stb;
ma_we_o <= master_out.we;
p_gen_address : process(slave_in, master_out)
begin
if(g_master_granularity = g_slave_granularity) then
master_out.adr <= slave_in.adr;
elsif(g_master_granularity = BYTE) then -- byte->word
master_out.adr <= slave_in.adr(c_wishbone_address_width-f_num_byte_address_bits-1 downto 0)
& f_zeros(f_num_byte_address_bits);
else
master_out.adr <= f_zeros(f_num_byte_address_bits)
& slave_in.adr(c_wishbone_address_width-1 downto f_num_byte_address_bits);
end if;
end process;
P2C : if (g_slave_mode = PIPELINED and g_master_mode = CLASSIC) generate
master_out.stb <= slave_in.stb;
slave_out.stall <= not master_in.ack;
end generate;
C2P : if (g_slave_mode = CLASSIC and g_master_mode = PIPELINED) generate
master_out.stb <= slave_in.stb when fsm_state=IDLE else '0';
slave_out.stall <= '0'; -- classic will ignore this anyway
state_machine : process(clk_sys_i) is
begin
if rising_edge(clk_sys_i) then
if rst_n_i = '0' then
fsm_state <= IDLE;
else
case fsm_state is
when IDLE =>
if slave_in.stb ='1' and master_in.stall='0' and master_in.ack='0' then
fsm_state <= WAIT4ACK;
end if;
when WAIT4ACK =>
if master_in.ack='1' then
fsm_state <= IDLE;
end if;
end case;
end if;
end if;
end process;
end generate;
X2X : if (g_slave_mode = g_master_mode) generate
master_out.stb <= slave_in.stb;
slave_out.stall <= master_in.stall;
end generate;
master_out.dat <= slave_in.dat;
master_out.cyc <= slave_in.cyc;
master_out.sel <= slave_in.sel;
master_out.we <= slave_in.we;
slave_out.ack <= master_in.ack;
slave_out.err <= master_in.err;
slave_out.rty <= master_in.rty;
slave_out.dat <= master_in.dat;
slave_out.int <= master_in.int;
end rtl;
|
------------------------------------------------------------------------------
-- "std_logic_1164_additions" package contains the additions to the standard
-- "std_logic_1164" package proposed by the VHDL-200X-ft working group.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- Last Modified: $Date: 2007-05-31 14:53:37-04 $
-- RCS ID: $Id: std_logic_1164_additions.vhdl,v 1.10 2007-05-31 14:53:37-04 l435385 Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package std_logic_1164_additions is
-- NOTE that in the new std_logic_1164, STD_LOGIC_VECTOR is a resolved
-- subtype of STD_ULOGIC_VECTOR. Thus there is no need for funcitons which
-- take inputs in STD_LOGIC_VECTOR.
-- For compatability with VHDL-2002, I have replicated all of these funcitons
-- here for STD_LOGIC_VECTOR.
-- new aliases
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector-reduction functions.
-- "and" functions default to "1", or defaults to "0"
-------------------------------------------------------------------
-----------------------------------------------------------------------------
-- %%% Replace the "_reduce" functions with the ones commented out below.
-----------------------------------------------------------------------------
-- function "and" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "and" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_ulogic_vector ) RETURN std_ulogic;
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
-------------------------------------------------------------------
-- ?= operators, same functionality as 1076.3 1994 std_match
-------------------------------------------------------------------
-- FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic;
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
-- "??" operator, converts a std_ulogic to a boolean.
--%%% Uncomment the following operators
-- FUNCTION "??" (S : STD_ULOGIC) RETURN BOOLEAN;
--%%% REMOVE the following funciton (for testing only)
function \??\ (S : STD_ULOGIC) return BOOLEAN;
-- rtl_synthesis off
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
-- explicitly defined operations
alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_LOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
-- rtl_synthesis on
function maximum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function maximum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function maximum (l, r : STD_ULOGIC) return STD_ULOGIC;
function minimum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function minimum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function minimum (l, r : STD_ULOGIC) return STD_ULOGIC;
end package std_logic_1164_additions;
package body std_logic_1164_additions is
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-----------------------------------------------------------------------------
-- New/updated funcitons for VHDL-200X fast track
-----------------------------------------------------------------------------
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- sll
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
-- srl
-------------------------------------------------------------------
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
-- rol
-------------------------------------------------------------------
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
-- ror
-------------------------------------------------------------------
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
-- vector-reduction functions
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return and_reduce (to_StdULogicVector (l));
end function and_reduce;
-------------------------------------------------------------------
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '1';
begin
for i in l'reverse_range loop
result := (l(i) and result);
end loop;
return result;
end function and_reduce;
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(to_StdULogicVector(l)));
end function nand_reduce;
-------------------------------------------------------------------
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(l));
end function nand_reduce;
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return or_reduce (to_StdULogicVector (l));
end function or_reduce;
-------------------------------------------------------------------
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) or result);
end loop;
return result;
end function or_reduce;
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(To_StdULogicVector(l)));
end function nor_reduce;
-------------------------------------------------------------------
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(l));
end function nor_reduce;
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return xor_reduce (to_StdULogicVector (l));
end function xor_reduce;
-------------------------------------------------------------------
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) xor result);
end loop;
return result;
end function xor_reduce;
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(To_StdULogicVector(l)));
end function xnor_reduce;
-------------------------------------------------------------------
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(l));
end function xnor_reduce;
-- %%% End "remove the following functions"
-- The following functions are implicity in 1076-2006
-- truth table for "?=" function
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx > rx then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% END FUNCTION "?>";
-- %%% FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx >= rx then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% END FUNCTION "?/>=";
-- %%% FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx < rx then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% END FUNCTION "?/<";
-- %%% FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx <= rx then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END FUNCTION "?/<=";
-- "??" operator, converts a std_ulogic to a boolean.
-- %%% FUNCTION "??"
function \??\ (S : STD_ULOGIC) return BOOLEAN is
begin
return S = '1' or S = 'H';
end function \??\;
-- %%% END FUNCTION "??";
-- rtl_synthesis off
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC;
GOOD : out BOOLEAN) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
good := false;
else
if char_to_MVL9plus(c) = error then
good := false;
else
VALUE := char_to_MVL9(c);
good := true;
end if;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := 0;
good := false;
while i < VALUE'length loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then -- reading done
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
report "STD_LOGIC_1164.READ(STD_ULOGIC) "
& "End of string encountered"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report
"STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
else
VALUE := char_to_MVL9(c);
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := 0;
while i < VALUE'length loop
if readOk = false then -- Bail out if there was a bad read
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write(l, MVL9_to_char(VALUE), justified, field);
end procedure WRITE;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_ULOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end procedure WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_LOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(L, s, justified, field);
end procedure WRITE;
-----------------------------------------------------------------------
-- Alias for bread and bwrite are provided with call out the read and
-- write functions.
-----------------------------------------------------------------------
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.HREAD Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
good := false;
end case;
end procedure Char2QuadBits;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- good := false;
-- return;
-- elsif c = '_' then
-- if i = 0 then
-- good := false; -- Begins with an "_"
-- return;
-- elsif lastu then
-- good := false; -- "__" detected
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
-- if not ok then
-- good := false;
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- good := false; -- vector was truncated.
-- else
-- good := true;
-- VALUE := sv (pad to sv'high);
-- end if;
-- else
-- good := true; -- Null input string, skips whitespace
-- end if;
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then -- non Null input string
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- report "STD_LOGIC_1164.HREAD "
-- & "End of string encountered"
-- severity error;
-- return;
-- end if;
-- if c = '_' then
-- if i = 0 then
-- report "STD_LOGIC_1164.HREAD "
-- & "String begins with an ""_""" severity error;
-- return;
-- elsif lastu then
-- report "STD_LOGIC_1164.HREAD "
-- & "Two underscores detected in input string ""__"""
-- severity error;
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, true);
-- if not ok then
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- report "STD_LOGIC_1164.HREAD Vector truncated"
-- severity error;
-- else
-- VALUE := sv (pad to sv'high);
-- end if;
-- end if;
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring (VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable c : CHARACTER;
variable ok : BOOLEAN;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
report "STD_LOGIC_1164.OREAD "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.OREAD "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.OREAD "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, true);
if not ok then
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
report "STD_LOGIC_1164.OREAD Vector truncated"
severity error;
else
VALUE := sv (pad to sv'high);
end if;
end if;
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue, GOOD => GOOD);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring(VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1);
begin
result (1) := MVL9_to_char (value);
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
end function to_string;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
-- rtl_synthesis on
function maximum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
end package body std_logic_1164_additions; |
------------------------------------------------------------------------------
-- "std_logic_1164_additions" package contains the additions to the standard
-- "std_logic_1164" package proposed by the VHDL-200X-ft working group.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- Last Modified: $Date: 2007-05-31 14:53:37-04 $
-- RCS ID: $Id: std_logic_1164_additions.vhdl,v 1.10 2007-05-31 14:53:37-04 l435385 Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package std_logic_1164_additions is
-- NOTE that in the new std_logic_1164, STD_LOGIC_VECTOR is a resolved
-- subtype of STD_ULOGIC_VECTOR. Thus there is no need for funcitons which
-- take inputs in STD_LOGIC_VECTOR.
-- For compatability with VHDL-2002, I have replicated all of these funcitons
-- here for STD_LOGIC_VECTOR.
-- new aliases
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector-reduction functions.
-- "and" functions default to "1", or defaults to "0"
-------------------------------------------------------------------
-----------------------------------------------------------------------------
-- %%% Replace the "_reduce" functions with the ones commented out below.
-----------------------------------------------------------------------------
-- function "and" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "and" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_ulogic_vector ) RETURN std_ulogic;
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
-------------------------------------------------------------------
-- ?= operators, same functionality as 1076.3 1994 std_match
-------------------------------------------------------------------
-- FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic;
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
-- "??" operator, converts a std_ulogic to a boolean.
--%%% Uncomment the following operators
-- FUNCTION "??" (S : STD_ULOGIC) RETURN BOOLEAN;
--%%% REMOVE the following funciton (for testing only)
function \??\ (S : STD_ULOGIC) return BOOLEAN;
-- rtl_synthesis off
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
-- explicitly defined operations
alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_LOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
-- rtl_synthesis on
function maximum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function maximum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function maximum (l, r : STD_ULOGIC) return STD_ULOGIC;
function minimum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function minimum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function minimum (l, r : STD_ULOGIC) return STD_ULOGIC;
end package std_logic_1164_additions;
package body std_logic_1164_additions is
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-----------------------------------------------------------------------------
-- New/updated funcitons for VHDL-200X fast track
-----------------------------------------------------------------------------
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- sll
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
-- srl
-------------------------------------------------------------------
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
-- rol
-------------------------------------------------------------------
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
-- ror
-------------------------------------------------------------------
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
-- vector-reduction functions
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return and_reduce (to_StdULogicVector (l));
end function and_reduce;
-------------------------------------------------------------------
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '1';
begin
for i in l'reverse_range loop
result := (l(i) and result);
end loop;
return result;
end function and_reduce;
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(to_StdULogicVector(l)));
end function nand_reduce;
-------------------------------------------------------------------
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(l));
end function nand_reduce;
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return or_reduce (to_StdULogicVector (l));
end function or_reduce;
-------------------------------------------------------------------
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) or result);
end loop;
return result;
end function or_reduce;
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(To_StdULogicVector(l)));
end function nor_reduce;
-------------------------------------------------------------------
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(l));
end function nor_reduce;
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return xor_reduce (to_StdULogicVector (l));
end function xor_reduce;
-------------------------------------------------------------------
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) xor result);
end loop;
return result;
end function xor_reduce;
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(To_StdULogicVector(l)));
end function xnor_reduce;
-------------------------------------------------------------------
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(l));
end function xnor_reduce;
-- %%% End "remove the following functions"
-- The following functions are implicity in 1076-2006
-- truth table for "?=" function
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx > rx then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% END FUNCTION "?>";
-- %%% FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx >= rx then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% END FUNCTION "?/>=";
-- %%% FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx < rx then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% END FUNCTION "?/<";
-- %%% FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx <= rx then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END FUNCTION "?/<=";
-- "??" operator, converts a std_ulogic to a boolean.
-- %%% FUNCTION "??"
function \??\ (S : STD_ULOGIC) return BOOLEAN is
begin
return S = '1' or S = 'H';
end function \??\;
-- %%% END FUNCTION "??";
-- rtl_synthesis off
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC;
GOOD : out BOOLEAN) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
good := false;
else
if char_to_MVL9plus(c) = error then
good := false;
else
VALUE := char_to_MVL9(c);
good := true;
end if;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := 0;
good := false;
while i < VALUE'length loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then -- reading done
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
report "STD_LOGIC_1164.READ(STD_ULOGIC) "
& "End of string encountered"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report
"STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
else
VALUE := char_to_MVL9(c);
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := 0;
while i < VALUE'length loop
if readOk = false then -- Bail out if there was a bad read
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write(l, MVL9_to_char(VALUE), justified, field);
end procedure WRITE;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_ULOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end procedure WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_LOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(L, s, justified, field);
end procedure WRITE;
-----------------------------------------------------------------------
-- Alias for bread and bwrite are provided with call out the read and
-- write functions.
-----------------------------------------------------------------------
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.HREAD Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
good := false;
end case;
end procedure Char2QuadBits;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- good := false;
-- return;
-- elsif c = '_' then
-- if i = 0 then
-- good := false; -- Begins with an "_"
-- return;
-- elsif lastu then
-- good := false; -- "__" detected
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
-- if not ok then
-- good := false;
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- good := false; -- vector was truncated.
-- else
-- good := true;
-- VALUE := sv (pad to sv'high);
-- end if;
-- else
-- good := true; -- Null input string, skips whitespace
-- end if;
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then -- non Null input string
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- report "STD_LOGIC_1164.HREAD "
-- & "End of string encountered"
-- severity error;
-- return;
-- end if;
-- if c = '_' then
-- if i = 0 then
-- report "STD_LOGIC_1164.HREAD "
-- & "String begins with an ""_""" severity error;
-- return;
-- elsif lastu then
-- report "STD_LOGIC_1164.HREAD "
-- & "Two underscores detected in input string ""__"""
-- severity error;
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, true);
-- if not ok then
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- report "STD_LOGIC_1164.HREAD Vector truncated"
-- severity error;
-- else
-- VALUE := sv (pad to sv'high);
-- end if;
-- end if;
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring (VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable c : CHARACTER;
variable ok : BOOLEAN;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
report "STD_LOGIC_1164.OREAD "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.OREAD "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.OREAD "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, true);
if not ok then
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
report "STD_LOGIC_1164.OREAD Vector truncated"
severity error;
else
VALUE := sv (pad to sv'high);
end if;
end if;
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue, GOOD => GOOD);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring(VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1);
begin
result (1) := MVL9_to_char (value);
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
end function to_string;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
-- rtl_synthesis on
function maximum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
end package body std_logic_1164_additions; |
------------------------------------------------------------------------------
-- "std_logic_1164_additions" package contains the additions to the standard
-- "std_logic_1164" package proposed by the VHDL-200X-ft working group.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- Last Modified: $Date: 2007-05-31 14:53:37-04 $
-- RCS ID: $Id: std_logic_1164_additions.vhdl,v 1.10 2007-05-31 14:53:37-04 l435385 Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package std_logic_1164_additions is
-- NOTE that in the new std_logic_1164, STD_LOGIC_VECTOR is a resolved
-- subtype of STD_ULOGIC_VECTOR. Thus there is no need for funcitons which
-- take inputs in STD_LOGIC_VECTOR.
-- For compatability with VHDL-2002, I have replicated all of these funcitons
-- here for STD_LOGIC_VECTOR.
-- new aliases
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector-reduction functions.
-- "and" functions default to "1", or defaults to "0"
-------------------------------------------------------------------
-----------------------------------------------------------------------------
-- %%% Replace the "_reduce" functions with the ones commented out below.
-----------------------------------------------------------------------------
-- function "and" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "and" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_ulogic_vector ) RETURN std_ulogic;
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
-------------------------------------------------------------------
-- ?= operators, same functionality as 1076.3 1994 std_match
-------------------------------------------------------------------
-- FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic;
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
-- "??" operator, converts a std_ulogic to a boolean.
--%%% Uncomment the following operators
-- FUNCTION "??" (S : STD_ULOGIC) RETURN BOOLEAN;
--%%% REMOVE the following funciton (for testing only)
function \??\ (S : STD_ULOGIC) return BOOLEAN;
-- rtl_synthesis off
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
-- explicitly defined operations
alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_LOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
-- rtl_synthesis on
function maximum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function maximum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function maximum (l, r : STD_ULOGIC) return STD_ULOGIC;
function minimum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function minimum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function minimum (l, r : STD_ULOGIC) return STD_ULOGIC;
end package std_logic_1164_additions;
package body std_logic_1164_additions is
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-----------------------------------------------------------------------------
-- New/updated funcitons for VHDL-200X fast track
-----------------------------------------------------------------------------
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- sll
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
-- srl
-------------------------------------------------------------------
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
-- rol
-------------------------------------------------------------------
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
-- ror
-------------------------------------------------------------------
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
-- vector-reduction functions
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return and_reduce (to_StdULogicVector (l));
end function and_reduce;
-------------------------------------------------------------------
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '1';
begin
for i in l'reverse_range loop
result := (l(i) and result);
end loop;
return result;
end function and_reduce;
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(to_StdULogicVector(l)));
end function nand_reduce;
-------------------------------------------------------------------
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(l));
end function nand_reduce;
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return or_reduce (to_StdULogicVector (l));
end function or_reduce;
-------------------------------------------------------------------
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) or result);
end loop;
return result;
end function or_reduce;
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(To_StdULogicVector(l)));
end function nor_reduce;
-------------------------------------------------------------------
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(l));
end function nor_reduce;
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return xor_reduce (to_StdULogicVector (l));
end function xor_reduce;
-------------------------------------------------------------------
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) xor result);
end loop;
return result;
end function xor_reduce;
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(To_StdULogicVector(l)));
end function xnor_reduce;
-------------------------------------------------------------------
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(l));
end function xnor_reduce;
-- %%% End "remove the following functions"
-- The following functions are implicity in 1076-2006
-- truth table for "?=" function
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx > rx then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% END FUNCTION "?>";
-- %%% FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx >= rx then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% END FUNCTION "?/>=";
-- %%% FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx < rx then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% END FUNCTION "?/<";
-- %%% FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx <= rx then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END FUNCTION "?/<=";
-- "??" operator, converts a std_ulogic to a boolean.
-- %%% FUNCTION "??"
function \??\ (S : STD_ULOGIC) return BOOLEAN is
begin
return S = '1' or S = 'H';
end function \??\;
-- %%% END FUNCTION "??";
-- rtl_synthesis off
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC;
GOOD : out BOOLEAN) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
good := false;
else
if char_to_MVL9plus(c) = error then
good := false;
else
VALUE := char_to_MVL9(c);
good := true;
end if;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := 0;
good := false;
while i < VALUE'length loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then -- reading done
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
report "STD_LOGIC_1164.READ(STD_ULOGIC) "
& "End of string encountered"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report
"STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
else
VALUE := char_to_MVL9(c);
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := 0;
while i < VALUE'length loop
if readOk = false then -- Bail out if there was a bad read
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write(l, MVL9_to_char(VALUE), justified, field);
end procedure WRITE;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_ULOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end procedure WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_LOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(L, s, justified, field);
end procedure WRITE;
-----------------------------------------------------------------------
-- Alias for bread and bwrite are provided with call out the read and
-- write functions.
-----------------------------------------------------------------------
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.HREAD Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
good := false;
end case;
end procedure Char2QuadBits;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- good := false;
-- return;
-- elsif c = '_' then
-- if i = 0 then
-- good := false; -- Begins with an "_"
-- return;
-- elsif lastu then
-- good := false; -- "__" detected
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
-- if not ok then
-- good := false;
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- good := false; -- vector was truncated.
-- else
-- good := true;
-- VALUE := sv (pad to sv'high);
-- end if;
-- else
-- good := true; -- Null input string, skips whitespace
-- end if;
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then -- non Null input string
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- report "STD_LOGIC_1164.HREAD "
-- & "End of string encountered"
-- severity error;
-- return;
-- end if;
-- if c = '_' then
-- if i = 0 then
-- report "STD_LOGIC_1164.HREAD "
-- & "String begins with an ""_""" severity error;
-- return;
-- elsif lastu then
-- report "STD_LOGIC_1164.HREAD "
-- & "Two underscores detected in input string ""__"""
-- severity error;
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, true);
-- if not ok then
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- report "STD_LOGIC_1164.HREAD Vector truncated"
-- severity error;
-- else
-- VALUE := sv (pad to sv'high);
-- end if;
-- end if;
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring (VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable c : CHARACTER;
variable ok : BOOLEAN;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
report "STD_LOGIC_1164.OREAD "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.OREAD "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.OREAD "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, true);
if not ok then
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
report "STD_LOGIC_1164.OREAD Vector truncated"
severity error;
else
VALUE := sv (pad to sv'high);
end if;
end if;
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue, GOOD => GOOD);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring(VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1);
begin
result (1) := MVL9_to_char (value);
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
end function to_string;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
-- rtl_synthesis on
function maximum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
end package body std_logic_1164_additions; |
------------------------------------------------------------------------------
-- "std_logic_1164_additions" package contains the additions to the standard
-- "std_logic_1164" package proposed by the VHDL-200X-ft working group.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- Last Modified: $Date: 2007-05-31 14:53:37-04 $
-- RCS ID: $Id: std_logic_1164_additions.vhdl,v 1.10 2007-05-31 14:53:37-04 l435385 Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package std_logic_1164_additions is
-- NOTE that in the new std_logic_1164, STD_LOGIC_VECTOR is a resolved
-- subtype of STD_ULOGIC_VECTOR. Thus there is no need for funcitons which
-- take inputs in STD_LOGIC_VECTOR.
-- For compatability with VHDL-2002, I have replicated all of these funcitons
-- here for STD_LOGIC_VECTOR.
-- new aliases
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector-reduction functions.
-- "and" functions default to "1", or defaults to "0"
-------------------------------------------------------------------
-----------------------------------------------------------------------------
-- %%% Replace the "_reduce" functions with the ones commented out below.
-----------------------------------------------------------------------------
-- function "and" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "and" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_ulogic_vector ) RETURN std_ulogic;
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
-------------------------------------------------------------------
-- ?= operators, same functionality as 1076.3 1994 std_match
-------------------------------------------------------------------
-- FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic;
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
-- "??" operator, converts a std_ulogic to a boolean.
--%%% Uncomment the following operators
-- FUNCTION "??" (S : STD_ULOGIC) RETURN BOOLEAN;
--%%% REMOVE the following funciton (for testing only)
function \??\ (S : STD_ULOGIC) return BOOLEAN;
-- rtl_synthesis off
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
-- explicitly defined operations
alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_LOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
-- rtl_synthesis on
function maximum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function maximum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function maximum (l, r : STD_ULOGIC) return STD_ULOGIC;
function minimum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function minimum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function minimum (l, r : STD_ULOGIC) return STD_ULOGIC;
end package std_logic_1164_additions;
package body std_logic_1164_additions is
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-----------------------------------------------------------------------------
-- New/updated funcitons for VHDL-200X fast track
-----------------------------------------------------------------------------
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- sll
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
-- srl
-------------------------------------------------------------------
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
-- rol
-------------------------------------------------------------------
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
-- ror
-------------------------------------------------------------------
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
-- vector-reduction functions
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return and_reduce (to_StdULogicVector (l));
end function and_reduce;
-------------------------------------------------------------------
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '1';
begin
for i in l'reverse_range loop
result := (l(i) and result);
end loop;
return result;
end function and_reduce;
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(to_StdULogicVector(l)));
end function nand_reduce;
-------------------------------------------------------------------
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(l));
end function nand_reduce;
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return or_reduce (to_StdULogicVector (l));
end function or_reduce;
-------------------------------------------------------------------
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) or result);
end loop;
return result;
end function or_reduce;
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(To_StdULogicVector(l)));
end function nor_reduce;
-------------------------------------------------------------------
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(l));
end function nor_reduce;
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return xor_reduce (to_StdULogicVector (l));
end function xor_reduce;
-------------------------------------------------------------------
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) xor result);
end loop;
return result;
end function xor_reduce;
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(To_StdULogicVector(l)));
end function xnor_reduce;
-------------------------------------------------------------------
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(l));
end function xnor_reduce;
-- %%% End "remove the following functions"
-- The following functions are implicity in 1076-2006
-- truth table for "?=" function
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx > rx then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% END FUNCTION "?>";
-- %%% FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx >= rx then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% END FUNCTION "?/>=";
-- %%% FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx < rx then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% END FUNCTION "?/<";
-- %%% FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx <= rx then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END FUNCTION "?/<=";
-- "??" operator, converts a std_ulogic to a boolean.
-- %%% FUNCTION "??"
function \??\ (S : STD_ULOGIC) return BOOLEAN is
begin
return S = '1' or S = 'H';
end function \??\;
-- %%% END FUNCTION "??";
-- rtl_synthesis off
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC;
GOOD : out BOOLEAN) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
good := false;
else
if char_to_MVL9plus(c) = error then
good := false;
else
VALUE := char_to_MVL9(c);
good := true;
end if;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := 0;
good := false;
while i < VALUE'length loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then -- reading done
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
report "STD_LOGIC_1164.READ(STD_ULOGIC) "
& "End of string encountered"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report
"STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
else
VALUE := char_to_MVL9(c);
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := 0;
while i < VALUE'length loop
if readOk = false then -- Bail out if there was a bad read
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write(l, MVL9_to_char(VALUE), justified, field);
end procedure WRITE;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_ULOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end procedure WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_LOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(L, s, justified, field);
end procedure WRITE;
-----------------------------------------------------------------------
-- Alias for bread and bwrite are provided with call out the read and
-- write functions.
-----------------------------------------------------------------------
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.HREAD Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
good := false;
end case;
end procedure Char2QuadBits;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- good := false;
-- return;
-- elsif c = '_' then
-- if i = 0 then
-- good := false; -- Begins with an "_"
-- return;
-- elsif lastu then
-- good := false; -- "__" detected
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
-- if not ok then
-- good := false;
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- good := false; -- vector was truncated.
-- else
-- good := true;
-- VALUE := sv (pad to sv'high);
-- end if;
-- else
-- good := true; -- Null input string, skips whitespace
-- end if;
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then -- non Null input string
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- report "STD_LOGIC_1164.HREAD "
-- & "End of string encountered"
-- severity error;
-- return;
-- end if;
-- if c = '_' then
-- if i = 0 then
-- report "STD_LOGIC_1164.HREAD "
-- & "String begins with an ""_""" severity error;
-- return;
-- elsif lastu then
-- report "STD_LOGIC_1164.HREAD "
-- & "Two underscores detected in input string ""__"""
-- severity error;
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, true);
-- if not ok then
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- report "STD_LOGIC_1164.HREAD Vector truncated"
-- severity error;
-- else
-- VALUE := sv (pad to sv'high);
-- end if;
-- end if;
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring (VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable c : CHARACTER;
variable ok : BOOLEAN;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
report "STD_LOGIC_1164.OREAD "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.OREAD "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.OREAD "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, true);
if not ok then
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
report "STD_LOGIC_1164.OREAD Vector truncated"
severity error;
else
VALUE := sv (pad to sv'high);
end if;
end if;
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue, GOOD => GOOD);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring(VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1);
begin
result (1) := MVL9_to_char (value);
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
end function to_string;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
-- rtl_synthesis on
function maximum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
end package body std_logic_1164_additions; |
------------------------------------------------------------------------------
-- "std_logic_1164_additions" package contains the additions to the standard
-- "std_logic_1164" package proposed by the VHDL-200X-ft working group.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- Last Modified: $Date: 2007-05-31 14:53:37-04 $
-- RCS ID: $Id: std_logic_1164_additions.vhdl,v 1.10 2007-05-31 14:53:37-04 l435385 Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package std_logic_1164_additions is
-- NOTE that in the new std_logic_1164, STD_LOGIC_VECTOR is a resolved
-- subtype of STD_ULOGIC_VECTOR. Thus there is no need for funcitons which
-- take inputs in STD_LOGIC_VECTOR.
-- For compatability with VHDL-2002, I have replicated all of these funcitons
-- here for STD_LOGIC_VECTOR.
-- new aliases
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector-reduction functions.
-- "and" functions default to "1", or defaults to "0"
-------------------------------------------------------------------
-----------------------------------------------------------------------------
-- %%% Replace the "_reduce" functions with the ones commented out below.
-----------------------------------------------------------------------------
-- function "and" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "and" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_ulogic_vector ) RETURN std_ulogic;
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
-------------------------------------------------------------------
-- ?= operators, same functionality as 1076.3 1994 std_match
-------------------------------------------------------------------
-- FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic;
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
-- "??" operator, converts a std_ulogic to a boolean.
--%%% Uncomment the following operators
-- FUNCTION "??" (S : STD_ULOGIC) RETURN BOOLEAN;
--%%% REMOVE the following funciton (for testing only)
function \??\ (S : STD_ULOGIC) return BOOLEAN;
-- rtl_synthesis off
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
-- explicitly defined operations
alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_LOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
-- rtl_synthesis on
function maximum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function maximum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function maximum (l, r : STD_ULOGIC) return STD_ULOGIC;
function minimum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function minimum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function minimum (l, r : STD_ULOGIC) return STD_ULOGIC;
end package std_logic_1164_additions;
package body std_logic_1164_additions is
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-----------------------------------------------------------------------------
-- New/updated funcitons for VHDL-200X fast track
-----------------------------------------------------------------------------
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- sll
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
-- srl
-------------------------------------------------------------------
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
-- rol
-------------------------------------------------------------------
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
-- ror
-------------------------------------------------------------------
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
-- vector-reduction functions
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return and_reduce (to_StdULogicVector (l));
end function and_reduce;
-------------------------------------------------------------------
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '1';
begin
for i in l'reverse_range loop
result := (l(i) and result);
end loop;
return result;
end function and_reduce;
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(to_StdULogicVector(l)));
end function nand_reduce;
-------------------------------------------------------------------
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(l));
end function nand_reduce;
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return or_reduce (to_StdULogicVector (l));
end function or_reduce;
-------------------------------------------------------------------
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) or result);
end loop;
return result;
end function or_reduce;
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(To_StdULogicVector(l)));
end function nor_reduce;
-------------------------------------------------------------------
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(l));
end function nor_reduce;
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return xor_reduce (to_StdULogicVector (l));
end function xor_reduce;
-------------------------------------------------------------------
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) xor result);
end loop;
return result;
end function xor_reduce;
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(To_StdULogicVector(l)));
end function xnor_reduce;
-------------------------------------------------------------------
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(l));
end function xnor_reduce;
-- %%% End "remove the following functions"
-- The following functions are implicity in 1076-2006
-- truth table for "?=" function
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx > rx then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% END FUNCTION "?>";
-- %%% FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx >= rx then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% END FUNCTION "?/>=";
-- %%% FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx < rx then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% END FUNCTION "?/<";
-- %%% FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx <= rx then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END FUNCTION "?/<=";
-- "??" operator, converts a std_ulogic to a boolean.
-- %%% FUNCTION "??"
function \??\ (S : STD_ULOGIC) return BOOLEAN is
begin
return S = '1' or S = 'H';
end function \??\;
-- %%% END FUNCTION "??";
-- rtl_synthesis off
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC;
GOOD : out BOOLEAN) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
good := false;
else
if char_to_MVL9plus(c) = error then
good := false;
else
VALUE := char_to_MVL9(c);
good := true;
end if;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := 0;
good := false;
while i < VALUE'length loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then -- reading done
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
report "STD_LOGIC_1164.READ(STD_ULOGIC) "
& "End of string encountered"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report
"STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
else
VALUE := char_to_MVL9(c);
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := 0;
while i < VALUE'length loop
if readOk = false then -- Bail out if there was a bad read
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write(l, MVL9_to_char(VALUE), justified, field);
end procedure WRITE;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_ULOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end procedure WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_LOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(L, s, justified, field);
end procedure WRITE;
-----------------------------------------------------------------------
-- Alias for bread and bwrite are provided with call out the read and
-- write functions.
-----------------------------------------------------------------------
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.HREAD Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
good := false;
end case;
end procedure Char2QuadBits;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- good := false;
-- return;
-- elsif c = '_' then
-- if i = 0 then
-- good := false; -- Begins with an "_"
-- return;
-- elsif lastu then
-- good := false; -- "__" detected
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
-- if not ok then
-- good := false;
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- good := false; -- vector was truncated.
-- else
-- good := true;
-- VALUE := sv (pad to sv'high);
-- end if;
-- else
-- good := true; -- Null input string, skips whitespace
-- end if;
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then -- non Null input string
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- report "STD_LOGIC_1164.HREAD "
-- & "End of string encountered"
-- severity error;
-- return;
-- end if;
-- if c = '_' then
-- if i = 0 then
-- report "STD_LOGIC_1164.HREAD "
-- & "String begins with an ""_""" severity error;
-- return;
-- elsif lastu then
-- report "STD_LOGIC_1164.HREAD "
-- & "Two underscores detected in input string ""__"""
-- severity error;
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, true);
-- if not ok then
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- report "STD_LOGIC_1164.HREAD Vector truncated"
-- severity error;
-- else
-- VALUE := sv (pad to sv'high);
-- end if;
-- end if;
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring (VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable c : CHARACTER;
variable ok : BOOLEAN;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
report "STD_LOGIC_1164.OREAD "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.OREAD "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.OREAD "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, true);
if not ok then
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
report "STD_LOGIC_1164.OREAD Vector truncated"
severity error;
else
VALUE := sv (pad to sv'high);
end if;
end if;
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue, GOOD => GOOD);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring(VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1);
begin
result (1) := MVL9_to_char (value);
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
end function to_string;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
-- rtl_synthesis on
function maximum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
end package body std_logic_1164_additions; |
------------------------------------------------------------------------------
-- "std_logic_1164_additions" package contains the additions to the standard
-- "std_logic_1164" package proposed by the VHDL-200X-ft working group.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- Last Modified: $Date: 2007-05-31 14:53:37-04 $
-- RCS ID: $Id: std_logic_1164_additions.vhdl,v 1.10 2007-05-31 14:53:37-04 l435385 Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package std_logic_1164_additions is
-- NOTE that in the new std_logic_1164, STD_LOGIC_VECTOR is a resolved
-- subtype of STD_ULOGIC_VECTOR. Thus there is no need for funcitons which
-- take inputs in STD_LOGIC_VECTOR.
-- For compatability with VHDL-2002, I have replicated all of these funcitons
-- here for STD_LOGIC_VECTOR.
-- new aliases
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector-reduction functions.
-- "and" functions default to "1", or defaults to "0"
-------------------------------------------------------------------
-----------------------------------------------------------------------------
-- %%% Replace the "_reduce" functions with the ones commented out below.
-----------------------------------------------------------------------------
-- function "and" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "and" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_ulogic_vector ) RETURN std_ulogic;
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
-------------------------------------------------------------------
-- ?= operators, same functionality as 1076.3 1994 std_match
-------------------------------------------------------------------
-- FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic;
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
-- "??" operator, converts a std_ulogic to a boolean.
--%%% Uncomment the following operators
-- FUNCTION "??" (S : STD_ULOGIC) RETURN BOOLEAN;
--%%% REMOVE the following funciton (for testing only)
function \??\ (S : STD_ULOGIC) return BOOLEAN;
-- rtl_synthesis off
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
-- explicitly defined operations
alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_LOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
-- rtl_synthesis on
function maximum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function maximum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function maximum (l, r : STD_ULOGIC) return STD_ULOGIC;
function minimum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function minimum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function minimum (l, r : STD_ULOGIC) return STD_ULOGIC;
end package std_logic_1164_additions;
package body std_logic_1164_additions is
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-----------------------------------------------------------------------------
-- New/updated funcitons for VHDL-200X fast track
-----------------------------------------------------------------------------
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- sll
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
-- srl
-------------------------------------------------------------------
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
-- rol
-------------------------------------------------------------------
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
-- ror
-------------------------------------------------------------------
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
-- vector-reduction functions
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return and_reduce (to_StdULogicVector (l));
end function and_reduce;
-------------------------------------------------------------------
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '1';
begin
for i in l'reverse_range loop
result := (l(i) and result);
end loop;
return result;
end function and_reduce;
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(to_StdULogicVector(l)));
end function nand_reduce;
-------------------------------------------------------------------
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(l));
end function nand_reduce;
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return or_reduce (to_StdULogicVector (l));
end function or_reduce;
-------------------------------------------------------------------
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) or result);
end loop;
return result;
end function or_reduce;
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(To_StdULogicVector(l)));
end function nor_reduce;
-------------------------------------------------------------------
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(l));
end function nor_reduce;
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return xor_reduce (to_StdULogicVector (l));
end function xor_reduce;
-------------------------------------------------------------------
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) xor result);
end loop;
return result;
end function xor_reduce;
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(To_StdULogicVector(l)));
end function xnor_reduce;
-------------------------------------------------------------------
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(l));
end function xnor_reduce;
-- %%% End "remove the following functions"
-- The following functions are implicity in 1076-2006
-- truth table for "?=" function
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx > rx then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% END FUNCTION "?>";
-- %%% FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx >= rx then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% END FUNCTION "?/>=";
-- %%% FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx < rx then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% END FUNCTION "?/<";
-- %%% FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx <= rx then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END FUNCTION "?/<=";
-- "??" operator, converts a std_ulogic to a boolean.
-- %%% FUNCTION "??"
function \??\ (S : STD_ULOGIC) return BOOLEAN is
begin
return S = '1' or S = 'H';
end function \??\;
-- %%% END FUNCTION "??";
-- rtl_synthesis off
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC;
GOOD : out BOOLEAN) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
good := false;
else
if char_to_MVL9plus(c) = error then
good := false;
else
VALUE := char_to_MVL9(c);
good := true;
end if;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := 0;
good := false;
while i < VALUE'length loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then -- reading done
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
report "STD_LOGIC_1164.READ(STD_ULOGIC) "
& "End of string encountered"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report
"STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
else
VALUE := char_to_MVL9(c);
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := 0;
while i < VALUE'length loop
if readOk = false then -- Bail out if there was a bad read
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write(l, MVL9_to_char(VALUE), justified, field);
end procedure WRITE;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_ULOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end procedure WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_LOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(L, s, justified, field);
end procedure WRITE;
-----------------------------------------------------------------------
-- Alias for bread and bwrite are provided with call out the read and
-- write functions.
-----------------------------------------------------------------------
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.HREAD Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
good := false;
end case;
end procedure Char2QuadBits;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- good := false;
-- return;
-- elsif c = '_' then
-- if i = 0 then
-- good := false; -- Begins with an "_"
-- return;
-- elsif lastu then
-- good := false; -- "__" detected
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
-- if not ok then
-- good := false;
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- good := false; -- vector was truncated.
-- else
-- good := true;
-- VALUE := sv (pad to sv'high);
-- end if;
-- else
-- good := true; -- Null input string, skips whitespace
-- end if;
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then -- non Null input string
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- report "STD_LOGIC_1164.HREAD "
-- & "End of string encountered"
-- severity error;
-- return;
-- end if;
-- if c = '_' then
-- if i = 0 then
-- report "STD_LOGIC_1164.HREAD "
-- & "String begins with an ""_""" severity error;
-- return;
-- elsif lastu then
-- report "STD_LOGIC_1164.HREAD "
-- & "Two underscores detected in input string ""__"""
-- severity error;
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, true);
-- if not ok then
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- report "STD_LOGIC_1164.HREAD Vector truncated"
-- severity error;
-- else
-- VALUE := sv (pad to sv'high);
-- end if;
-- end if;
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring (VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable c : CHARACTER;
variable ok : BOOLEAN;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
report "STD_LOGIC_1164.OREAD "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.OREAD "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.OREAD "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, true);
if not ok then
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
report "STD_LOGIC_1164.OREAD Vector truncated"
severity error;
else
VALUE := sv (pad to sv'high);
end if;
end if;
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue, GOOD => GOOD);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring(VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1);
begin
result (1) := MVL9_to_char (value);
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
end function to_string;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
-- rtl_synthesis on
function maximum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
end package body std_logic_1164_additions; |
------------------------------------------------------------------------------
-- "std_logic_1164_additions" package contains the additions to the standard
-- "std_logic_1164" package proposed by the VHDL-200X-ft working group.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- Last Modified: $Date: 2007-05-31 14:53:37-04 $
-- RCS ID: $Id: std_logic_1164_additions.vhdl,v 1.10 2007-05-31 14:53:37-04 l435385 Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package std_logic_1164_additions is
-- NOTE that in the new std_logic_1164, STD_LOGIC_VECTOR is a resolved
-- subtype of STD_ULOGIC_VECTOR. Thus there is no need for funcitons which
-- take inputs in STD_LOGIC_VECTOR.
-- For compatability with VHDL-2002, I have replicated all of these funcitons
-- here for STD_LOGIC_VECTOR.
-- new aliases
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector-reduction functions.
-- "and" functions default to "1", or defaults to "0"
-------------------------------------------------------------------
-----------------------------------------------------------------------------
-- %%% Replace the "_reduce" functions with the ones commented out below.
-----------------------------------------------------------------------------
-- function "and" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "and" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_ulogic_vector ) RETURN std_ulogic;
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
-------------------------------------------------------------------
-- ?= operators, same functionality as 1076.3 1994 std_match
-------------------------------------------------------------------
-- FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic;
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
-- "??" operator, converts a std_ulogic to a boolean.
--%%% Uncomment the following operators
-- FUNCTION "??" (S : STD_ULOGIC) RETURN BOOLEAN;
--%%% REMOVE the following funciton (for testing only)
function \??\ (S : STD_ULOGIC) return BOOLEAN;
-- rtl_synthesis off
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
-- explicitly defined operations
alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_LOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
-- rtl_synthesis on
function maximum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function maximum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function maximum (l, r : STD_ULOGIC) return STD_ULOGIC;
function minimum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function minimum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function minimum (l, r : STD_ULOGIC) return STD_ULOGIC;
end package std_logic_1164_additions;
package body std_logic_1164_additions is
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-----------------------------------------------------------------------------
-- New/updated funcitons for VHDL-200X fast track
-----------------------------------------------------------------------------
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- sll
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
-- srl
-------------------------------------------------------------------
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
-- rol
-------------------------------------------------------------------
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
-- ror
-------------------------------------------------------------------
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
-- vector-reduction functions
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return and_reduce (to_StdULogicVector (l));
end function and_reduce;
-------------------------------------------------------------------
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '1';
begin
for i in l'reverse_range loop
result := (l(i) and result);
end loop;
return result;
end function and_reduce;
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(to_StdULogicVector(l)));
end function nand_reduce;
-------------------------------------------------------------------
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(l));
end function nand_reduce;
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return or_reduce (to_StdULogicVector (l));
end function or_reduce;
-------------------------------------------------------------------
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) or result);
end loop;
return result;
end function or_reduce;
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(To_StdULogicVector(l)));
end function nor_reduce;
-------------------------------------------------------------------
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(l));
end function nor_reduce;
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return xor_reduce (to_StdULogicVector (l));
end function xor_reduce;
-------------------------------------------------------------------
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) xor result);
end loop;
return result;
end function xor_reduce;
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(To_StdULogicVector(l)));
end function xnor_reduce;
-------------------------------------------------------------------
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(l));
end function xnor_reduce;
-- %%% End "remove the following functions"
-- The following functions are implicity in 1076-2006
-- truth table for "?=" function
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx > rx then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% END FUNCTION "?>";
-- %%% FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx >= rx then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% END FUNCTION "?/>=";
-- %%% FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx < rx then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% END FUNCTION "?/<";
-- %%% FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx <= rx then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END FUNCTION "?/<=";
-- "??" operator, converts a std_ulogic to a boolean.
-- %%% FUNCTION "??"
function \??\ (S : STD_ULOGIC) return BOOLEAN is
begin
return S = '1' or S = 'H';
end function \??\;
-- %%% END FUNCTION "??";
-- rtl_synthesis off
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC;
GOOD : out BOOLEAN) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
good := false;
else
if char_to_MVL9plus(c) = error then
good := false;
else
VALUE := char_to_MVL9(c);
good := true;
end if;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := 0;
good := false;
while i < VALUE'length loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then -- reading done
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
report "STD_LOGIC_1164.READ(STD_ULOGIC) "
& "End of string encountered"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report
"STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
else
VALUE := char_to_MVL9(c);
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := 0;
while i < VALUE'length loop
if readOk = false then -- Bail out if there was a bad read
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write(l, MVL9_to_char(VALUE), justified, field);
end procedure WRITE;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_ULOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end procedure WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_LOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(L, s, justified, field);
end procedure WRITE;
-----------------------------------------------------------------------
-- Alias for bread and bwrite are provided with call out the read and
-- write functions.
-----------------------------------------------------------------------
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.HREAD Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
good := false;
end case;
end procedure Char2QuadBits;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- good := false;
-- return;
-- elsif c = '_' then
-- if i = 0 then
-- good := false; -- Begins with an "_"
-- return;
-- elsif lastu then
-- good := false; -- "__" detected
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
-- if not ok then
-- good := false;
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- good := false; -- vector was truncated.
-- else
-- good := true;
-- VALUE := sv (pad to sv'high);
-- end if;
-- else
-- good := true; -- Null input string, skips whitespace
-- end if;
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then -- non Null input string
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- report "STD_LOGIC_1164.HREAD "
-- & "End of string encountered"
-- severity error;
-- return;
-- end if;
-- if c = '_' then
-- if i = 0 then
-- report "STD_LOGIC_1164.HREAD "
-- & "String begins with an ""_""" severity error;
-- return;
-- elsif lastu then
-- report "STD_LOGIC_1164.HREAD "
-- & "Two underscores detected in input string ""__"""
-- severity error;
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, true);
-- if not ok then
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- report "STD_LOGIC_1164.HREAD Vector truncated"
-- severity error;
-- else
-- VALUE := sv (pad to sv'high);
-- end if;
-- end if;
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring (VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable c : CHARACTER;
variable ok : BOOLEAN;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
report "STD_LOGIC_1164.OREAD "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.OREAD "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.OREAD "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, true);
if not ok then
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
report "STD_LOGIC_1164.OREAD Vector truncated"
severity error;
else
VALUE := sv (pad to sv'high);
end if;
end if;
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue, GOOD => GOOD);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring(VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1);
begin
result (1) := MVL9_to_char (value);
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
end function to_string;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
-- rtl_synthesis on
function maximum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
end package body std_logic_1164_additions; |
------------------------------------------------------------------------------
-- "std_logic_1164_additions" package contains the additions to the standard
-- "std_logic_1164" package proposed by the VHDL-200X-ft working group.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- Last Modified: $Date: 2007-05-31 14:53:37-04 $
-- RCS ID: $Id: std_logic_1164_additions.vhdl,v 1.10 2007-05-31 14:53:37-04 l435385 Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package std_logic_1164_additions is
-- NOTE that in the new std_logic_1164, STD_LOGIC_VECTOR is a resolved
-- subtype of STD_ULOGIC_VECTOR. Thus there is no need for funcitons which
-- take inputs in STD_LOGIC_VECTOR.
-- For compatability with VHDL-2002, I have replicated all of these funcitons
-- here for STD_LOGIC_VECTOR.
-- new aliases
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector-reduction functions.
-- "and" functions default to "1", or defaults to "0"
-------------------------------------------------------------------
-----------------------------------------------------------------------------
-- %%% Replace the "_reduce" functions with the ones commented out below.
-----------------------------------------------------------------------------
-- function "and" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "and" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_ulogic_vector ) RETURN std_ulogic;
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
-------------------------------------------------------------------
-- ?= operators, same functionality as 1076.3 1994 std_match
-------------------------------------------------------------------
-- FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic;
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
-- "??" operator, converts a std_ulogic to a boolean.
--%%% Uncomment the following operators
-- FUNCTION "??" (S : STD_ULOGIC) RETURN BOOLEAN;
--%%% REMOVE the following funciton (for testing only)
function \??\ (S : STD_ULOGIC) return BOOLEAN;
-- rtl_synthesis off
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
-- explicitly defined operations
alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_LOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
-- rtl_synthesis on
function maximum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function maximum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function maximum (l, r : STD_ULOGIC) return STD_ULOGIC;
function minimum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function minimum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function minimum (l, r : STD_ULOGIC) return STD_ULOGIC;
end package std_logic_1164_additions;
package body std_logic_1164_additions is
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-----------------------------------------------------------------------------
-- New/updated funcitons for VHDL-200X fast track
-----------------------------------------------------------------------------
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- sll
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
-- srl
-------------------------------------------------------------------
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
-- rol
-------------------------------------------------------------------
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
-- ror
-------------------------------------------------------------------
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
-- vector-reduction functions
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return and_reduce (to_StdULogicVector (l));
end function and_reduce;
-------------------------------------------------------------------
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '1';
begin
for i in l'reverse_range loop
result := (l(i) and result);
end loop;
return result;
end function and_reduce;
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(to_StdULogicVector(l)));
end function nand_reduce;
-------------------------------------------------------------------
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(l));
end function nand_reduce;
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return or_reduce (to_StdULogicVector (l));
end function or_reduce;
-------------------------------------------------------------------
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) or result);
end loop;
return result;
end function or_reduce;
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(To_StdULogicVector(l)));
end function nor_reduce;
-------------------------------------------------------------------
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(l));
end function nor_reduce;
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return xor_reduce (to_StdULogicVector (l));
end function xor_reduce;
-------------------------------------------------------------------
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) xor result);
end loop;
return result;
end function xor_reduce;
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(To_StdULogicVector(l)));
end function xnor_reduce;
-------------------------------------------------------------------
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(l));
end function xnor_reduce;
-- %%% End "remove the following functions"
-- The following functions are implicity in 1076-2006
-- truth table for "?=" function
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx > rx then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% END FUNCTION "?>";
-- %%% FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx >= rx then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% END FUNCTION "?/>=";
-- %%% FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx < rx then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% END FUNCTION "?/<";
-- %%% FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx <= rx then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END FUNCTION "?/<=";
-- "??" operator, converts a std_ulogic to a boolean.
-- %%% FUNCTION "??"
function \??\ (S : STD_ULOGIC) return BOOLEAN is
begin
return S = '1' or S = 'H';
end function \??\;
-- %%% END FUNCTION "??";
-- rtl_synthesis off
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC;
GOOD : out BOOLEAN) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
good := false;
else
if char_to_MVL9plus(c) = error then
good := false;
else
VALUE := char_to_MVL9(c);
good := true;
end if;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := 0;
good := false;
while i < VALUE'length loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then -- reading done
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
report "STD_LOGIC_1164.READ(STD_ULOGIC) "
& "End of string encountered"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report
"STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
else
VALUE := char_to_MVL9(c);
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := 0;
while i < VALUE'length loop
if readOk = false then -- Bail out if there was a bad read
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write(l, MVL9_to_char(VALUE), justified, field);
end procedure WRITE;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_ULOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end procedure WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_LOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(L, s, justified, field);
end procedure WRITE;
-----------------------------------------------------------------------
-- Alias for bread and bwrite are provided with call out the read and
-- write functions.
-----------------------------------------------------------------------
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.HREAD Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
good := false;
end case;
end procedure Char2QuadBits;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- good := false;
-- return;
-- elsif c = '_' then
-- if i = 0 then
-- good := false; -- Begins with an "_"
-- return;
-- elsif lastu then
-- good := false; -- "__" detected
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
-- if not ok then
-- good := false;
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- good := false; -- vector was truncated.
-- else
-- good := true;
-- VALUE := sv (pad to sv'high);
-- end if;
-- else
-- good := true; -- Null input string, skips whitespace
-- end if;
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then -- non Null input string
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- report "STD_LOGIC_1164.HREAD "
-- & "End of string encountered"
-- severity error;
-- return;
-- end if;
-- if c = '_' then
-- if i = 0 then
-- report "STD_LOGIC_1164.HREAD "
-- & "String begins with an ""_""" severity error;
-- return;
-- elsif lastu then
-- report "STD_LOGIC_1164.HREAD "
-- & "Two underscores detected in input string ""__"""
-- severity error;
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, true);
-- if not ok then
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- report "STD_LOGIC_1164.HREAD Vector truncated"
-- severity error;
-- else
-- VALUE := sv (pad to sv'high);
-- end if;
-- end if;
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring (VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable c : CHARACTER;
variable ok : BOOLEAN;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
report "STD_LOGIC_1164.OREAD "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.OREAD "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.OREAD "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, true);
if not ok then
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
report "STD_LOGIC_1164.OREAD Vector truncated"
severity error;
else
VALUE := sv (pad to sv'high);
end if;
end if;
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue, GOOD => GOOD);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring(VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1);
begin
result (1) := MVL9_to_char (value);
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
end function to_string;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
-- rtl_synthesis on
function maximum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
end package body std_logic_1164_additions; |
------------------------------------------------------------------------------
-- "std_logic_1164_additions" package contains the additions to the standard
-- "std_logic_1164" package proposed by the VHDL-200X-ft working group.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- Last Modified: $Date: 2007-05-31 14:53:37-04 $
-- RCS ID: $Id: std_logic_1164_additions.vhdl,v 1.10 2007-05-31 14:53:37-04 l435385 Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package std_logic_1164_additions is
-- NOTE that in the new std_logic_1164, STD_LOGIC_VECTOR is a resolved
-- subtype of STD_ULOGIC_VECTOR. Thus there is no need for funcitons which
-- take inputs in STD_LOGIC_VECTOR.
-- For compatability with VHDL-2002, I have replicated all of these funcitons
-- here for STD_LOGIC_VECTOR.
-- new aliases
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector-reduction functions.
-- "and" functions default to "1", or defaults to "0"
-------------------------------------------------------------------
-----------------------------------------------------------------------------
-- %%% Replace the "_reduce" functions with the ones commented out below.
-----------------------------------------------------------------------------
-- function "and" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "and" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_ulogic_vector ) RETURN std_ulogic;
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
-------------------------------------------------------------------
-- ?= operators, same functionality as 1076.3 1994 std_match
-------------------------------------------------------------------
-- FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic;
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
-- "??" operator, converts a std_ulogic to a boolean.
--%%% Uncomment the following operators
-- FUNCTION "??" (S : STD_ULOGIC) RETURN BOOLEAN;
--%%% REMOVE the following funciton (for testing only)
function \??\ (S : STD_ULOGIC) return BOOLEAN;
-- rtl_synthesis off
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
-- explicitly defined operations
alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_LOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
-- rtl_synthesis on
function maximum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function maximum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function maximum (l, r : STD_ULOGIC) return STD_ULOGIC;
function minimum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function minimum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function minimum (l, r : STD_ULOGIC) return STD_ULOGIC;
end package std_logic_1164_additions;
package body std_logic_1164_additions is
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-----------------------------------------------------------------------------
-- New/updated funcitons for VHDL-200X fast track
-----------------------------------------------------------------------------
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- sll
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
-- srl
-------------------------------------------------------------------
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
-- rol
-------------------------------------------------------------------
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
-- ror
-------------------------------------------------------------------
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
-- vector-reduction functions
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return and_reduce (to_StdULogicVector (l));
end function and_reduce;
-------------------------------------------------------------------
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '1';
begin
for i in l'reverse_range loop
result := (l(i) and result);
end loop;
return result;
end function and_reduce;
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(to_StdULogicVector(l)));
end function nand_reduce;
-------------------------------------------------------------------
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(l));
end function nand_reduce;
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return or_reduce (to_StdULogicVector (l));
end function or_reduce;
-------------------------------------------------------------------
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) or result);
end loop;
return result;
end function or_reduce;
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(To_StdULogicVector(l)));
end function nor_reduce;
-------------------------------------------------------------------
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(l));
end function nor_reduce;
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return xor_reduce (to_StdULogicVector (l));
end function xor_reduce;
-------------------------------------------------------------------
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) xor result);
end loop;
return result;
end function xor_reduce;
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(To_StdULogicVector(l)));
end function xnor_reduce;
-------------------------------------------------------------------
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(l));
end function xnor_reduce;
-- %%% End "remove the following functions"
-- The following functions are implicity in 1076-2006
-- truth table for "?=" function
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx > rx then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% END FUNCTION "?>";
-- %%% FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx >= rx then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% END FUNCTION "?/>=";
-- %%% FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx < rx then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% END FUNCTION "?/<";
-- %%% FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx <= rx then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END FUNCTION "?/<=";
-- "??" operator, converts a std_ulogic to a boolean.
-- %%% FUNCTION "??"
function \??\ (S : STD_ULOGIC) return BOOLEAN is
begin
return S = '1' or S = 'H';
end function \??\;
-- %%% END FUNCTION "??";
-- rtl_synthesis off
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC;
GOOD : out BOOLEAN) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
good := false;
else
if char_to_MVL9plus(c) = error then
good := false;
else
VALUE := char_to_MVL9(c);
good := true;
end if;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := 0;
good := false;
while i < VALUE'length loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then -- reading done
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
report "STD_LOGIC_1164.READ(STD_ULOGIC) "
& "End of string encountered"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report
"STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
else
VALUE := char_to_MVL9(c);
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := 0;
while i < VALUE'length loop
if readOk = false then -- Bail out if there was a bad read
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write(l, MVL9_to_char(VALUE), justified, field);
end procedure WRITE;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_ULOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end procedure WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_LOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(L, s, justified, field);
end procedure WRITE;
-----------------------------------------------------------------------
-- Alias for bread and bwrite are provided with call out the read and
-- write functions.
-----------------------------------------------------------------------
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.HREAD Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
good := false;
end case;
end procedure Char2QuadBits;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- good := false;
-- return;
-- elsif c = '_' then
-- if i = 0 then
-- good := false; -- Begins with an "_"
-- return;
-- elsif lastu then
-- good := false; -- "__" detected
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
-- if not ok then
-- good := false;
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- good := false; -- vector was truncated.
-- else
-- good := true;
-- VALUE := sv (pad to sv'high);
-- end if;
-- else
-- good := true; -- Null input string, skips whitespace
-- end if;
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then -- non Null input string
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- report "STD_LOGIC_1164.HREAD "
-- & "End of string encountered"
-- severity error;
-- return;
-- end if;
-- if c = '_' then
-- if i = 0 then
-- report "STD_LOGIC_1164.HREAD "
-- & "String begins with an ""_""" severity error;
-- return;
-- elsif lastu then
-- report "STD_LOGIC_1164.HREAD "
-- & "Two underscores detected in input string ""__"""
-- severity error;
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, true);
-- if not ok then
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- report "STD_LOGIC_1164.HREAD Vector truncated"
-- severity error;
-- else
-- VALUE := sv (pad to sv'high);
-- end if;
-- end if;
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring (VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable c : CHARACTER;
variable ok : BOOLEAN;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
report "STD_LOGIC_1164.OREAD "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.OREAD "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.OREAD "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, true);
if not ok then
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
report "STD_LOGIC_1164.OREAD Vector truncated"
severity error;
else
VALUE := sv (pad to sv'high);
end if;
end if;
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue, GOOD => GOOD);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring(VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1);
begin
result (1) := MVL9_to_char (value);
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
end function to_string;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
-- rtl_synthesis on
function maximum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
end package body std_logic_1164_additions; |
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 10:17:19 05/28/2011
-- Design Name:
-- Module Name: /home/xiadz/prog/fpga/oscilloscope/test_trace_pixgen.vhd
-- Project Name: oscilloscope
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: trace_pixgen
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.types.all;
ENTITY test_trace_pixgen IS
END test_trace_pixgen;
ARCHITECTURE behavior OF test_trace_pixgen IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT trace_pixgen
PORT(
nrst : IN std_logic;
clk108 : IN std_logic;
segment : IN integer range 0 to 15;
segment_change : IN std_logic;
subsegment : IN integer range 0 to 3;
subsegment_change : IN std_logic;
line : IN integer range 0 to 15;
line_change : IN std_logic;
column : IN integer range 0 to 1279;
column_change : IN std_logic;
page_change : IN std_logic;
active_pixgen_source : IN PIXGEN_SOURCE_T;
doutb : IN std_logic_vector(8 downto 0);
addrb : OUT std_logic_vector(12 downto 0);
vout : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal nrst : std_logic := '0';
signal clk108 : std_logic := '0';
signal segment : integer range 0 to 15 := 0;
signal segment_change : std_logic := '0';
signal subsegment : integer range 0 to 3 := 0;
signal subsegment_change : std_logic := '0';
signal line : integer range 0 to 15 := 0;
signal line_change : std_logic := '0';
signal column : integer range 0 to 1279 := 0;
signal column_change : std_logic := '0';
signal page_change : std_logic := '0';
signal active_pixgen_source : PIXGEN_SOURCE_T := TRACE_PIXGEN_T;
signal doutb : std_logic_vector(8 downto 0) := (others => '0');
--Outputs
signal addrb : std_logic_vector(12 downto 0);
signal vout : std_logic_vector(7 downto 0);
-- Clock period definitions
constant clk108_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: trace_pixgen PORT MAP (
nrst => nrst,
clk108 => clk108,
segment => segment,
segment_change => segment_change,
subsegment => subsegment,
subsegment_change => subsegment_change,
line => line,
line_change => line_change,
column => column,
column_change => column_change,
page_change => page_change,
active_pixgen_source => active_pixgen_source,
doutb => doutb,
addrb => addrb,
vout => vout
);
-- Clock process definitions
clk108_process :process
begin
clk108 <= '0';
wait for clk108_period/2;
clk108 <= '1';
wait for clk108_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
nrst <= '0';
wait for 100 ns;
nrst <= '1';
wait for clk108_period*10;
while true loop
for x_segment in 0 to 15 loop
for x_subsegment in 0 to 3 loop
for x_line in 0 to 15 loop
if x_segment = 14 or x_segment = 15 then
active_pixgen_source <= SETTINGS_PIXGEN_T;
else
if x_subsegment = 3 then
active_pixgen_source <= TIME_BASE_PIXGEN_T;
else
active_pixgen_source <= TRACE_PIXGEN_T;
end if;
end if;
for x_column in 0 to 1279 loop
column_change <= '1';
segment <= x_segment;
subsegment <= x_subsegment;
line <= x_line;
column <= x_column;
if x_column = 0 then
line_change <= '1';
if x_line = 0 then
subsegment_change <= '1';
if x_subsegment = 0 then
segment_change <= '1';
if x_segment = 0 then
page_change <= '1';
else
page_change <= '0';
end if;
else
segment_change <= '0';
page_change <= '0';
end if;
else
subsegment_change <= '0';
segment_change <= '0';
page_change <= '0';
end if;
else
line_change <= '0';
subsegment_change <= '0';
segment_change <= '0';
page_change <= '0';
end if;
wait for clk108_period;
end loop;
column_change <= '0';
active_pixgen_source <= BLANK_PIXGEN_T;
wait for clk108_period * 1000;
end loop;
end loop;
end loop;
wait for clk108_period * 10000;
end loop;
wait;
end process;
END;
|
-- Accellera Standard V2.3 Open Verification Library (OVL).
-- Accellera Copyright (c) 2008. All rights reserved.
library ieee;
use ieee.std_logic_1164.all;
use work.std_ovl.all;
use work.std_ovl_procs.all;
architecture rtl of ovl_always is
constant assert_name : string := "OVL_ALWAYS";
constant path : string := rtl'path_name;
signal reset_n : std_logic;
signal clk : std_logic;
signal fatal_sig : std_logic;
signal test_expr_x01 : std_logic;
shared variable error_count : natural;
begin
test_expr_x01 <= to_x01(test_expr);
------------------------------------------------------------------------------
-- Gating logic --
------------------------------------------------------------------------------
reset_gating : entity work.std_ovl_reset_gating
generic map
(reset_polarity => reset_polarity, gating_type => gating_type, controls => controls)
port map
(reset => reset, enable => enable, reset_n => reset_n);
clock_gating : entity work.std_ovl_clock_gating
generic map
(clock_edge => clock_edge, gating_type => gating_type, controls => controls)
port map
(clock => clock, enable => enable, clk => clk);
------------------------------------------------------------------------------
-- Initialization message --
------------------------------------------------------------------------------
ovl_init_msg_gen : if (controls.init_msg_ctrl = OVL_ON) generate
ovl_init_msg_proc(severity_level, property_type, assert_name, msg, path, controls);
end generate ovl_init_msg_gen;
------------------------------------------------------------------------------
-- Assertion - 2-STATE --
------------------------------------------------------------------------------
ovl_assert_on_gen : if (ovl_2state_is_on(controls, property_type)) generate
ovl_assert_p : process (clk)
begin
if (rising_edge(clk)) then
fatal_sig <= 'Z';
if (reset_n = '0') then
fire(0) <= '0';
elsif (test_expr_x01 = '0') then
fire(0) <= '1';
ovl_error_proc("Test expression is FALSE", severity_level, property_type,
assert_name, msg, path, controls, fatal_sig, error_count);
else
fire(0) <= '0';
end if;
end if;
end process ovl_assert_p;
ovl_finish_proc(assert_name, path, controls.runtime_after_fatal, fatal_sig);
end generate ovl_assert_on_gen;
ovl_assert_off_gen : if (not ovl_2state_is_on(controls, property_type)) generate
fire(0) <= '0';
end generate ovl_assert_off_gen;
------------------------------------------------------------------------------
-- Assertion - X-CHECK --
------------------------------------------------------------------------------
ovl_xcheck_on_gen : if (ovl_xcheck_is_on(controls, property_type, OVL_IMPLICIT_XCHECK)) generate
ovl_xcheck_p : process (clk)
begin
if (rising_edge(clk)) then
fatal_sig <= 'Z';
if (reset_n = '0') then
fire(1) <= '0';
elsif (ovl_is_x(test_expr_x01)) then
fire(1) <= '1';
ovl_error_proc("test_expr contains X, Z, U, W or -", severity_level, property_type,
assert_name, msg, path, controls, fatal_sig, error_count);
else
fire(1) <= '0';
end if;
end if;
end process ovl_xcheck_p;
end generate ovl_xcheck_on_gen;
ovl_xcheck_off_gen : if (not ovl_xcheck_is_on(controls, property_type, OVL_IMPLICIT_XCHECK)) generate
fire(1) <= '0';
end generate ovl_xcheck_off_gen;
------------------------------------------------------------------------------
-- Coverage --
------------------------------------------------------------------------------
-- No coverage for this checker.
fire(2) <= '0';
end architecture rtl;
|
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used
USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions
ENTITY d_latch IS
PORT(d, clk: IN STD_LOGIC;
q: OUT STD_LOGIC);
END d_latch;
ARCHITECTURE behave OF d_latch IS
BEGIN
PROCESS(clk, d)
BEGIN
IF (clk='1') THEN
q<=d;
END IF;
END PROCESS;
END behave; |
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity spaceship is
port(
clk, not_reset: in std_logic;
px_x, px_y: in std_logic_vector(9 downto 0);
nes_left, nes_right: std_logic;
spaceship_x, spaceship_y: out std_logic_vector(9 downto 0);
rgb_pixel: out std_logic_vector(0 to 2)
);
end spaceship;
architecture behaviour of spaceship is
constant SCREEN_W: integer := 640;
constant SCREEN_H: integer := 480;
-- how far down the spaceship will be
constant OFFSET: integer := 416;
-- size of the spaceship frame (32x32)
constant SIZE: integer := 32;
-- address is made of row and column adresses
-- addr <= (row_address & col_address);
signal addr: std_logic_vector(9 downto 0);
signal row_address, col_address: std_logic_vector(4 downto 0);
signal output_enable: std_logic;
signal spaceship_rgb: std_logic_vector(2 downto 0);
-- x-coordinate of the spaceship
signal position, position_next: std_logic_vector(9 downto 0);
begin
process(clk, not_reset)
begin
if not_reset = '0' then
position <= conv_std_logic_vector(304, 10);
elsif falling_edge(clk) then
position <= position_next;
end if;
end process;
position_next <= position + 1 when (nes_right = '1' and
position + SIZE < SCREEN_W) else
position - 1 when (nes_left = '1' and
position > 0) else
position;
row_address <= px_y(4 downto 0) - OFFSET;
col_address <= px_x(4 downto 0) - position(4 downto 0);
addr <= row_address & col_address;
output_enable <= '1' when (px_x >= position and
px_x < position + SIZE and
px_y >= OFFSET and
px_y < OFFSET + SIZE) else
'0';
rgb_pixel <= spaceship_rgb when output_enable = '1' else
(others => '0');
-- +13 gives the center coordinate
-- this is used in missile.vhd
spaceship_x <= position + 13;
spaceship_y <= conv_std_logic_vector(OFFSET, 10);
spaceship_rom:
entity work.spaceship_rom(content)
port map(addr => addr, data => spaceship_rgb);
end behaviour;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity spaceship is
port(
clk, not_reset: in std_logic;
px_x, px_y: in std_logic_vector(9 downto 0);
nes_left, nes_right: std_logic;
spaceship_x, spaceship_y: out std_logic_vector(9 downto 0);
rgb_pixel: out std_logic_vector(0 to 2)
);
end spaceship;
architecture behaviour of spaceship is
constant SCREEN_W: integer := 640;
constant SCREEN_H: integer := 480;
-- how far down the spaceship will be
constant OFFSET: integer := 416;
-- size of the spaceship frame (32x32)
constant SIZE: integer := 32;
-- address is made of row and column adresses
-- addr <= (row_address & col_address);
signal addr: std_logic_vector(9 downto 0);
signal row_address, col_address: std_logic_vector(4 downto 0);
signal output_enable: std_logic;
signal spaceship_rgb: std_logic_vector(2 downto 0);
-- x-coordinate of the spaceship
signal position, position_next: std_logic_vector(9 downto 0);
begin
process(clk, not_reset)
begin
if not_reset = '0' then
position <= conv_std_logic_vector(304, 10);
elsif falling_edge(clk) then
position <= position_next;
end if;
end process;
position_next <= position + 1 when (nes_right = '1' and
position + SIZE < SCREEN_W) else
position - 1 when (nes_left = '1' and
position > 0) else
position;
row_address <= px_y(4 downto 0) - OFFSET;
col_address <= px_x(4 downto 0) - position(4 downto 0);
addr <= row_address & col_address;
output_enable <= '1' when (px_x >= position and
px_x < position + SIZE and
px_y >= OFFSET and
px_y < OFFSET + SIZE) else
'0';
rgb_pixel <= spaceship_rgb when output_enable = '1' else
(others => '0');
-- +13 gives the center coordinate
-- this is used in missile.vhd
spaceship_x <= position + 13;
spaceship_y <= conv_std_logic_vector(OFFSET, 10);
spaceship_rom:
entity work.spaceship_rom(content)
port map(addr => addr, data => spaceship_rgb);
end behaviour;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
library work;
use work.Display_Management_pkg.all;
entity Translator_XY_to_Video_Memory is --=====================================================================
generic(
enable_debug : boolean := true;
resolution : string := "1920x1080@60Hz"
);
port(
------globally routed signals-------
Reset_n : in std_logic;
--=========== Inputs ==============
-- Memoyr for write pixel colors
-- *Clock Port A
clk_write_drawing_mem_in : in std_logic;
-- *Addresse of writing (Port A)
pixel_x : in std_logic_vector(8 downto 0);
pixel_y : in std_logic_vector(8 downto 0);
-- *Data to write in Port A
en_write_drawing_mem_in : in std_logic; -- Enable Write, Port A
data_in_write_drawing_mem_in : in std_logic_vector(11 downto 0);
--=========== Outputs ==============
-- Memoyr for write pixel colors
clk_write_drawing_mem_out : out std_logic; -- Clock Port A
en_drawing_mem : out std_logic; -- Enable Port A
en_write_drawing_mem_out : out std_logic_vector(0 downto 0); -- Enable Write Port A
addr_write_drawing_mem : out std_logic_vector(16 downto 0); -- Addresse of writing Port A
data_in_write_drawing_mem_out : out std_logic_vector(11 downto 0) -- Data to write in Port A
);
end Translator_XY_to_Video_Memory;
architecture arch_Translator_XY_to_Video_Memory of Translator_XY_to_Video_Memory is --====================================
------------ General Parameters ----------------
constant format : format_type := init_video_type(enable_debug, resolution);
constant timing : timing_type := init_timing_type(format);
constant end_zone_tools : natural := init_constant(enable_debug, 44 , 44);
constant end_zone_drawing : natural := init_constant(enable_debug, 225 , 225);
signal data_in_write_drawing_mem_in_i : std_logic_vector(11 downto 0);
signal en_write_drawing_mem_in_i : std_logic;
--Pipeline
signal pixel_x_i : std_logic_vector(8 downto 0);
signal pixel_y_i : std_logic_vector(8 downto 0);
--Natural
signal pixel_x_nat : natural;
signal pixel_y_nat : natural;
signal pixel_x_i_nat : natural;
signal pixel_y_i_nat : natural;
begin --==========================================================================================
--Wrapping
en_drawing_mem <= '1';
clk_write_drawing_mem_out <= clk_write_drawing_mem_in;
--Save previous values
process (clk_write_drawing_mem_in) is
begin
if rising_edge(clk_write_drawing_mem_in) then
if (Reset_n = '0') then
--visible_pixel.x <= to_unsigned(0, nbits_pixel); --Need for force the first sync signal and for not lose the first frame
pixel_x_i <= (others => '0');
pixel_y_i <= (others => '0');
data_in_write_drawing_mem_in_i <= (others => '0');
en_write_drawing_mem_in_i <= '0';
else
pixel_x_i <= pixel_x;
pixel_y_i <= pixel_y;
data_in_write_drawing_mem_in_i <= data_in_write_drawing_mem_in;
en_write_drawing_mem_in_i <= en_write_drawing_mem_in;
end if;
end if;
end process;
--Shortcut for writing
pixel_x_nat <= to_integer(unsigned(pixel_x));
pixel_y_nat <= to_integer(unsigned(pixel_y));
pixel_x_i_nat <= to_integer(unsigned(pixel_x_i));
pixel_y_i_nat <= to_integer(unsigned(pixel_y_i));
-- Enable the memory if an event on bus is occured and translate the (X,Y) to drawing memory address
process (clk_write_drawing_mem_in) is
begin
if rising_edge(clk_write_drawing_mem_in) then
if (Reset_n = '0') then
en_write_drawing_mem_out <= (others => '0');
addr_write_drawing_mem <= (others => '0');
data_in_write_drawing_mem_out <= (others => '0');
-- Drawing zone
elsif (pixel_y_nat > end_zone_tools) and (pixel_y_nat < end_zone_drawing) then
-- Check if an event on mouse or data are occured
if (pixel_x_i_nat /= pixel_x_nat) or (pixel_y_i_nat /= pixel_y_nat) or (data_in_write_drawing_mem_in_i /= data_in_write_drawing_mem_in) then
en_write_drawing_mem_out <= (others => en_write_drawing_mem_in);
addr_write_drawing_mem <= std_logic_vector( to_unsigned(((pixel_x_nat) + pixel_y_nat*399 - 45*399), addr_write_drawing_mem'length) );
data_in_write_drawing_mem_out <= data_in_write_drawing_mem_in;
else
en_write_drawing_mem_out <= (others => '0');
addr_write_drawing_mem <= (others => '0');
data_in_write_drawing_mem_out <= (others => '0');
end if;
-- Otherwise disable memory write
else
en_write_drawing_mem_out <= (others => '0');
addr_write_drawing_mem <= (others => '0'); -- TBC : no obligation but convenient to debug
data_in_write_drawing_mem_out <= (others => '0');
end if;
end if;
end process;
end arch_Translator_XY_to_Video_Memory;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: dsu
-- File: dsu.vhd
-- Author: Jiri Gaisler, Edvin Catovic - Gaisler Research
-- Description: Combined LEON3 debug support and AHB trace unit
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.leon3.all;
library techmap;
use techmap.gencomp.all;
entity dsu3 is
generic (
hindex : integer := 0;
haddr : integer := 16#900#;
hmask : integer := 16#f00#;
ncpu : integer := 1;
tbits : integer := 30; -- timer bits (instruction trace time tag)
tech : integer := DEFMEMTECH;
irq : integer := 0;
kbytes : integer := 0;
testen : integer := 0;
bwidth : integer := 32;
ahbpf : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
dbgi : in l3_debug_out_vector(0 to NCPU-1);
dbgo : out l3_debug_in_vector(0 to NCPU-1);
dsui : in dsu_in_type;
dsuo : out dsu_out_type
);
end;
architecture rtl of dsu3 is
signal gnd, vcc : std_ulogic;
begin
gnd <= '0'; vcc <= '1';
x0 : dsu3x generic map (hindex, haddr, hmask, ncpu, tbits, tech, irq, kbytes, 0, testen, bwidth, ahbpf)
port map (rst, gnd, clk, ahbmi, ahbsi, ahbso, ahbsi, dbgi, dbgo, dsui, dsuo, vcc
);
end;
|
entity cannot_return_safety_check_pkg is
end entity;
architecture test of cannot_return_safety_check_pkg is
type record_t is record
element : natural;
end record;
type record_returner_t is protected
impure function return_record return record_t;
end protected;
type record_returner_t is protected body
variable rec : record_t;
impure function return_record return record_t is
begin
return rec; -- Error here
end;
end protected body;
begin
end architecture;
|
entity cannot_return_safety_check_pkg is
end entity;
architecture test of cannot_return_safety_check_pkg is
type record_t is record
element : natural;
end record;
type record_returner_t is protected
impure function return_record return record_t;
end protected;
type record_returner_t is protected body
variable rec : record_t;
impure function return_record return record_t is
begin
return rec; -- Error here
end;
end protected body;
begin
end architecture;
|
entity cannot_return_safety_check_pkg is
end entity;
architecture test of cannot_return_safety_check_pkg is
type record_t is record
element : natural;
end record;
type record_returner_t is protected
impure function return_record return record_t;
end protected;
type record_returner_t is protected body
variable rec : record_t;
impure function return_record return record_t is
begin
return rec; -- Error here
end;
end protected body;
begin
end architecture;
|
entity cannot_return_safety_check_pkg is
end entity;
architecture test of cannot_return_safety_check_pkg is
type record_t is record
element : natural;
end record;
type record_returner_t is protected
impure function return_record return record_t;
end protected;
type record_returner_t is protected body
variable rec : record_t;
impure function return_record return record_t is
begin
return rec; -- Error here
end;
end protected body;
begin
end architecture;
|
entity cannot_return_safety_check_pkg is
end entity;
architecture test of cannot_return_safety_check_pkg is
type record_t is record
element : natural;
end record;
type record_returner_t is protected
impure function return_record return record_t;
end protected;
type record_returner_t is protected body
variable rec : record_t;
impure function return_record return record_t is
begin
return rec; -- Error here
end;
end protected body;
begin
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity repro is
port (val : std_logic_vector (63 downto 0);
iperm : std_logic_vector (3*8 - 1 downto 0);
en : std_ulogic;
res : out std_logic_vector (63 downto 0));
end entity repro;
architecture behaviour of repro is
type arecordtype is record
valid : std_ulogic;
write_data : std_ulogic_vector(63 downto 0);
end record;
signal a : arecordtype;
subtype byte_index_t is unsigned(2 downto 0);
type permutation_t is array(0 to 7) of byte_index_t;
signal perm : permutation_t := (others => "000");
begin
writeback_1: process(all)
variable j : integer;
begin
for i in 0 to 7 loop
j := to_integer(perm(i)) * 8;
res(i * 8 + 7 downto i * 8) <= a.write_data(j + 7 downto j);
end loop;
end process;
a.valid <= en;
a.write_data <= val;
process (iperm) is
begin
for i in 0 to 7 loop
perm (i) <= unsigned (iperm (i*3 + 2 downto i*3));
end loop;
end process;
end;
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00314
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 7.2.3 (1)
-- 7.2.3 (2)
-- 7.2.3 (8)
-- 7.2.3 (9)
-- 7.2.3 (10)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00314(ARCH00314)
-- ENT00314_Test_Bench(ARCH00314_Test_Bench)
--
-- REVISION HISTORY:
--
-- 29-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
use WORK.STANDARD_TYPES ;
use WORK.ARITHMETIC.ALL ;
entity ENT00314 is
generic (
i_int_1 : integer := c_int_1 ;
i_int_2 : integer := c_int_2 ;
i_t_int_1 : t_int := c_t_int_1 ;
i_t_int_2 : t_int := c_t_int_2 ;
i_st_int_1 : st_int := c_st_int_1 ;
i_st_int_2 : st_int := c_st_int_2
) ;
port ( locally_static_correct : out boolean ;
globally_static_correct : out boolean ;
dynamic_correct : out boolean ) ;
end ENT00314 ;
architecture ARCH00314 of ENT00314 is
begin
process
variable bool : boolean := true ;
variable cons_correct, gen_correct, dyn_correct : boolean := true ;
--
variable v_int_1, v2_int_1 : integer := c_int_1 ;
variable v_int_2, v2_int_2 : integer := c_int_2 ;
variable v_t_int_1, v2_t_int_1 : t_int := c_t_int_1 ;
variable v_t_int_2, v2_t_int_2 : t_int := c_t_int_2 ;
variable v_st_int_1, v2_st_int_1 : st_int := c_st_int_1 ;
variable v_st_int_2, v2_st_int_2 : st_int := c_st_int_2 ;
--
constant c2_int_1 : integer :=
(((+i_int_1) + (-i_int_2)) + ((-i_int_1) + (-i_int_2)))
-
(((+i_int_1) - (-c_int_2)) - ((-c_int_2) - (-i_int_1))) - 28 ;
constant c2_t_int_1 : t_int :=
(((+i_t_int_1) + (-i_t_int_2)) + ((-i_t_int_1) + (-i_t_int_2)))
-
(((+i_t_int_1) - (-c_t_int_2)) - ((-c_t_int_2) - (-i_t_int_1)))
- 5 ;
constant c2_st_int_1 : st_int :=
-(((+i_st_int_1) + (-i_t_int_2)) + ((-i_st_int_1) + (-i_st_int_2)))
-
(((+i_st_int_1) - (-c_st_int_2)) - ((-c_t_int_2) - (-i_st_int_1)))
- 5 ;
begin
--
-- static expression
--
case bool is
when ( (((+c_int_1) + (-c_int_2)) + ((-c_int_1) + (-c_int_2)))
-
(((+c_int_1) - (-c_int_2)) - ((-c_int_2) - (-c_int_1))) - 28
= ans_int1 and
(((+c_t_int_1) + (-c_t_int_2)) + ((-c_t_int_1) + (-c_t_int_2)))
-
(((+c_t_int_1) - (-c_t_int_2)) - ((-c_t_int_2) - (-c_t_int_1)))
- 5
= ans_int2 and
-(((+c_st_int_1) + (-c_t_int_2)) + ((-c_st_int_1) + (-c_st_int_2)))
-
(((+c_st_int_1) - (-c_st_int_2)) - ((-c_t_int_2) - (-c_st_int_1)))
- 5
= ans_int3
) =>
null ;
when others =>
cons_correct := false ;
end case ;
--
-- generic expression
--
gen_correct := c2_int_1 = ans_int1 and
c2_t_int_1 = ans_int2 and
c2_st_int_1= ans_int3 ;
--
-- dynamic expression
--
v_int_1 :=
(((+v2_int_1) + (-v2_int_2)) + ((-v2_int_1) + (-v2_int_2)))
-
(((+v2_int_1) - (-c_int_2)) - ((-c_int_2) - (-v2_int_1))) - 28 ;
v_t_int_1 :=
(((+v2_t_int_1) + (-v2_t_int_2)) + ((-v2_t_int_1) + (-v2_t_int_2)))
-
(((+v2_t_int_1) - (-i_t_int_2)) - ((-c_t_int_2) - (-v2_t_int_1)))
- 5 ;
v_st_int_1 :=
-(((+v2_st_int_1) + (-v2_t_int_2)) + ((-v2_st_int_1) + (-v2_st_int_2)))
-
(((+v2_st_int_1) - (-c_st_int_2)) - ((-i_t_int_2) - (-v2_st_int_1)))
- 5 ;
dyn_correct := true ;
dyn_correct := v_int_1 = ans_int1 and
v_t_int_1 = ans_int2 and
v_st_int_1 = ans_int3 ;
locally_static_correct <= cons_correct ;
globally_static_correct <= gen_correct ;
dynamic_correct <= dyn_correct ;
wait ;
end process ;
end ARCH00314 ;
use WORK.STANDARD_TYPES.all ;
entity ENT00314_Test_Bench is
end ENT00314_Test_Bench ;
architecture ARCH00314_Test_Bench of ENT00314_Test_Bench is
begin
L1:
block
signal locally_static_correct, globally_static_correct,
dynamic_correct : boolean := false ;
component UUT
port ( locally_static_correct : out boolean ;
globally_static_correct : out boolean ;
dynamic_correct : out boolean ) ;
end component ;
for CIS1 : UUT use entity WORK.ENT00314 ( ARCH00314 ) ;
begin
CIS1 : UUT
port map ( locally_static_correct,
globally_static_correct,
dynamic_correct ) ;
process ( locally_static_correct, globally_static_correct,
dynamic_correct )
begin
if locally_static_correct and globally_static_correct and
dynamic_correct then
WORK.STANDARD_TYPES.test_report ( "ARCH00314" ,
"+ and - unary and binary operators are correctly"
& " predefined for integer types" ,
true ) ;
end if ;
end process ;
end block L1 ;
end ARCH00314_Test_Bench ;
|
-------------------------------------------------------------------------------
--
-- Project: <Floating Point Unit Core>
--
-- Description: post-normalization entity for the multiplication unit
-------------------------------------------------------------------------------
--
-- 100101011010011100100
-- 110000111011100100000
-- 100000111011000101101
-- 100010111100101111001
-- 110000111011101101001
-- 010000001011101001010
-- 110100111001001100001
-- 110111010000001100111
-- 110110111110001011101
-- 101110110010111101000
-- 100000010111000000000
--
-- Author: Jidan Al-eryani
-- E-mail: [email protected]
--
-- Copyright (C) 2006
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
-- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
library ieee ;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
library work;
use work.fpupack.all;
entity post_norm_mul is
port(
clk_i : in std_logic;
opa_i : in std_logic_vector(FP_WIDTH-1 downto 0);
opb_i : in std_logic_vector(FP_WIDTH-1 downto 0);
exp_10_i : in std_logic_vector(EXP_WIDTH+1 downto 0);
fract_48_i : in std_logic_vector(2*FRAC_WIDTH+1 downto 0); -- hidden(1) & fraction(23)
sign_i : in std_logic;
rmode_i : in std_logic_vector(1 downto 0);
output_o : out std_logic_vector(FP_WIDTH-1 downto 0);
ine_o : out std_logic
);
end post_norm_mul;
architecture rtl of post_norm_mul is
signal s_expa, s_expb : std_logic_vector(EXP_WIDTH-1 downto 0);
signal s_exp_10_i : std_logic_vector(EXP_WIDTH+1 downto 0);
signal s_fract_48_i : std_logic_vector(2*FRAC_WIDTH+1 downto 0);
signal s_sign_i : std_logic;
signal s_output_o : std_logic_vector(FP_WIDTH-1 downto 0);
signal s_ine_o, s_overflow : std_logic;
signal s_opa_i, s_opb_i : std_logic_vector(FP_WIDTH-1 downto 0);
signal s_rmode_i : std_logic_vector(1 downto 0);
signal s_zeros : std_logic_vector(5 downto 0);
signal s_carry : std_logic;
signal s_shr2, s_shl2 : std_logic_vector(5 downto 0);
signal s_expo1, s_expo2b : std_logic_vector(8 downto 0);
signal s_exp_10a, s_exp_10b : std_logic_vector(9 downto 0);
signal s_frac2a : std_logic_vector(47 downto 0);
signal s_sticky, s_guard, s_round : std_logic;
signal s_roundup : std_logic;
signal s_frac_rnd, s_frac3 : std_logic_vector(24 downto 0);
signal s_shr3 : std_logic;
signal s_r_zeros : std_logic_vector(5 downto 0);
signal s_lost : std_logic;
signal s_op_0 : std_logic;
signal s_expo3 : std_logic_vector(8 downto 0);
signal s_infa, s_infb : std_logic;
signal s_nan_in, s_nan_op, s_nan_a, s_nan_b : std_logic;
begin
-- Input Register
process(clk_i)
begin
if rising_edge(clk_i) then
s_opa_i <= opa_i;
s_opb_i <= opb_i;
s_expa <= opa_i(30 downto 23);
s_expb <= opb_i(30 downto 23);
s_exp_10_i <= exp_10_i;
s_fract_48_i <= fract_48_i;
s_sign_i <= sign_i;
s_rmode_i <= rmode_i;
end if;
end process;
-- Output Register
process(clk_i)
begin
if rising_edge(clk_i) then
output_o <= s_output_o;
ine_o <= s_ine_o;
end if;
end process;
--*** Stage 1 ****
-- figure out the exponent and howmuch the fraction has to be shiftd right/left
s_carry <= s_fract_48_i(47);
process(clk_i)
begin
if rising_edge(clk_i) then
if s_fract_48_i(47)='0' then
s_zeros <= count_l_zeros(s_fract_48_i(46 downto 1));
else
s_zeros <= "000000";
end if;
s_r_zeros <= count_r_zeros(s_fract_48_i);
end if;
end process;
s_exp_10a <= s_exp_10_i + ("000000000"&s_carry);
s_exp_10b <= s_exp_10a - ("0000"&s_zeros);
process(clk_i)
variable v_shr1, v_shl1 : std_logic_vector(9 downto 0);
begin
if rising_edge(clk_i) then
if s_exp_10a(9)='1' or s_exp_10a="0000000000" then
v_shr1 := "0000000001" - s_exp_10a + ("000000000"&s_carry);
v_shl1 := (others =>'0');
s_expo1 <= "000000001";
else
if s_exp_10b(9)='1' or s_exp_10b="0000000000" then
v_shr1 := (others =>'0');
v_shl1 := ("0000"&s_zeros) - s_exp_10a;
s_expo1 <= "000000001";
elsif s_exp_10b(8)='1' then
v_shr1 := (others =>'0');
v_shl1 := (others =>'0');
s_expo1 <= "011111111";
else
v_shr1 := ("000000000"&s_carry);
v_shl1 := ("0000"&s_zeros);
s_expo1 <= s_exp_10b(8 downto 0);
end if;
end if;
if v_shr1(6)='1' then --"110000" = 48; maximal shift-right postions
s_shr2 <= "111111";
else
s_shr2 <= v_shr1(5 downto 0);
end if;
s_shl2 <= v_shl1(5 downto 0);
end if;
end process;
-- *** Stage 2 ***
-- Shifting the fraction and rounding
-- shift the fraction
process(clk_i)
begin
if rising_edge(clk_i) then
if s_shr2 /= "000000" then
s_frac2a <= shr(s_fract_48_i, s_shr2);
else
s_frac2a <= shl(s_fract_48_i, s_shl2);
end if;
end if;
end process;
s_expo2b <= s_expo1 - "000000001" when s_frac2a(46)='0' else s_expo1;
-- signals if precision was last during the right-shift above
s_lost <= '1' when (s_shr2+("00000"&s_shr3)) > s_r_zeros else '0';
-- ***Stage 3***
-- Rounding
-- 23
-- |
-- xx00000000000000000000000grsxxxxxxxxxxxxxxxxxxxx
-- guard bit: s_frac2a(23) (LSB of output)
-- round bit: s_frac2a(22)
s_guard <= s_frac2a(22);
s_round <= s_frac2a(21);
s_sticky <= or_reduce(s_frac2a(20 downto 0)) or s_lost;
s_roundup <= s_guard and ((s_round or s_sticky)or s_frac2a(23)) when s_rmode_i="00" else -- round to nearset even
( s_guard or s_round or s_sticky) and (not s_sign_i) when s_rmode_i="10" else -- round up
( s_guard or s_round or s_sticky) and (s_sign_i) when s_rmode_i="11" else -- round down
'0'; -- round to zero(truncate = no rounding)
process(clk_i)
begin
if rising_edge(clk_i) then
if s_roundup='1' then
s_frac_rnd <= (s_frac2a(47 downto 23)) + "1";
else
s_frac_rnd <= (s_frac2a(47 downto 23));
end if;
end if;
end process;
s_shr3 <= s_frac_rnd(24);
s_expo3 <= s_expo2b + '1' when s_shr3='1' and s_expo2b /= "011111111" else s_expo2b;
s_frac3 <= ("0"&s_frac_rnd(24 downto 1)) when s_shr3='1' and s_expo2b /= "011111111" else s_frac_rnd;
---***Stage 4****
-- Output
s_op_0 <= not ( or_reduce(s_opa_i(30 downto 0)) and or_reduce(s_opb_i(30 downto 0)) );
s_infa <= '1' when s_expa="11111111" else '0';
s_infb <= '1' when s_expb="11111111" else '0';
s_nan_a <= '1' when (s_infa='1' and or_reduce (s_opa_i(22 downto 0))='1') else '0';
s_nan_b <= '1' when (s_infb='1' and or_reduce (s_opb_i(22 downto 0))='1') else '0';
s_nan_in <= '1' when s_nan_a='1' or s_nan_b='1' else '0';
s_nan_op <= '1' when (s_infa or s_infb)='1' and s_op_0='1' else '0';-- 0 * inf = nan
s_overflow <= '1' when s_expo3 = "011111111" and (s_infa or s_infb)='0' else '0';
s_ine_o <= '1' when s_op_0='0' and (s_lost or or_reduce(s_frac2a(22 downto 0)) or s_overflow)='1' else '0';
process(s_sign_i, s_expo3, s_frac3, s_nan_in, s_nan_op, s_infa, s_infb, s_overflow, s_r_zeros)
begin
if (s_nan_in or s_nan_op)='1' then
s_output_o <= s_sign_i & QNAN;
elsif (s_infa or s_infb)='1' or s_overflow='1' then
s_output_o <= s_sign_i & INF;
elsif s_r_zeros=48 then
s_output_o <= s_sign_i & ZERO_VECTOR;
else
s_output_o <= s_sign_i & s_expo3(7 downto 0) & s_frac3(22 downto 0);
end if;
end process;
end rtl; |
-------------------------------------------------------------------------------
--
-- Project: <Floating Point Unit Core>
--
-- Description: post-normalization entity for the multiplication unit
-------------------------------------------------------------------------------
--
-- 100101011010011100100
-- 110000111011100100000
-- 100000111011000101101
-- 100010111100101111001
-- 110000111011101101001
-- 010000001011101001010
-- 110100111001001100001
-- 110111010000001100111
-- 110110111110001011101
-- 101110110010111101000
-- 100000010111000000000
--
-- Author: Jidan Al-eryani
-- E-mail: [email protected]
--
-- Copyright (C) 2006
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
-- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
library ieee ;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
library work;
use work.fpupack.all;
entity post_norm_mul is
port(
clk_i : in std_logic;
opa_i : in std_logic_vector(FP_WIDTH-1 downto 0);
opb_i : in std_logic_vector(FP_WIDTH-1 downto 0);
exp_10_i : in std_logic_vector(EXP_WIDTH+1 downto 0);
fract_48_i : in std_logic_vector(2*FRAC_WIDTH+1 downto 0); -- hidden(1) & fraction(23)
sign_i : in std_logic;
rmode_i : in std_logic_vector(1 downto 0);
output_o : out std_logic_vector(FP_WIDTH-1 downto 0);
ine_o : out std_logic
);
end post_norm_mul;
architecture rtl of post_norm_mul is
signal s_expa, s_expb : std_logic_vector(EXP_WIDTH-1 downto 0);
signal s_exp_10_i : std_logic_vector(EXP_WIDTH+1 downto 0);
signal s_fract_48_i : std_logic_vector(2*FRAC_WIDTH+1 downto 0);
signal s_sign_i : std_logic;
signal s_output_o : std_logic_vector(FP_WIDTH-1 downto 0);
signal s_ine_o, s_overflow : std_logic;
signal s_opa_i, s_opb_i : std_logic_vector(FP_WIDTH-1 downto 0);
signal s_rmode_i : std_logic_vector(1 downto 0);
signal s_zeros : std_logic_vector(5 downto 0);
signal s_carry : std_logic;
signal s_shr2, s_shl2 : std_logic_vector(5 downto 0);
signal s_expo1, s_expo2b : std_logic_vector(8 downto 0);
signal s_exp_10a, s_exp_10b : std_logic_vector(9 downto 0);
signal s_frac2a : std_logic_vector(47 downto 0);
signal s_sticky, s_guard, s_round : std_logic;
signal s_roundup : std_logic;
signal s_frac_rnd, s_frac3 : std_logic_vector(24 downto 0);
signal s_shr3 : std_logic;
signal s_r_zeros : std_logic_vector(5 downto 0);
signal s_lost : std_logic;
signal s_op_0 : std_logic;
signal s_expo3 : std_logic_vector(8 downto 0);
signal s_infa, s_infb : std_logic;
signal s_nan_in, s_nan_op, s_nan_a, s_nan_b : std_logic;
begin
-- Input Register
process(clk_i)
begin
if rising_edge(clk_i) then
s_opa_i <= opa_i;
s_opb_i <= opb_i;
s_expa <= opa_i(30 downto 23);
s_expb <= opb_i(30 downto 23);
s_exp_10_i <= exp_10_i;
s_fract_48_i <= fract_48_i;
s_sign_i <= sign_i;
s_rmode_i <= rmode_i;
end if;
end process;
-- Output Register
process(clk_i)
begin
if rising_edge(clk_i) then
output_o <= s_output_o;
ine_o <= s_ine_o;
end if;
end process;
--*** Stage 1 ****
-- figure out the exponent and howmuch the fraction has to be shiftd right/left
s_carry <= s_fract_48_i(47);
process(clk_i)
begin
if rising_edge(clk_i) then
if s_fract_48_i(47)='0' then
s_zeros <= count_l_zeros(s_fract_48_i(46 downto 1));
else
s_zeros <= "000000";
end if;
s_r_zeros <= count_r_zeros(s_fract_48_i);
end if;
end process;
s_exp_10a <= s_exp_10_i + ("000000000"&s_carry);
s_exp_10b <= s_exp_10a - ("0000"&s_zeros);
process(clk_i)
variable v_shr1, v_shl1 : std_logic_vector(9 downto 0);
begin
if rising_edge(clk_i) then
if s_exp_10a(9)='1' or s_exp_10a="0000000000" then
v_shr1 := "0000000001" - s_exp_10a + ("000000000"&s_carry);
v_shl1 := (others =>'0');
s_expo1 <= "000000001";
else
if s_exp_10b(9)='1' or s_exp_10b="0000000000" then
v_shr1 := (others =>'0');
v_shl1 := ("0000"&s_zeros) - s_exp_10a;
s_expo1 <= "000000001";
elsif s_exp_10b(8)='1' then
v_shr1 := (others =>'0');
v_shl1 := (others =>'0');
s_expo1 <= "011111111";
else
v_shr1 := ("000000000"&s_carry);
v_shl1 := ("0000"&s_zeros);
s_expo1 <= s_exp_10b(8 downto 0);
end if;
end if;
if v_shr1(6)='1' then --"110000" = 48; maximal shift-right postions
s_shr2 <= "111111";
else
s_shr2 <= v_shr1(5 downto 0);
end if;
s_shl2 <= v_shl1(5 downto 0);
end if;
end process;
-- *** Stage 2 ***
-- Shifting the fraction and rounding
-- shift the fraction
process(clk_i)
begin
if rising_edge(clk_i) then
if s_shr2 /= "000000" then
s_frac2a <= shr(s_fract_48_i, s_shr2);
else
s_frac2a <= shl(s_fract_48_i, s_shl2);
end if;
end if;
end process;
s_expo2b <= s_expo1 - "000000001" when s_frac2a(46)='0' else s_expo1;
-- signals if precision was last during the right-shift above
s_lost <= '1' when (s_shr2+("00000"&s_shr3)) > s_r_zeros else '0';
-- ***Stage 3***
-- Rounding
-- 23
-- |
-- xx00000000000000000000000grsxxxxxxxxxxxxxxxxxxxx
-- guard bit: s_frac2a(23) (LSB of output)
-- round bit: s_frac2a(22)
s_guard <= s_frac2a(22);
s_round <= s_frac2a(21);
s_sticky <= or_reduce(s_frac2a(20 downto 0)) or s_lost;
s_roundup <= s_guard and ((s_round or s_sticky)or s_frac2a(23)) when s_rmode_i="00" else -- round to nearset even
( s_guard or s_round or s_sticky) and (not s_sign_i) when s_rmode_i="10" else -- round up
( s_guard or s_round or s_sticky) and (s_sign_i) when s_rmode_i="11" else -- round down
'0'; -- round to zero(truncate = no rounding)
process(clk_i)
begin
if rising_edge(clk_i) then
if s_roundup='1' then
s_frac_rnd <= (s_frac2a(47 downto 23)) + "1";
else
s_frac_rnd <= (s_frac2a(47 downto 23));
end if;
end if;
end process;
s_shr3 <= s_frac_rnd(24);
s_expo3 <= s_expo2b + '1' when s_shr3='1' and s_expo2b /= "011111111" else s_expo2b;
s_frac3 <= ("0"&s_frac_rnd(24 downto 1)) when s_shr3='1' and s_expo2b /= "011111111" else s_frac_rnd;
---***Stage 4****
-- Output
s_op_0 <= not ( or_reduce(s_opa_i(30 downto 0)) and or_reduce(s_opb_i(30 downto 0)) );
s_infa <= '1' when s_expa="11111111" else '0';
s_infb <= '1' when s_expb="11111111" else '0';
s_nan_a <= '1' when (s_infa='1' and or_reduce (s_opa_i(22 downto 0))='1') else '0';
s_nan_b <= '1' when (s_infb='1' and or_reduce (s_opb_i(22 downto 0))='1') else '0';
s_nan_in <= '1' when s_nan_a='1' or s_nan_b='1' else '0';
s_nan_op <= '1' when (s_infa or s_infb)='1' and s_op_0='1' else '0';-- 0 * inf = nan
s_overflow <= '1' when s_expo3 = "011111111" and (s_infa or s_infb)='0' else '0';
s_ine_o <= '1' when s_op_0='0' and (s_lost or or_reduce(s_frac2a(22 downto 0)) or s_overflow)='1' else '0';
process(s_sign_i, s_expo3, s_frac3, s_nan_in, s_nan_op, s_infa, s_infb, s_overflow, s_r_zeros)
begin
if (s_nan_in or s_nan_op)='1' then
s_output_o <= s_sign_i & QNAN;
elsif (s_infa or s_infb)='1' or s_overflow='1' then
s_output_o <= s_sign_i & INF;
elsif s_r_zeros=48 then
s_output_o <= s_sign_i & ZERO_VECTOR;
else
s_output_o <= s_sign_i & s_expo3(7 downto 0) & s_frac3(22 downto 0);
end if;
end process;
end rtl; |
-------------------------------------------------------------------------------
--
-- Project: <Floating Point Unit Core>
--
-- Description: post-normalization entity for the multiplication unit
-------------------------------------------------------------------------------
--
-- 100101011010011100100
-- 110000111011100100000
-- 100000111011000101101
-- 100010111100101111001
-- 110000111011101101001
-- 010000001011101001010
-- 110100111001001100001
-- 110111010000001100111
-- 110110111110001011101
-- 101110110010111101000
-- 100000010111000000000
--
-- Author: Jidan Al-eryani
-- E-mail: [email protected]
--
-- Copyright (C) 2006
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
-- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
library ieee ;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
library work;
use work.fpupack.all;
entity post_norm_mul is
port(
clk_i : in std_logic;
opa_i : in std_logic_vector(FP_WIDTH-1 downto 0);
opb_i : in std_logic_vector(FP_WIDTH-1 downto 0);
exp_10_i : in std_logic_vector(EXP_WIDTH+1 downto 0);
fract_48_i : in std_logic_vector(2*FRAC_WIDTH+1 downto 0); -- hidden(1) & fraction(23)
sign_i : in std_logic;
rmode_i : in std_logic_vector(1 downto 0);
output_o : out std_logic_vector(FP_WIDTH-1 downto 0);
ine_o : out std_logic
);
end post_norm_mul;
architecture rtl of post_norm_mul is
signal s_expa, s_expb : std_logic_vector(EXP_WIDTH-1 downto 0);
signal s_exp_10_i : std_logic_vector(EXP_WIDTH+1 downto 0);
signal s_fract_48_i : std_logic_vector(2*FRAC_WIDTH+1 downto 0);
signal s_sign_i : std_logic;
signal s_output_o : std_logic_vector(FP_WIDTH-1 downto 0);
signal s_ine_o, s_overflow : std_logic;
signal s_opa_i, s_opb_i : std_logic_vector(FP_WIDTH-1 downto 0);
signal s_rmode_i : std_logic_vector(1 downto 0);
signal s_zeros : std_logic_vector(5 downto 0);
signal s_carry : std_logic;
signal s_shr2, s_shl2 : std_logic_vector(5 downto 0);
signal s_expo1, s_expo2b : std_logic_vector(8 downto 0);
signal s_exp_10a, s_exp_10b : std_logic_vector(9 downto 0);
signal s_frac2a : std_logic_vector(47 downto 0);
signal s_sticky, s_guard, s_round : std_logic;
signal s_roundup : std_logic;
signal s_frac_rnd, s_frac3 : std_logic_vector(24 downto 0);
signal s_shr3 : std_logic;
signal s_r_zeros : std_logic_vector(5 downto 0);
signal s_lost : std_logic;
signal s_op_0 : std_logic;
signal s_expo3 : std_logic_vector(8 downto 0);
signal s_infa, s_infb : std_logic;
signal s_nan_in, s_nan_op, s_nan_a, s_nan_b : std_logic;
begin
-- Input Register
process(clk_i)
begin
if rising_edge(clk_i) then
s_opa_i <= opa_i;
s_opb_i <= opb_i;
s_expa <= opa_i(30 downto 23);
s_expb <= opb_i(30 downto 23);
s_exp_10_i <= exp_10_i;
s_fract_48_i <= fract_48_i;
s_sign_i <= sign_i;
s_rmode_i <= rmode_i;
end if;
end process;
-- Output Register
process(clk_i)
begin
if rising_edge(clk_i) then
output_o <= s_output_o;
ine_o <= s_ine_o;
end if;
end process;
--*** Stage 1 ****
-- figure out the exponent and howmuch the fraction has to be shiftd right/left
s_carry <= s_fract_48_i(47);
process(clk_i)
begin
if rising_edge(clk_i) then
if s_fract_48_i(47)='0' then
s_zeros <= count_l_zeros(s_fract_48_i(46 downto 1));
else
s_zeros <= "000000";
end if;
s_r_zeros <= count_r_zeros(s_fract_48_i);
end if;
end process;
s_exp_10a <= s_exp_10_i + ("000000000"&s_carry);
s_exp_10b <= s_exp_10a - ("0000"&s_zeros);
process(clk_i)
variable v_shr1, v_shl1 : std_logic_vector(9 downto 0);
begin
if rising_edge(clk_i) then
if s_exp_10a(9)='1' or s_exp_10a="0000000000" then
v_shr1 := "0000000001" - s_exp_10a + ("000000000"&s_carry);
v_shl1 := (others =>'0');
s_expo1 <= "000000001";
else
if s_exp_10b(9)='1' or s_exp_10b="0000000000" then
v_shr1 := (others =>'0');
v_shl1 := ("0000"&s_zeros) - s_exp_10a;
s_expo1 <= "000000001";
elsif s_exp_10b(8)='1' then
v_shr1 := (others =>'0');
v_shl1 := (others =>'0');
s_expo1 <= "011111111";
else
v_shr1 := ("000000000"&s_carry);
v_shl1 := ("0000"&s_zeros);
s_expo1 <= s_exp_10b(8 downto 0);
end if;
end if;
if v_shr1(6)='1' then --"110000" = 48; maximal shift-right postions
s_shr2 <= "111111";
else
s_shr2 <= v_shr1(5 downto 0);
end if;
s_shl2 <= v_shl1(5 downto 0);
end if;
end process;
-- *** Stage 2 ***
-- Shifting the fraction and rounding
-- shift the fraction
process(clk_i)
begin
if rising_edge(clk_i) then
if s_shr2 /= "000000" then
s_frac2a <= shr(s_fract_48_i, s_shr2);
else
s_frac2a <= shl(s_fract_48_i, s_shl2);
end if;
end if;
end process;
s_expo2b <= s_expo1 - "000000001" when s_frac2a(46)='0' else s_expo1;
-- signals if precision was last during the right-shift above
s_lost <= '1' when (s_shr2+("00000"&s_shr3)) > s_r_zeros else '0';
-- ***Stage 3***
-- Rounding
-- 23
-- |
-- xx00000000000000000000000grsxxxxxxxxxxxxxxxxxxxx
-- guard bit: s_frac2a(23) (LSB of output)
-- round bit: s_frac2a(22)
s_guard <= s_frac2a(22);
s_round <= s_frac2a(21);
s_sticky <= or_reduce(s_frac2a(20 downto 0)) or s_lost;
s_roundup <= s_guard and ((s_round or s_sticky)or s_frac2a(23)) when s_rmode_i="00" else -- round to nearset even
( s_guard or s_round or s_sticky) and (not s_sign_i) when s_rmode_i="10" else -- round up
( s_guard or s_round or s_sticky) and (s_sign_i) when s_rmode_i="11" else -- round down
'0'; -- round to zero(truncate = no rounding)
process(clk_i)
begin
if rising_edge(clk_i) then
if s_roundup='1' then
s_frac_rnd <= (s_frac2a(47 downto 23)) + "1";
else
s_frac_rnd <= (s_frac2a(47 downto 23));
end if;
end if;
end process;
s_shr3 <= s_frac_rnd(24);
s_expo3 <= s_expo2b + '1' when s_shr3='1' and s_expo2b /= "011111111" else s_expo2b;
s_frac3 <= ("0"&s_frac_rnd(24 downto 1)) when s_shr3='1' and s_expo2b /= "011111111" else s_frac_rnd;
---***Stage 4****
-- Output
s_op_0 <= not ( or_reduce(s_opa_i(30 downto 0)) and or_reduce(s_opb_i(30 downto 0)) );
s_infa <= '1' when s_expa="11111111" else '0';
s_infb <= '1' when s_expb="11111111" else '0';
s_nan_a <= '1' when (s_infa='1' and or_reduce (s_opa_i(22 downto 0))='1') else '0';
s_nan_b <= '1' when (s_infb='1' and or_reduce (s_opb_i(22 downto 0))='1') else '0';
s_nan_in <= '1' when s_nan_a='1' or s_nan_b='1' else '0';
s_nan_op <= '1' when (s_infa or s_infb)='1' and s_op_0='1' else '0';-- 0 * inf = nan
s_overflow <= '1' when s_expo3 = "011111111" and (s_infa or s_infb)='0' else '0';
s_ine_o <= '1' when s_op_0='0' and (s_lost or or_reduce(s_frac2a(22 downto 0)) or s_overflow)='1' else '0';
process(s_sign_i, s_expo3, s_frac3, s_nan_in, s_nan_op, s_infa, s_infb, s_overflow, s_r_zeros)
begin
if (s_nan_in or s_nan_op)='1' then
s_output_o <= s_sign_i & QNAN;
elsif (s_infa or s_infb)='1' or s_overflow='1' then
s_output_o <= s_sign_i & INF;
elsif s_r_zeros=48 then
s_output_o <= s_sign_i & ZERO_VECTOR;
else
s_output_o <= s_sign_i & s_expo3(7 downto 0) & s_frac3(22 downto 0);
end if;
end process;
end rtl; |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc867.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s03b01x00p12n01i00867pkg is
constant low_number : integer := 0;
constant hi_number : integer := 3;
subtype hi_to_low_range is integer range low_number to hi_number;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
type record_std_package is record
a: boolean;
b: bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
end record;
type array_rec_std is array (natural range <>) of record_std_package;
type four_value is ('Z','0','1','X');
--enumerated type
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level := note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
subtype dumy is integer range 0 to 3;
signal Sin1 : bit_vector(0 to 5) ;
signal Sin2 : boolean_vector(0 to 5) ;
signal Sin4 : severity_level_vector(0 to 5) ;
signal Sin5 : integer_vector(0 to 5) ;
signal Sin6 : real_vector(0 to 5) ;
signal Sin7 : time_vector(0 to 5) ;
signal Sin8 : natural_vector(0 to 5) ;
signal Sin9 : positive_vector(0 to 5) ;
signal Sin10: array_rec_std(0 to 5) ;
end c01s03b01x00p12n01i00867pkg;
use work.c01s03b01x00p12n01i00867pkg.all;
entity test is
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end;
architecture test of test is
begin
sigout1 <= sigin1;
sigout2 <= sigin2;
sigout4 <= sigin4;
sigout5 <= sigin5;
sigout6 <= sigin6;
sigout7 <= sigin7;
sigout8 <= sigin8;
sigout9 <= sigin9;
sigout10 <= sigin10;
end;
configuration testbench of test is
for test
end for;
end;
use work.c01s03b01x00p12n01i00867pkg.all;
ENTITY c01s03b01x00p12n01i00867ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15;
dumb : bit_vector(0 to 3) := "1010");
END c01s03b01x00p12n01i00867ent;
ARCHITECTURE c01s03b01x00p12n01i00867arch OF c01s03b01x00p12n01i00867ent IS
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
begin
Sin1(zero) <='1';
Sin2(zero) <= true;
Sin4(zero) <= note;
Sin5(zero) <= 3;
Sin6(zero) <= 3.0;
Sin7(zero) <= 3 ns;
Sin8(zero) <= 1;
Sin9(zero) <= 1;
Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9);
K:block
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
BEGIN
T5 : test
port map
(
Sin2(4),Sin2(5),
Sin1(4),Sin1(5),
Sin4(4),Sin4(5),
Sin5(4),Sin5(5),
Sin6(4),Sin6(5),
Sin7(4),Sin7(5),
Sin8(4),Sin8(5),
Sin9(4),Sin9(5),
Sin10(4),Sin10(5)
);
G: for i in zero to three generate
T1:test
port map
(
Sin2(i),Sin2(i+1),
Sin1(i),Sin1(i+1),
Sin4(i),Sin4(i+1),
Sin5(i),Sin5(i+1),
Sin6(i),Sin6(i+1),
Sin7(i),Sin7(i+1),
Sin8(i),Sin8(i+1),
Sin9(i),Sin9(i+1),
Sin10(i),Sin10(i+1)
);
end generate;
end block;
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert Sin1(0) = Sin1(5) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure;
assert Sin2(0) = Sin2(5) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure;
assert Sin4(0) = Sin4(5) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure;
assert Sin5(0) = Sin5(5) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure;
assert Sin6(0) = Sin6(5) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure;
assert Sin7(0) = Sin7(5) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure;
assert Sin8(0) = Sin8(5) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure;
assert Sin9(0) = Sin9(5) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure;
assert Sin10(0) = Sin10(5) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure;
assert NOT( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***PASSED TEST: c01s03b01x00p12n01i00867"
severity NOTE;
assert ( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***FAILED TEST: c01s03b01x00p12n01i00867 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s03b01x00p12n01i00867arch;
configuration c01s03b01x00p12n01i00867cfg of c01s03b01x00p12n01i00867ent is
for c01s03b01x00p12n01i00867arch
for K
for T5:test use configuration work.testbench;
end for;
for G(one)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(dumy'low)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(2 to dumy'high)
for T1:test
use configuration work.testbench;
end for;
end for;
end for;
end for;
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc867.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s03b01x00p12n01i00867pkg is
constant low_number : integer := 0;
constant hi_number : integer := 3;
subtype hi_to_low_range is integer range low_number to hi_number;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
type record_std_package is record
a: boolean;
b: bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
end record;
type array_rec_std is array (natural range <>) of record_std_package;
type four_value is ('Z','0','1','X');
--enumerated type
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level := note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
subtype dumy is integer range 0 to 3;
signal Sin1 : bit_vector(0 to 5) ;
signal Sin2 : boolean_vector(0 to 5) ;
signal Sin4 : severity_level_vector(0 to 5) ;
signal Sin5 : integer_vector(0 to 5) ;
signal Sin6 : real_vector(0 to 5) ;
signal Sin7 : time_vector(0 to 5) ;
signal Sin8 : natural_vector(0 to 5) ;
signal Sin9 : positive_vector(0 to 5) ;
signal Sin10: array_rec_std(0 to 5) ;
end c01s03b01x00p12n01i00867pkg;
use work.c01s03b01x00p12n01i00867pkg.all;
entity test is
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end;
architecture test of test is
begin
sigout1 <= sigin1;
sigout2 <= sigin2;
sigout4 <= sigin4;
sigout5 <= sigin5;
sigout6 <= sigin6;
sigout7 <= sigin7;
sigout8 <= sigin8;
sigout9 <= sigin9;
sigout10 <= sigin10;
end;
configuration testbench of test is
for test
end for;
end;
use work.c01s03b01x00p12n01i00867pkg.all;
ENTITY c01s03b01x00p12n01i00867ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15;
dumb : bit_vector(0 to 3) := "1010");
END c01s03b01x00p12n01i00867ent;
ARCHITECTURE c01s03b01x00p12n01i00867arch OF c01s03b01x00p12n01i00867ent IS
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
begin
Sin1(zero) <='1';
Sin2(zero) <= true;
Sin4(zero) <= note;
Sin5(zero) <= 3;
Sin6(zero) <= 3.0;
Sin7(zero) <= 3 ns;
Sin8(zero) <= 1;
Sin9(zero) <= 1;
Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9);
K:block
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
BEGIN
T5 : test
port map
(
Sin2(4),Sin2(5),
Sin1(4),Sin1(5),
Sin4(4),Sin4(5),
Sin5(4),Sin5(5),
Sin6(4),Sin6(5),
Sin7(4),Sin7(5),
Sin8(4),Sin8(5),
Sin9(4),Sin9(5),
Sin10(4),Sin10(5)
);
G: for i in zero to three generate
T1:test
port map
(
Sin2(i),Sin2(i+1),
Sin1(i),Sin1(i+1),
Sin4(i),Sin4(i+1),
Sin5(i),Sin5(i+1),
Sin6(i),Sin6(i+1),
Sin7(i),Sin7(i+1),
Sin8(i),Sin8(i+1),
Sin9(i),Sin9(i+1),
Sin10(i),Sin10(i+1)
);
end generate;
end block;
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert Sin1(0) = Sin1(5) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure;
assert Sin2(0) = Sin2(5) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure;
assert Sin4(0) = Sin4(5) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure;
assert Sin5(0) = Sin5(5) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure;
assert Sin6(0) = Sin6(5) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure;
assert Sin7(0) = Sin7(5) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure;
assert Sin8(0) = Sin8(5) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure;
assert Sin9(0) = Sin9(5) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure;
assert Sin10(0) = Sin10(5) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure;
assert NOT( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***PASSED TEST: c01s03b01x00p12n01i00867"
severity NOTE;
assert ( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***FAILED TEST: c01s03b01x00p12n01i00867 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s03b01x00p12n01i00867arch;
configuration c01s03b01x00p12n01i00867cfg of c01s03b01x00p12n01i00867ent is
for c01s03b01x00p12n01i00867arch
for K
for T5:test use configuration work.testbench;
end for;
for G(one)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(dumy'low)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(2 to dumy'high)
for T1:test
use configuration work.testbench;
end for;
end for;
end for;
end for;
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc867.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s03b01x00p12n01i00867pkg is
constant low_number : integer := 0;
constant hi_number : integer := 3;
subtype hi_to_low_range is integer range low_number to hi_number;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
type record_std_package is record
a: boolean;
b: bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
end record;
type array_rec_std is array (natural range <>) of record_std_package;
type four_value is ('Z','0','1','X');
--enumerated type
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level := note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
subtype dumy is integer range 0 to 3;
signal Sin1 : bit_vector(0 to 5) ;
signal Sin2 : boolean_vector(0 to 5) ;
signal Sin4 : severity_level_vector(0 to 5) ;
signal Sin5 : integer_vector(0 to 5) ;
signal Sin6 : real_vector(0 to 5) ;
signal Sin7 : time_vector(0 to 5) ;
signal Sin8 : natural_vector(0 to 5) ;
signal Sin9 : positive_vector(0 to 5) ;
signal Sin10: array_rec_std(0 to 5) ;
end c01s03b01x00p12n01i00867pkg;
use work.c01s03b01x00p12n01i00867pkg.all;
entity test is
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end;
architecture test of test is
begin
sigout1 <= sigin1;
sigout2 <= sigin2;
sigout4 <= sigin4;
sigout5 <= sigin5;
sigout6 <= sigin6;
sigout7 <= sigin7;
sigout8 <= sigin8;
sigout9 <= sigin9;
sigout10 <= sigin10;
end;
configuration testbench of test is
for test
end for;
end;
use work.c01s03b01x00p12n01i00867pkg.all;
ENTITY c01s03b01x00p12n01i00867ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15;
dumb : bit_vector(0 to 3) := "1010");
END c01s03b01x00p12n01i00867ent;
ARCHITECTURE c01s03b01x00p12n01i00867arch OF c01s03b01x00p12n01i00867ent IS
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
begin
Sin1(zero) <='1';
Sin2(zero) <= true;
Sin4(zero) <= note;
Sin5(zero) <= 3;
Sin6(zero) <= 3.0;
Sin7(zero) <= 3 ns;
Sin8(zero) <= 1;
Sin9(zero) <= 1;
Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9);
K:block
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
BEGIN
T5 : test
port map
(
Sin2(4),Sin2(5),
Sin1(4),Sin1(5),
Sin4(4),Sin4(5),
Sin5(4),Sin5(5),
Sin6(4),Sin6(5),
Sin7(4),Sin7(5),
Sin8(4),Sin8(5),
Sin9(4),Sin9(5),
Sin10(4),Sin10(5)
);
G: for i in zero to three generate
T1:test
port map
(
Sin2(i),Sin2(i+1),
Sin1(i),Sin1(i+1),
Sin4(i),Sin4(i+1),
Sin5(i),Sin5(i+1),
Sin6(i),Sin6(i+1),
Sin7(i),Sin7(i+1),
Sin8(i),Sin8(i+1),
Sin9(i),Sin9(i+1),
Sin10(i),Sin10(i+1)
);
end generate;
end block;
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert Sin1(0) = Sin1(5) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure;
assert Sin2(0) = Sin2(5) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure;
assert Sin4(0) = Sin4(5) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure;
assert Sin5(0) = Sin5(5) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure;
assert Sin6(0) = Sin6(5) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure;
assert Sin7(0) = Sin7(5) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure;
assert Sin8(0) = Sin8(5) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure;
assert Sin9(0) = Sin9(5) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure;
assert Sin10(0) = Sin10(5) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure;
assert NOT( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***PASSED TEST: c01s03b01x00p12n01i00867"
severity NOTE;
assert ( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***FAILED TEST: c01s03b01x00p12n01i00867 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s03b01x00p12n01i00867arch;
configuration c01s03b01x00p12n01i00867cfg of c01s03b01x00p12n01i00867ent is
for c01s03b01x00p12n01i00867arch
for K
for T5:test use configuration work.testbench;
end for;
for G(one)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(dumy'low)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(2 to dumy'high)
for T1:test
use configuration work.testbench;
end for;
end for;
end for;
end for;
end;
|
LIBRARY ieee;
LIBRARY std;
use ieee.std_logic_textio.all;
use std.textio.all;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
entity counter_test is
end counter_test;
architecture behavior of counter_test is
-- Component Declaration for the Unit Under Test (UUT)
component counter
GENERIC (
Nbits: integer := 4;
Nmax: integer := 9
);
PORT(
clk : IN std_logic;
reset : IN std_logic;
Q : OUT std_logic_vector(Nbits-1 downto 0)
);
end component;
signal tb_clk, tb_reset: STD_LOGIC;
signal tb_Q : STD_LOGIC_VECTOR(3 downto 0);
signal clk_te : STD_LOGIC;
-- Clock period definitions
constant clk_period : time := 20 ns;
constant clk_te_period : time := 20 ns;
constant dT : real := 2.0; --ns
constant separator: String(1 to 1) := ";"; -- CSV separator
begin
-- Instantiate the Unit Under Test (UUT)
uut: counter PORT MAP (
clk => tb_clk,
reset => tb_reset,
Q => tb_Q
);
-- Clock process definitions
clk_process: process
begin
tb_clk <= '0';
wait for clk_period/2;
tb_clk <= '1';
wait for clk_period/2;
end process clk_process;
-- Clock process definitions
clk_te_process: process
begin
clk_te <= '0';
wait for clk_te_period/2;
clk_te <= '1';
wait for clk_te_period/2;
end process clk_te_process;
-- Stimulus process
stim_proc: process
begin
--wait for 100ms;
tb_reset <= '1';
wait for clk_period*10;
tb_reset <= '0';
-- insert stimulus here
wait;
end process stim_proc;
result: process(clk_te)
file filedatas: text open WRITE_MODE is "counter.csv";
variable s : line;
variable temps : real := 0.0;
variable sdata, sbardata : integer := 0;
variable olddata : std_logic_vector(3 downto 0) := x"0";
begin
--if rising_edge(clk_te) then
write(s, temps); write(s, separator);
write(s, clk_te); write(s, separator);
write(s, tb_reset); write(s, separator);
if olddata /= tb_Q then
sdata := 1 - sdata;
else
sdata := sdata;
end if;
sbardata := 1 - sdata;
write(s, sdata); write(s, separator);
write(s, sbardata); write(s, separator);
writeline(filedatas,s);
temps := temps + dT;
olddata := tb_Q;
--end if;
end process result;
end architecture behavior;
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY AsyncTxTest IS
END AsyncTxTest;
ARCHITECTURE behavioral OF AsyncTxTest IS
COMPONENT AsyncTx
PORT ( TX : OUT STD_LOGIC;
ACTIVE : OUT STD_LOGIC;
SEND : IN STD_LOGIC;
CLR : IN STD_LOGIC;
CLK : IN STD_LOGIC;
DATA : IN STD_LOGIC_VECTOR (5 DOWNTO 0));
END COMPONENT;
SIGNAL TX : STD_LOGIC;
SIGNAL ACTIVE : STD_LOGIC;
SIGNAL SEND : STD_LOGIC;
SIGNAL CLR : STD_LOGIC;
SIGNAL CLK : STD_LOGIC;
SIGNAL DATA : STD_LOGIC_VECTOR (5 DOWNTO 0);
BEGIN
UUT: AsyncTx PORT MAP(
TX => TX,
ACTIVE => ACTIVE,
SEND => SEND,
CLR => CLR,
CLK => CLK,
DATA => DATA
);
clk_process : PROCESS
BEGIN
-- Clock signal (4 MHz)
CLK <= '0';
WAIT FOR 125ns;
CLK <= '1';
WAIT FOR 125ns;
END PROCESS;
tb_process : PROCESS
BEGIN
-- Reset
CLR <= '1';
WAIT FOR 1000ns;
CLR <= '0';
-- Send the number 1
DATA <= "001010";
SEND <= '1';
WAIT FOR 1000ns;
SEND <= '0';
WAIT;
END PROCESS;
END;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XoX/F/R3CjaJS8X3jMkynvRuhBusKH4GuhjbQw6Hbx13MgIPdZ2E+yZfLQoHUInufX65u7YueJGS
A3uibifUsQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HrKAwumWB2Zmbr9QJk2sw6gR/YncII6ukvoX65HruK7jbNELEtoWPDnxc1soFF3UPIhqHop0AAMq
l85zec7bIDXAVEV3YYGt/HHFGxyU2R73YtPH4X1UFtfys/VBJ3kJ9DSxplOyGUdKleMO1bdCzxHM
udLnioxVlGvt5cq/p10=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iw9/gTvBBhQKXdaI4IYt0sApJR1iVkG4wJQMq/DNeTJTs6LAletQEfeaaPGUWCYb64NP6AgdNQB6
Khwplvq1zO1usklKNwtvoTx9MNeCL8bx30fMAzFBFdGEGE4hLiVvcLgMln7fNUDcXrb2BW8LgqLv
PQU+uKqxzhQI0vJlpSeJ95XOenfKNWtap2gWSZv++Wu7Z3oL2kU8rJvkQOf+faAwADCoAx1WcesX
EZfRALeWXzpUUrG9A5kbiPMhD1tGIb4Lt8ME5MSUEXzKgplqYZ/UZVfk6my3hH/yoeRHIiEyz1m0
9OOYbXcmjaxz5yIcaFJxbBpYqsdNDrimkRDOaA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oLP/cQenZImx8SCQhbdudL7L2hH4gPfipuZCdigdIeEPITilE1B0D2s6iqohTdD8ej8QGskwOmd0
qoRsTOfd0dJinYJ+MXAoDOP8p1VDZysS2W/4l0pbaMR8o5+WiCl6OahKfyMvhw2DhtyrA7B08fa6
e1uJp+3FCXTBeWNvSZg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CSkLaMkh37wG2gOz9d7fWkcSOQnoqxcWgvpbi3uXPin/7imtIh+Fnljzw/l2E9VGwP3jsMlp8q3f
p9qKsFe9xOtBgnSJaT4VWbiWvvtqqlBbRVk7j3y3h0DMokb+YcdQB3AV/JZCvtn5EvqP9guWrCS3
84vGkUm8GfLyV8kWdJoFccOwYjXGWteCdLP7GwycalVISI//DNPay8l6MGBwxMIocsrAwvr4LXgR
ETydFe6zUB0wMGAXEwurVMAHfIYhzgk0KTYN5L4y3+WJSuzJqj0/0PH2hFleRJhmEcEB7VYWSBMB
Urt0xogq7jwUiUhx9eeGR0WJ8637xwDaTNCAvw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77936)
`protect data_block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`protect end_protected
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017
-- Date : Tue Sep 19 09:38:22 2017
-- Host : DarkCube running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/Users/markb/Source/Repos/FPGA_Sandbox/RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_axi_gpio_0_0/zynq_design_1_axi_gpio_0_0_sim_netlist.vhdl
-- Design : zynq_design_1_axi_gpio_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_gpio_0_0_GPIO_Core is
port (
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
gpio_io_o : out STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_xferAck_i : out STD_LOGIC;
gpio_xferAck_Reg : out STD_LOGIC;
ip2bus_rdack_i : out STD_LOGIC;
ip2bus_wrack_i_D1_reg : out STD_LOGIC;
gpio_io_t : out STD_LOGIC_VECTOR ( 7 downto 0 );
bus2ip_rnw_i_reg : in STD_LOGIC;
s_axi_aclk : in STD_LOGIC;
SS : in STD_LOGIC_VECTOR ( 0 to 0 );
bus2ip_rnw : in STD_LOGIC;
bus2ip_cs : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
rst_reg : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_gpio_0_0_GPIO_Core : entity is "GPIO_Core";
end zynq_design_1_axi_gpio_0_0_GPIO_Core;
architecture STRUCTURE of zynq_design_1_axi_gpio_0_0_GPIO_Core is
signal \^gpio_xferack_i\ : STD_LOGIC;
signal \^gpio_io_o\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \^gpio_xferack_reg\ : STD_LOGIC;
signal iGPIO_xferAck : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of iGPIO_xferAck_i_1 : label is "soft_lutpair4";
attribute SOFT_HLUTNM of ip2bus_rdack_i_D1_i_1 : label is "soft_lutpair4";
begin
GPIO_xferAck_i <= \^gpio_xferack_i\;
gpio_io_o(7 downto 0) <= \^gpio_io_o\(7 downto 0);
gpio_xferAck_Reg <= \^gpio_xferack_reg\;
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(7),
Q => D(7),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(6),
Q => D(6),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(5),
Q => D(5),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(4),
Q => D(4),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(3),
Q => D(3),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[5].GPIO_DBus_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(2),
Q => D(2),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[6].GPIO_DBus_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(1),
Q => D(1),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[7].GPIO_DBus_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(0),
Q => D(0),
R => bus2ip_rnw_i_reg
);
\Not_Dual.gpio_Data_Out_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(7),
Q => \^gpio_io_o\(7),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(6),
Q => \^gpio_io_o\(6),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(5),
Q => \^gpio_io_o\(5),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(4),
Q => \^gpio_io_o\(4),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(3),
Q => \^gpio_io_o\(3),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(2),
Q => \^gpio_io_o\(2),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(1),
Q => \^gpio_io_o\(1),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(0),
Q => \^gpio_io_o\(0),
R => SS(0)
);
\Not_Dual.gpio_OE_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(7),
Q => gpio_io_t(7),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(6),
Q => gpio_io_t(6),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(5),
Q => gpio_io_t(5),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(4),
Q => gpio_io_t(4),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(3),
Q => gpio_io_t(3),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[5]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(2),
Q => gpio_io_t(2),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[6]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(1),
Q => gpio_io_t(1),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[7]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(0),
Q => gpio_io_t(0),
S => SS(0)
);
gpio_xferAck_Reg_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_xferack_i\,
Q => \^gpio_xferack_reg\,
R => SS(0)
);
iGPIO_xferAck_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => bus2ip_cs,
I1 => \^gpio_xferack_reg\,
I2 => \^gpio_xferack_i\,
O => iGPIO_xferAck
);
iGPIO_xferAck_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => iGPIO_xferAck,
Q => \^gpio_xferack_i\,
R => SS(0)
);
ip2bus_rdack_i_D1_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^gpio_xferack_i\,
I1 => bus2ip_rnw,
O => ip2bus_rdack_i
);
ip2bus_wrack_i_D1_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^gpio_xferack_i\,
I1 => bus2ip_rnw,
O => ip2bus_wrack_i_D1_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_gpio_0_0_address_decoder is
port (
\MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\Not_Dual.gpio_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC;
s_axi_wready : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ : out STD_LOGIC;
s_axi_aclk : in STD_LOGIC;
rst_reg : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
bus2ip_rnw_i_reg : in STD_LOGIC;
ip2bus_rdack_i_D1 : in STD_LOGIC;
is_read : in STD_LOGIC;
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
ip2bus_wrack_i_D1 : in STD_LOGIC;
is_write_reg : in STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
start2_reg : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
gpio_xferAck_Reg : in STD_LOGIC;
GPIO_xferAck_i : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_gpio_0_0_address_decoder : entity is "address_decoder";
end zynq_design_1_axi_gpio_0_0_address_decoder;
architecture STRUCTURE of zynq_design_1_axi_gpio_0_0_address_decoder is
signal \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\ : STD_LOGIC;
signal \^mem_decode_gen[0].cs_out_i_reg[0]_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal \^s_axi_wready\ : STD_LOGIC;
begin
\MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ <= \^mem_decode_gen[0].cs_out_i_reg[0]_0\;
s_axi_arready <= \^s_axi_arready\;
s_axi_wready <= \^s_axi_wready\;
\MEM_DECODE_GEN[0].cs_out_i[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"000000E0"
)
port map (
I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I1 => start2_reg,
I2 => s_axi_aresetn,
I3 => \^s_axi_arready\,
I4 => \^s_axi_wready\,
O => \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\
);
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\,
Q => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
R => '0'
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[7].GPIO_DBus_i[31]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFF7"
)
port map (
I0 => bus2ip_rnw_i_reg,
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => gpio_xferAck_Reg,
I3 => GPIO_xferAck_i,
O => \Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\
);
\Not_Dual.gpio_Data_Out[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAABAAAA"
)
port map (
I0 => rst_reg,
I1 => Q(1),
I2 => bus2ip_rnw_i_reg,
I3 => Q(0),
I4 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I5 => Q(2),
O => E(0)
);
\Not_Dual.gpio_Data_Out[0]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(7),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(15),
O => D(7)
);
\Not_Dual.gpio_Data_Out[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(6),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(14),
O => D(6)
);
\Not_Dual.gpio_Data_Out[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(5),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(13),
O => D(5)
);
\Not_Dual.gpio_Data_Out[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(4),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(12),
O => D(4)
);
\Not_Dual.gpio_Data_Out[4]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(3),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(11),
O => D(3)
);
\Not_Dual.gpio_Data_Out[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(2),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(10),
O => D(2)
);
\Not_Dual.gpio_Data_Out[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(1),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(9),
O => D(1)
);
\Not_Dual.gpio_Data_Out[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(0),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(8),
O => D(0)
);
\Not_Dual.gpio_OE[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAEAAAA"
)
port map (
I0 => rst_reg,
I1 => Q(0),
I2 => Q(1),
I3 => bus2ip_rnw_i_reg,
I4 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I5 => Q(2),
O => \Not_Dual.gpio_OE_reg[0]\(0)
);
s_axi_arready_INST_0: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAEAAAA"
)
port map (
I0 => ip2bus_rdack_i_D1,
I1 => is_read,
I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(2),
I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(1),
I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3),
I5 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0),
O => \^s_axi_arready\
);
s_axi_wready_INST_0: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAEAAAA"
)
port map (
I0 => ip2bus_wrack_i_D1,
I1 => is_write_reg,
I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(2),
I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(1),
I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3),
I5 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0),
O => \^s_axi_wready\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_gpio_0_0_slave_attachment is
port (
SR : out STD_LOGIC;
\Not_Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC;
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\ : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\Not_Dual.gpio_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_aclk : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_aresetn : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
ip2bus_rdack_i_D1 : in STD_LOGIC;
ip2bus_wrack_i_D1 : in STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
gpio_xferAck_Reg : in STD_LOGIC;
GPIO_xferAck_i : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_gpio_0_0_slave_attachment : entity is "slave_attachment";
end zynq_design_1_axi_gpio_0_0_slave_attachment;
architecture STRUCTURE of zynq_design_1_axi_gpio_0_0_slave_attachment is
signal \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^not_dual.gpio_data_out_reg[0]\ : STD_LOGIC;
signal \^sr\ : STD_LOGIC;
signal bus2ip_addr : STD_LOGIC_VECTOR ( 0 to 6 );
signal \bus2ip_addr_i[2]_i_1_n_0\ : STD_LOGIC;
signal \bus2ip_addr_i[3]_i_1_n_0\ : STD_LOGIC;
signal \bus2ip_addr_i[8]_i_1_n_0\ : STD_LOGIC;
signal \bus2ip_addr_i[8]_i_2_n_0\ : STD_LOGIC;
signal bus2ip_rnw_i06_out : STD_LOGIC;
signal clear : STD_LOGIC;
signal is_read : STD_LOGIC;
signal is_read_i_1_n_0 : STD_LOGIC;
signal is_write : STD_LOGIC;
signal is_write_i_1_n_0 : STD_LOGIC;
signal is_write_reg_n_0 : STD_LOGIC;
signal p_0_out : STD_LOGIC_VECTOR ( 1 downto 0 );
signal p_1_in : STD_LOGIC;
signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_axi_bvalid_i_i_1_n_0 : STD_LOGIC;
signal \s_axi_rdata_i[7]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal s_axi_rvalid_i_i_1_n_0 : STD_LOGIC;
signal \^s_axi_wready\ : STD_LOGIC;
signal start2 : STD_LOGIC;
signal start2_i_1_n_0 : STD_LOGIC;
signal state : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \state1__2\ : STD_LOGIC;
signal \state[1]_i_3_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \bus2ip_addr_i[3]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of bus2ip_rnw_i_i_1 : label is "soft_lutpair0";
attribute SOFT_HLUTNM of start2_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair1";
begin
\Not_Dual.gpio_Data_Out_reg[0]\ <= \^not_dual.gpio_data_out_reg[0]\;
SR <= \^sr\;
s_axi_arready <= \^s_axi_arready\;
s_axi_bvalid <= \^s_axi_bvalid\;
s_axi_rvalid <= \^s_axi_rvalid\;
s_axi_wready <= \^s_axi_wready\;
\INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0),
O => plusOp(0)
);
\INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0),
I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1),
O => plusOp(1)
);
\INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0),
I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1),
I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2),
O => plusOp(2)
);
\INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => state(0),
I1 => state(1),
O => clear
);
\INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1),
I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0),
I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2),
I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3),
O => plusOp(3)
);
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => plusOp(0),
Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0),
R => clear
);
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => plusOp(1),
Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1),
R => clear
);
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => plusOp(2),
Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2),
R => clear
);
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => plusOp(3),
Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3),
R => clear
);
I_DECODER: entity work.zynq_design_1_axi_gpio_0_0_address_decoder
port map (
D(7 downto 0) => D(7 downto 0),
E(0) => E(0),
GPIO_xferAck_i => GPIO_xferAck_i,
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3 downto 0) => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3 downto 0),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\,
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ => \Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\,
\Not_Dual.gpio_OE_reg[0]\(0) => \Not_Dual.gpio_OE_reg[0]\(0),
Q(2) => bus2ip_addr(0),
Q(1) => bus2ip_addr(5),
Q(0) => bus2ip_addr(6),
bus2ip_rnw_i_reg => \^not_dual.gpio_data_out_reg[0]\,
gpio_xferAck_Reg => gpio_xferAck_Reg,
ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1,
ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1,
is_read => is_read,
is_write_reg => is_write_reg_n_0,
rst_reg => \^sr\,
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_arready => \^s_axi_arready\,
s_axi_wdata(15 downto 0) => s_axi_wdata(15 downto 0),
s_axi_wready => \^s_axi_wready\,
start2_reg => start2
);
\bus2ip_addr_i[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCACCCC"
)
port map (
I0 => s_axi_araddr(0),
I1 => s_axi_awaddr(0),
I2 => state(0),
I3 => state(1),
I4 => s_axi_arvalid,
O => \bus2ip_addr_i[2]_i_1_n_0\
);
\bus2ip_addr_i[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCACCCC"
)
port map (
I0 => s_axi_araddr(1),
I1 => s_axi_awaddr(1),
I2 => state(0),
I3 => state(1),
I4 => s_axi_arvalid,
O => \bus2ip_addr_i[3]_i_1_n_0\
);
\bus2ip_addr_i[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"000000EA"
)
port map (
I0 => s_axi_arvalid,
I1 => s_axi_awvalid,
I2 => s_axi_wvalid,
I3 => state(1),
I4 => state(0),
O => \bus2ip_addr_i[8]_i_1_n_0\
);
\bus2ip_addr_i[8]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCACCCC"
)
port map (
I0 => s_axi_araddr(2),
I1 => s_axi_awaddr(2),
I2 => state(0),
I3 => state(1),
I4 => s_axi_arvalid,
O => \bus2ip_addr_i[8]_i_2_n_0\
);
\bus2ip_addr_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => \bus2ip_addr_i[8]_i_1_n_0\,
D => \bus2ip_addr_i[2]_i_1_n_0\,
Q => bus2ip_addr(6),
R => \^sr\
);
\bus2ip_addr_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => \bus2ip_addr_i[8]_i_1_n_0\,
D => \bus2ip_addr_i[3]_i_1_n_0\,
Q => bus2ip_addr(5),
R => \^sr\
);
\bus2ip_addr_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => \bus2ip_addr_i[8]_i_1_n_0\,
D => \bus2ip_addr_i[8]_i_2_n_0\,
Q => bus2ip_addr(0),
R => \^sr\
);
bus2ip_rnw_i_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"10"
)
port map (
I0 => state(0),
I1 => state(1),
I2 => s_axi_arvalid,
O => bus2ip_rnw_i06_out
);
bus2ip_rnw_i_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => \bus2ip_addr_i[8]_i_1_n_0\,
D => bus2ip_rnw_i06_out,
Q => \^not_dual.gpio_data_out_reg[0]\,
R => \^sr\
);
is_read_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"3FFA000A"
)
port map (
I0 => s_axi_arvalid,
I1 => \state1__2\,
I2 => state(0),
I3 => state(1),
I4 => is_read,
O => is_read_i_1_n_0
);
is_read_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => is_read_i_1_n_0,
Q => is_read,
R => \^sr\
);
is_write_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"0040FFFF00400000"
)
port map (
I0 => s_axi_arvalid,
I1 => s_axi_awvalid,
I2 => s_axi_wvalid,
I3 => state(1),
I4 => is_write,
I5 => is_write_reg_n_0,
O => is_write_i_1_n_0
);
is_write_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F88800000000FFFF"
)
port map (
I0 => \^s_axi_rvalid\,
I1 => s_axi_rready,
I2 => \^s_axi_bvalid\,
I3 => s_axi_bready,
I4 => state(0),
I5 => state(1),
O => is_write
);
is_write_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => is_write_i_1_n_0,
Q => is_write_reg_n_0,
R => \^sr\
);
rst_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => s_axi_aresetn,
O => p_1_in
);
rst_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => p_1_in,
Q => \^sr\,
R => '0'
);
s_axi_bvalid_i_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"08FF0808"
)
port map (
I0 => \^s_axi_wready\,
I1 => state(1),
I2 => state(0),
I3 => s_axi_bready,
I4 => \^s_axi_bvalid\,
O => s_axi_bvalid_i_i_1_n_0
);
s_axi_bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => s_axi_bvalid_i_i_1_n_0,
Q => \^s_axi_bvalid\,
R => \^sr\
);
\s_axi_rdata_i[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => state(0),
I1 => state(1),
O => \s_axi_rdata_i[7]_i_1_n_0\
);
\s_axi_rdata_i_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(0),
Q => s_axi_rdata(0),
R => \^sr\
);
\s_axi_rdata_i_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(1),
Q => s_axi_rdata(1),
R => \^sr\
);
\s_axi_rdata_i_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(2),
Q => s_axi_rdata(2),
R => \^sr\
);
\s_axi_rdata_i_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(3),
Q => s_axi_rdata(3),
R => \^sr\
);
\s_axi_rdata_i_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(4),
Q => s_axi_rdata(4),
R => \^sr\
);
\s_axi_rdata_i_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(5),
Q => s_axi_rdata(5),
R => \^sr\
);
\s_axi_rdata_i_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(6),
Q => s_axi_rdata(6),
R => \^sr\
);
\s_axi_rdata_i_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(7),
Q => s_axi_rdata(7),
R => \^sr\
);
s_axi_rvalid_i_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"08FF0808"
)
port map (
I0 => \^s_axi_arready\,
I1 => state(0),
I2 => state(1),
I3 => s_axi_rready,
I4 => \^s_axi_rvalid\,
O => s_axi_rvalid_i_i_1_n_0
);
s_axi_rvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => s_axi_rvalid_i_i_1_n_0,
Q => \^s_axi_rvalid\,
R => \^sr\
);
start2_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"000000F8"
)
port map (
I0 => s_axi_awvalid,
I1 => s_axi_wvalid,
I2 => s_axi_arvalid,
I3 => state(1),
I4 => state(0),
O => start2_i_1_n_0
);
start2_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => start2_i_1_n_0,
Q => start2,
R => \^sr\
);
\state[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"77FC44FC"
)
port map (
I0 => \state1__2\,
I1 => state(0),
I2 => s_axi_arvalid,
I3 => state(1),
I4 => \^s_axi_wready\,
O => p_0_out(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"5FFC50FC"
)
port map (
I0 => \state1__2\,
I1 => \state[1]_i_3_n_0\,
I2 => state(1),
I3 => state(0),
I4 => \^s_axi_arready\,
O => p_0_out(1)
);
\state[1]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \state1__2\
);
\state[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => s_axi_wvalid,
I1 => s_axi_awvalid,
I2 => s_axi_arvalid,
O => \state[1]_i_3_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => p_0_out(0),
Q => state(0),
R => \^sr\
);
\state_reg[1]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => p_0_out(1),
Q => state(1),
R => \^sr\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_gpio_0_0_axi_lite_ipif is
port (
bus2ip_reset : out STD_LOGIC;
bus2ip_rnw : out STD_LOGIC;
bus2ip_cs : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\Not_Dual.gpio_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_aclk : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_aresetn : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
ip2bus_rdack_i_D1 : in STD_LOGIC;
ip2bus_wrack_i_D1 : in STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
gpio_xferAck_Reg : in STD_LOGIC;
GPIO_xferAck_i : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_gpio_0_0_axi_lite_ipif : entity is "axi_lite_ipif";
end zynq_design_1_axi_gpio_0_0_axi_lite_ipif;
architecture STRUCTURE of zynq_design_1_axi_gpio_0_0_axi_lite_ipif is
begin
I_SLAVE_ATTACHMENT: entity work.zynq_design_1_axi_gpio_0_0_slave_attachment
port map (
D(7 downto 0) => D(7 downto 0),
E(0) => E(0),
GPIO_xferAck_i => GPIO_xferAck_i,
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\ => bus2ip_cs,
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ => \Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\,
\Not_Dual.gpio_Data_Out_reg[0]\ => bus2ip_rnw,
\Not_Dual.gpio_OE_reg[0]\(0) => \Not_Dual.gpio_OE_reg[0]\(0),
Q(7 downto 0) => Q(7 downto 0),
SR => bus2ip_reset,
gpio_xferAck_Reg => gpio_xferAck_Reg,
ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1,
ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1,
s_axi_aclk => s_axi_aclk,
s_axi_araddr(2 downto 0) => s_axi_araddr(2 downto 0),
s_axi_aresetn => s_axi_aresetn,
s_axi_arready => s_axi_arready,
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(2 downto 0) => s_axi_awaddr(2 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(7 downto 0) => s_axi_rdata(7 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(15 downto 0) => s_axi_wdata(15 downto 0),
s_axi_wready => s_axi_wready,
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_gpio_0_0_axi_gpio is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
ip2intc_irpt : out STD_LOGIC;
gpio_io_i : in STD_LOGIC_VECTOR ( 7 downto 0 );
gpio_io_o : out STD_LOGIC_VECTOR ( 7 downto 0 );
gpio_io_t : out STD_LOGIC_VECTOR ( 7 downto 0 );
gpio2_io_i : in STD_LOGIC_VECTOR ( 31 downto 0 );
gpio2_io_o : out STD_LOGIC_VECTOR ( 31 downto 0 );
gpio2_io_t : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute C_ALL_INPUTS : integer;
attribute C_ALL_INPUTS of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 0;
attribute C_ALL_INPUTS_2 : integer;
attribute C_ALL_INPUTS_2 of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 0;
attribute C_ALL_OUTPUTS : integer;
attribute C_ALL_OUTPUTS of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 1;
attribute C_ALL_OUTPUTS_2 : integer;
attribute C_ALL_OUTPUTS_2 of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 0;
attribute C_DOUT_DEFAULT : integer;
attribute C_DOUT_DEFAULT of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 0;
attribute C_DOUT_DEFAULT_2 : integer;
attribute C_DOUT_DEFAULT_2 of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is "zynq";
attribute C_GPIO2_WIDTH : integer;
attribute C_GPIO2_WIDTH of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 32;
attribute C_GPIO_WIDTH : integer;
attribute C_GPIO_WIDTH of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 8;
attribute C_INTERRUPT_PRESENT : integer;
attribute C_INTERRUPT_PRESENT of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 0;
attribute C_IS_DUAL : integer;
attribute C_IS_DUAL of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 0;
attribute C_S_AXI_ADDR_WIDTH : integer;
attribute C_S_AXI_ADDR_WIDTH of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 9;
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is 32;
attribute C_TRI_DEFAULT : integer;
attribute C_TRI_DEFAULT of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is -1;
attribute C_TRI_DEFAULT_2 : integer;
attribute C_TRI_DEFAULT_2 of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is -1;
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is "axi_gpio";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is "yes";
attribute ip_group : string;
attribute ip_group of zynq_design_1_axi_gpio_0_0_axi_gpio : entity is "LOGICORE";
end zynq_design_1_axi_gpio_0_0_axi_gpio;
architecture STRUCTURE of zynq_design_1_axi_gpio_0_0_axi_gpio is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal AXI_LITE_IPIF_I_n_17 : STD_LOGIC;
signal AXI_LITE_IPIF_I_n_6 : STD_LOGIC;
signal AXI_LITE_IPIF_I_n_7 : STD_LOGIC;
signal DBus_Reg : STD_LOGIC_VECTOR ( 0 to 7 );
signal GPIO_xferAck_i : STD_LOGIC;
signal bus2ip_cs : STD_LOGIC;
signal bus2ip_reset : STD_LOGIC;
signal bus2ip_rnw : STD_LOGIC;
signal gpio_core_1_n_19 : STD_LOGIC;
signal gpio_xferAck_Reg : STD_LOGIC;
signal ip2bus_data : STD_LOGIC_VECTOR ( 24 to 31 );
signal ip2bus_data_i_D1 : STD_LOGIC_VECTOR ( 24 to 31 );
signal ip2bus_rdack_i : STD_LOGIC;
signal ip2bus_rdack_i_D1 : STD_LOGIC;
signal ip2bus_wrack_i_D1 : STD_LOGIC;
signal \^s_axi_rdata\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \^s_axi_wready\ : STD_LOGIC;
begin
gpio2_io_o(31) <= \<const0>\;
gpio2_io_o(30) <= \<const0>\;
gpio2_io_o(29) <= \<const0>\;
gpio2_io_o(28) <= \<const0>\;
gpio2_io_o(27) <= \<const0>\;
gpio2_io_o(26) <= \<const0>\;
gpio2_io_o(25) <= \<const0>\;
gpio2_io_o(24) <= \<const0>\;
gpio2_io_o(23) <= \<const0>\;
gpio2_io_o(22) <= \<const0>\;
gpio2_io_o(21) <= \<const0>\;
gpio2_io_o(20) <= \<const0>\;
gpio2_io_o(19) <= \<const0>\;
gpio2_io_o(18) <= \<const0>\;
gpio2_io_o(17) <= \<const0>\;
gpio2_io_o(16) <= \<const0>\;
gpio2_io_o(15) <= \<const0>\;
gpio2_io_o(14) <= \<const0>\;
gpio2_io_o(13) <= \<const0>\;
gpio2_io_o(12) <= \<const0>\;
gpio2_io_o(11) <= \<const0>\;
gpio2_io_o(10) <= \<const0>\;
gpio2_io_o(9) <= \<const0>\;
gpio2_io_o(8) <= \<const0>\;
gpio2_io_o(7) <= \<const0>\;
gpio2_io_o(6) <= \<const0>\;
gpio2_io_o(5) <= \<const0>\;
gpio2_io_o(4) <= \<const0>\;
gpio2_io_o(3) <= \<const0>\;
gpio2_io_o(2) <= \<const0>\;
gpio2_io_o(1) <= \<const0>\;
gpio2_io_o(0) <= \<const0>\;
gpio2_io_t(31) <= \<const1>\;
gpio2_io_t(30) <= \<const1>\;
gpio2_io_t(29) <= \<const1>\;
gpio2_io_t(28) <= \<const1>\;
gpio2_io_t(27) <= \<const1>\;
gpio2_io_t(26) <= \<const1>\;
gpio2_io_t(25) <= \<const1>\;
gpio2_io_t(24) <= \<const1>\;
gpio2_io_t(23) <= \<const1>\;
gpio2_io_t(22) <= \<const1>\;
gpio2_io_t(21) <= \<const1>\;
gpio2_io_t(20) <= \<const1>\;
gpio2_io_t(19) <= \<const1>\;
gpio2_io_t(18) <= \<const1>\;
gpio2_io_t(17) <= \<const1>\;
gpio2_io_t(16) <= \<const1>\;
gpio2_io_t(15) <= \<const1>\;
gpio2_io_t(14) <= \<const1>\;
gpio2_io_t(13) <= \<const1>\;
gpio2_io_t(12) <= \<const1>\;
gpio2_io_t(11) <= \<const1>\;
gpio2_io_t(10) <= \<const1>\;
gpio2_io_t(9) <= \<const1>\;
gpio2_io_t(8) <= \<const1>\;
gpio2_io_t(7) <= \<const1>\;
gpio2_io_t(6) <= \<const1>\;
gpio2_io_t(5) <= \<const1>\;
gpio2_io_t(4) <= \<const1>\;
gpio2_io_t(3) <= \<const1>\;
gpio2_io_t(2) <= \<const1>\;
gpio2_io_t(1) <= \<const1>\;
gpio2_io_t(0) <= \<const1>\;
ip2intc_irpt <= \<const0>\;
s_axi_awready <= \^s_axi_wready\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7 downto 0) <= \^s_axi_rdata\(7 downto 0);
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_wready <= \^s_axi_wready\;
AXI_LITE_IPIF_I: entity work.zynq_design_1_axi_gpio_0_0_axi_lite_ipif
port map (
D(7) => DBus_Reg(0),
D(6) => DBus_Reg(1),
D(5) => DBus_Reg(2),
D(4) => DBus_Reg(3),
D(3) => DBus_Reg(4),
D(2) => DBus_Reg(5),
D(1) => DBus_Reg(6),
D(0) => DBus_Reg(7),
E(0) => AXI_LITE_IPIF_I_n_6,
GPIO_xferAck_i => GPIO_xferAck_i,
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ => AXI_LITE_IPIF_I_n_17,
\Not_Dual.gpio_OE_reg[0]\(0) => AXI_LITE_IPIF_I_n_7,
Q(7) => ip2bus_data_i_D1(24),
Q(6) => ip2bus_data_i_D1(25),
Q(5) => ip2bus_data_i_D1(26),
Q(4) => ip2bus_data_i_D1(27),
Q(3) => ip2bus_data_i_D1(28),
Q(2) => ip2bus_data_i_D1(29),
Q(1) => ip2bus_data_i_D1(30),
Q(0) => ip2bus_data_i_D1(31),
bus2ip_cs => bus2ip_cs,
bus2ip_reset => bus2ip_reset,
bus2ip_rnw => bus2ip_rnw,
gpio_xferAck_Reg => gpio_xferAck_Reg,
ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1,
ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1,
s_axi_aclk => s_axi_aclk,
s_axi_araddr(2) => s_axi_araddr(8),
s_axi_araddr(1 downto 0) => s_axi_araddr(3 downto 2),
s_axi_aresetn => s_axi_aresetn,
s_axi_arready => s_axi_arready,
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(2) => s_axi_awaddr(8),
s_axi_awaddr(1 downto 0) => s_axi_awaddr(3 downto 2),
s_axi_awvalid => s_axi_awvalid,
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(7 downto 0) => \^s_axi_rdata\(7 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(15 downto 8) => s_axi_wdata(31 downto 24),
s_axi_wdata(7 downto 0) => s_axi_wdata(7 downto 0),
s_axi_wready => \^s_axi_wready\,
s_axi_wvalid => s_axi_wvalid
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
gpio_core_1: entity work.zynq_design_1_axi_gpio_0_0_GPIO_Core
port map (
D(7) => ip2bus_data(24),
D(6) => ip2bus_data(25),
D(5) => ip2bus_data(26),
D(4) => ip2bus_data(27),
D(3) => ip2bus_data(28),
D(2) => ip2bus_data(29),
D(1) => ip2bus_data(30),
D(0) => ip2bus_data(31),
E(0) => AXI_LITE_IPIF_I_n_6,
GPIO_xferAck_i => GPIO_xferAck_i,
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(7) => DBus_Reg(0),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(6) => DBus_Reg(1),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(5) => DBus_Reg(2),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(4) => DBus_Reg(3),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(3) => DBus_Reg(4),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(2) => DBus_Reg(5),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(1) => DBus_Reg(6),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(0) => DBus_Reg(7),
SS(0) => bus2ip_reset,
bus2ip_cs => bus2ip_cs,
bus2ip_rnw => bus2ip_rnw,
bus2ip_rnw_i_reg => AXI_LITE_IPIF_I_n_17,
gpio_io_o(7 downto 0) => gpio_io_o(7 downto 0),
gpio_io_t(7 downto 0) => gpio_io_t(7 downto 0),
gpio_xferAck_Reg => gpio_xferAck_Reg,
ip2bus_rdack_i => ip2bus_rdack_i,
ip2bus_wrack_i_D1_reg => gpio_core_1_n_19,
rst_reg(0) => AXI_LITE_IPIF_I_n_7,
s_axi_aclk => s_axi_aclk
);
\ip2bus_data_i_D1_reg[24]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(24),
Q => ip2bus_data_i_D1(24),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[25]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(25),
Q => ip2bus_data_i_D1(25),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[26]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(26),
Q => ip2bus_data_i_D1(26),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[27]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(27),
Q => ip2bus_data_i_D1(27),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[28]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(28),
Q => ip2bus_data_i_D1(28),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[29]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(29),
Q => ip2bus_data_i_D1(29),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[30]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(30),
Q => ip2bus_data_i_D1(30),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[31]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(31),
Q => ip2bus_data_i_D1(31),
R => bus2ip_reset
);
ip2bus_rdack_i_D1_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_rdack_i,
Q => ip2bus_rdack_i_D1,
R => bus2ip_reset
);
ip2bus_wrack_i_D1_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => gpio_core_1_n_19,
Q => ip2bus_wrack_i_D1,
R => bus2ip_reset
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_gpio_0_0 is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
gpio_io_o : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of zynq_design_1_axi_gpio_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of zynq_design_1_axi_gpio_0_0 : entity is "zynq_design_1_axi_gpio_0_0,axi_gpio,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of zynq_design_1_axi_gpio_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of zynq_design_1_axi_gpio_0_0 : entity is "axi_gpio,Vivado 2017.2";
end zynq_design_1_axi_gpio_0_0;
architecture STRUCTURE of zynq_design_1_axi_gpio_0_0 is
signal NLW_U0_ip2intc_irpt_UNCONNECTED : STD_LOGIC;
signal NLW_U0_gpio2_io_o_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_gpio2_io_t_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_gpio_io_t_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
attribute C_ALL_INPUTS : integer;
attribute C_ALL_INPUTS of U0 : label is 0;
attribute C_ALL_INPUTS_2 : integer;
attribute C_ALL_INPUTS_2 of U0 : label is 0;
attribute C_ALL_OUTPUTS : integer;
attribute C_ALL_OUTPUTS of U0 : label is 1;
attribute C_ALL_OUTPUTS_2 : integer;
attribute C_ALL_OUTPUTS_2 of U0 : label is 0;
attribute C_DOUT_DEFAULT : integer;
attribute C_DOUT_DEFAULT of U0 : label is 0;
attribute C_DOUT_DEFAULT_2 : integer;
attribute C_DOUT_DEFAULT_2 of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_GPIO2_WIDTH : integer;
attribute C_GPIO2_WIDTH of U0 : label is 32;
attribute C_GPIO_WIDTH : integer;
attribute C_GPIO_WIDTH of U0 : label is 8;
attribute C_INTERRUPT_PRESENT : integer;
attribute C_INTERRUPT_PRESENT of U0 : label is 0;
attribute C_IS_DUAL : integer;
attribute C_IS_DUAL of U0 : label is 0;
attribute C_S_AXI_ADDR_WIDTH : integer;
attribute C_S_AXI_ADDR_WIDTH of U0 : label is 9;
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of U0 : label is 32;
attribute C_TRI_DEFAULT : integer;
attribute C_TRI_DEFAULT of U0 : label is -1;
attribute C_TRI_DEFAULT_2 : integer;
attribute C_TRI_DEFAULT_2 of U0 : label is -1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
attribute ip_group : string;
attribute ip_group of U0 : label is "LOGICORE";
begin
U0: entity work.zynq_design_1_axi_gpio_0_0_axi_gpio
port map (
gpio2_io_i(31 downto 0) => B"00000000000000000000000000000000",
gpio2_io_o(31 downto 0) => NLW_U0_gpio2_io_o_UNCONNECTED(31 downto 0),
gpio2_io_t(31 downto 0) => NLW_U0_gpio2_io_t_UNCONNECTED(31 downto 0),
gpio_io_i(7 downto 0) => B"00000000",
gpio_io_o(7 downto 0) => gpio_io_o(7 downto 0),
gpio_io_t(7 downto 0) => NLW_U0_gpio_io_t_UNCONNECTED(7 downto 0),
ip2intc_irpt => NLW_U0_ip2intc_irpt_UNCONNECTED,
s_axi_aclk => s_axi_aclk,
s_axi_araddr(8 downto 0) => s_axi_araddr(8 downto 0),
s_axi_aresetn => s_axi_aresetn,
s_axi_arready => s_axi_arready,
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(8 downto 0) => s_axi_awaddr(8 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awvalid => s_axi_awvalid,
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
|
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
--Date : Sat Aug 5 18:37:52 2017
--Host : knuff running 64-bit Debian GNU/Linux 9.0 (stretch)
--Command : generate_target BD_PR_3_wrapper.bd
--Design : BD_PR_3_wrapper
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity BD_PR_3_wrapper is
port (
AXIS_CLK : out STD_LOGIC;
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_cas_n : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
m_axis_data_0_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_0_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_0_tlast : out STD_LOGIC;
m_axis_data_0_tready : in STD_LOGIC;
m_axis_data_0_tvalid : out STD_LOGIC;
m_axis_data_1_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_1_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_1_tlast : out STD_LOGIC;
m_axis_data_1_tready : in STD_LOGIC;
m_axis_data_1_tvalid : out STD_LOGIC;
m_axis_data_2_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_2_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_2_tlast : out STD_LOGIC;
m_axis_data_2_tready : in STD_LOGIC;
m_axis_data_2_tvalid : out STD_LOGIC;
s_axis_data_0_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_0_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_0_tlast : in STD_LOGIC;
s_axis_data_0_tready : out STD_LOGIC;
s_axis_data_0_tvalid : in STD_LOGIC;
s_axis_data_1_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_1_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_1_tlast : in STD_LOGIC;
s_axis_data_1_tready : out STD_LOGIC;
s_axis_data_1_tvalid : in STD_LOGIC;
s_axis_data_2_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_2_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_2_tlast : in STD_LOGIC;
s_axis_data_2_tready : out STD_LOGIC;
s_axis_data_2_tvalid : in STD_LOGIC
);
end BD_PR_3_wrapper;
architecture STRUCTURE of BD_PR_3_wrapper is
component BD_PR_3 is
port (
m_axis_data_0_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_0_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_0_tlast : out STD_LOGIC;
m_axis_data_0_tready : in STD_LOGIC;
m_axis_data_0_tvalid : out STD_LOGIC;
m_axis_data_1_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_1_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_1_tlast : out STD_LOGIC;
m_axis_data_1_tready : in STD_LOGIC;
m_axis_data_1_tvalid : out STD_LOGIC;
m_axis_data_2_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_2_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_2_tlast : out STD_LOGIC;
m_axis_data_2_tready : in STD_LOGIC;
m_axis_data_2_tvalid : out STD_LOGIC;
s_axis_data_0_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_0_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_0_tlast : in STD_LOGIC;
s_axis_data_0_tready : out STD_LOGIC;
s_axis_data_0_tvalid : in STD_LOGIC;
s_axis_data_1_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_1_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_1_tlast : in STD_LOGIC;
s_axis_data_1_tready : out STD_LOGIC;
s_axis_data_1_tvalid : in STD_LOGIC;
s_axis_data_2_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_2_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_2_tlast : in STD_LOGIC;
s_axis_data_2_tready : out STD_LOGIC;
s_axis_data_2_tvalid : in STD_LOGIC;
AXIS_CLK : out STD_LOGIC;
DDR_cas_n : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC
);
end component BD_PR_3;
begin
BD_PR_3_i: component BD_PR_3
port map (
AXIS_CLK => AXIS_CLK,
DDR_addr(14 downto 0) => DDR_addr(14 downto 0),
DDR_ba(2 downto 0) => DDR_ba(2 downto 0),
DDR_cas_n => DDR_cas_n,
DDR_ck_n => DDR_ck_n,
DDR_ck_p => DDR_ck_p,
DDR_cke => DDR_cke,
DDR_cs_n => DDR_cs_n,
DDR_dm(3 downto 0) => DDR_dm(3 downto 0),
DDR_dq(31 downto 0) => DDR_dq(31 downto 0),
DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0),
DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0),
DDR_odt => DDR_odt,
DDR_ras_n => DDR_ras_n,
DDR_reset_n => DDR_reset_n,
DDR_we_n => DDR_we_n,
FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn,
FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp,
FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0),
FIXED_IO_ps_clk => FIXED_IO_ps_clk,
FIXED_IO_ps_porb => FIXED_IO_ps_porb,
FIXED_IO_ps_srstb => FIXED_IO_ps_srstb,
m_axis_data_0_tdata(31 downto 0) => m_axis_data_0_tdata(31 downto 0),
m_axis_data_0_tkeep(3 downto 0) => m_axis_data_0_tkeep(3 downto 0),
m_axis_data_0_tlast => m_axis_data_0_tlast,
m_axis_data_0_tready => m_axis_data_0_tready,
m_axis_data_0_tvalid => m_axis_data_0_tvalid,
m_axis_data_1_tdata(31 downto 0) => m_axis_data_1_tdata(31 downto 0),
m_axis_data_1_tkeep(3 downto 0) => m_axis_data_1_tkeep(3 downto 0),
m_axis_data_1_tlast => m_axis_data_1_tlast,
m_axis_data_1_tready => m_axis_data_1_tready,
m_axis_data_1_tvalid => m_axis_data_1_tvalid,
m_axis_data_2_tdata(31 downto 0) => m_axis_data_2_tdata(31 downto 0),
m_axis_data_2_tkeep(3 downto 0) => m_axis_data_2_tkeep(3 downto 0),
m_axis_data_2_tlast => m_axis_data_2_tlast,
m_axis_data_2_tready => m_axis_data_2_tready,
m_axis_data_2_tvalid => m_axis_data_2_tvalid,
s_axis_data_0_tdata(31 downto 0) => s_axis_data_0_tdata(31 downto 0),
s_axis_data_0_tkeep(3 downto 0) => s_axis_data_0_tkeep(3 downto 0),
s_axis_data_0_tlast => s_axis_data_0_tlast,
s_axis_data_0_tready => s_axis_data_0_tready,
s_axis_data_0_tvalid => s_axis_data_0_tvalid,
s_axis_data_1_tdata(31 downto 0) => s_axis_data_1_tdata(31 downto 0),
s_axis_data_1_tkeep(3 downto 0) => s_axis_data_1_tkeep(3 downto 0),
s_axis_data_1_tlast => s_axis_data_1_tlast,
s_axis_data_1_tready => s_axis_data_1_tready,
s_axis_data_1_tvalid => s_axis_data_1_tvalid,
s_axis_data_2_tdata(31 downto 0) => s_axis_data_2_tdata(31 downto 0),
s_axis_data_2_tkeep(3 downto 0) => s_axis_data_2_tkeep(3 downto 0),
s_axis_data_2_tlast => s_axis_data_2_tlast,
s_axis_data_2_tready => s_axis_data_2_tready,
s_axis_data_2_tvalid => s_axis_data_2_tvalid
);
end STRUCTURE;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- ===========================================================================
-- Description: A flexible scaler for fixed-point values.
-- The scaler is implemented for a set of multiplier and
-- divider values. Each individual scaling operation can
-- arbitrarily select one value from each these sets.
--
-- Authors: Thomas B. Preusser
-- ===========================================================================
-- Copyright 2007-2014 Technische Universitaet Dresden - Germany
-- Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- ===========================================================================
library IEEE;
use IEEE.std_logic_1164.all;
library poc;
use poc.utils.all;
entity arith_scaler is
generic (
-- The set of multipliers to choose from in scaling operations.
MULS : T_POSVEC := (0 => 1);
-- The set of divisors to choose from in scaling operations.
DIVS : T_POSVEC := (0 => 1)
);
port (
---------------------------------------------------------------------------
-- System Control
clk : in std_logic;
rst : in std_logic;
---------------------------------------------------------------------------
-- Start of Computation
-- Strobe 'start'. If a computation is running, it will be canceled.
-- The initiated computation calculates:
--
-- unsigned(arg)*MULS(msel)/DIVS(dsel)
--
-- rounded to the nearest (tie upwards) fixed-point result of the same
-- precision as 'arg'.
start : in std_logic;
arg : in std_logic_vector;
msel : in std_logic_vector(log2ceil(MULS'length)-1 downto 0) := (others => '0');
dsel : in std_logic_vector(log2ceil(DIVS'length)-1 downto 0) := (others => '0');
---------------------------------------------------------------------------
-- Completion
-- 'done' is '1' when no computation is in progress. The result of the
-- last scaling operation is stable and can be read from 'res'. The weight
-- of the LSB of 'res' is the same as the LSB of 'arg'. Make sure to tap
-- a sufficient number of result bits in accordance to the highest scaling
-- ratio to be used in order to avoid a truncation overflow.
done : out std_logic;
res : out std_logic_vector
);
end arith_scaler;
library IEEE;
use IEEE.numeric_std.all;
architecture rtl of arith_scaler is
-- Derived Constants
constant N : positive := arg'length;
constant X : positive := log2ceil(imax(imax(MULS), imax(DIVS)/2+1));
constant R : positive := log2ceil(imax(DIVS)+1);
-- Division Properties
type tDivProps is
record -- Properties of the operation for a divisor
steps : T_POSVEC(DIVS'range); -- Steps to perform
align : T_POSVEC(DIVS'range); -- Left-aligned divisor
end record;
function computeProps return tDivProps is
variable res : tDivProps;
variable min_steps : positive;
begin
for i in DIVS'range loop
res.steps(i) := N+X - log2ceil(DIVS(i)+1) + 1;
end loop;
min_steps := imin(res.steps);
for i in DIVS'range loop
res.align(i) := DIVS(i) * 2**(res.steps(i) - min_steps);
end loop;
return res;
end computeProps;
constant DIV_PROPS : tDivProps := computeProps;
constant MAX_MUL_STEPS : positive := N;
constant MAX_DIV_STEPS : positive := imax(DIV_PROPS.steps);
constant MAX_ANY_STEPS : positive := imax(MAX_MUL_STEPS, MAX_DIV_STEPS);
subtype tResMask is std_logic_vector(MAX_DIV_STEPS-1 downto 0);
type tResMasks is array(natural range<>) of tResMask;
function computeMasks return tResMasks is
variable res : tResMasks(DIVS'range);
begin
for i in DIVS'range loop
res(i) := (others => '0');
res(i)(DIV_PROPS.steps(i)-1 downto 0) := (others => '1');
end loop;
return res;
end computeMasks;
constant RES_MASKS : tResMasks(DIVS'range) := computeMasks;
-- Values computed for the selected multiplier/divisor pair.
signal muloffset : unsigned(X-1 downto 0); -- Offset for correct rounding.
signal multiplier : unsigned(X downto 0); -- The actual multiplier value.
signal divisor : unsigned(R-1 downto 0); -- The actual divisor value.
signal divcini : unsigned(log2ceil(MAX_ANY_STEPS)-1 downto 0); -- Count for division steps.
signal divmask : tResMask; -- Result Mask
begin
-----------------------------------------------------------------------------
-- Compute Parameters according to selected Multiplier/Divisor Pair.
-- Selection of Multiplier
genMultiMul: if MULS'length > 1 generate
signal MS : unsigned(msel'range) := (others => '-');
begin
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
MS <= (others => '-');
elsif start = '1' then
MS <= unsigned(msel);
end if;
end if;
end process;
multiplier <= (others => 'X') when Is_X(std_logic_vector(MS)) else
to_unsigned(MULS(to_integer(MS)), multiplier'length);
end generate genMultiMul;
genSingleMul: if MULS'length = 1 generate
multiplier <= to_unsigned(MULS(0), multiplier'length);
end generate genSingleMul;
-- Selection of Divisor
genMultiDiv: if DIVS'length > 1 generate
signal DS : unsigned(dsel'range) := (others => '-');
begin
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
DS <= (others => '-');
elsif start = '1' then
DS <= unsigned(dsel);
end if;
end if;
end process;
muloffset <= (others => 'X') when Is_X(dsel) else
to_unsigned(DIVS(to_integer(unsigned(dsel)))/2, muloffset'length);
divisor <= (others => 'X') when Is_X(std_logic_vector(DS)) else
to_unsigned(DIV_PROPS.align(to_integer(DS)), divisor'length);
divcini <= (others => 'X') when Is_X(std_logic_vector(DS)) else
to_unsigned(DIV_PROPS.steps(to_integer(DS))-1, divcini'length);
divmask <= (others => 'X') when Is_X(std_logic_vector(DS)) else
RES_MASKS(to_integer(DS));
end generate genMultiDiv;
genSingleDiv: if DIVS'length = 1 generate
muloffset <= to_unsigned(DIVS(0)/2, muloffset'length);
divisor <= to_unsigned(DIV_PROPS.align(0), divisor'length);
divcini <= to_unsigned(DIV_PROPS.steps(0)-1, divcini'length);
divmask <= RES_MASKS(0);
end generate genSingleDiv;
-----------------------------------------------------------------------------
-- Implementation of Scaling Operation
blkMain : block
signal C : unsigned(1+log2ceil(MAX_ANY_STEPS) downto 0) := ('0', others => '-');
signal Q : unsigned(X+N downto 0) := (others => '-');
begin
process(clk)
variable cnxt : unsigned(C'range);
variable d : unsigned(R downto 0);
begin
if rising_edge(clk) then
if rst = '1' then
C <= ('0', others => '-');
Q <= (others => '-');
else
if start = '1' then
C <= "11" & to_unsigned(MAX_MUL_STEPS-1, C'length-2);
Q <= '0' & muloffset & unsigned(arg);
elsif C(C'left) = '1' then
cnxt := C - 1;
if C(C'left-1) = '1' then
-- MUL Phase
Q <= "00" & Q(X+N-1 downto 1);
if Q(0) = '1' then
Q(X+N-1 downto N-1) <= ('0' & Q(X+N-1 downto N)) + multiplier;
end if;
-- Transition to DIV
if cnxt(cnxt'left-1) = '0' then
cnxt(cnxt'left-2 downto 0) := divcini;
end if;
else
-- DIV Phase
d := Q(Q'left downto Q'left-R) - divisor;
Q <= Q(Q'left-1 downto 0) & not d(d'left);
if d(d'left) = '0' then
Q(Q'left downto Q'left-R+1) <= d(d'left-1 downto 0);
end if;
end if;
C <= cnxt;
end if;
end if;
end if;
end process;
done <= not C(C'left);
process(Q, divmask)
variable r : std_logic_vector(res'length-1 downto 0);
begin
r := (others => '0');
r(imin(r'left, tResMask'left) downto 0) :=
std_logic_vector(Q(imin(r'left, tResMask'left) downto 0)) and
divmask(imin(r'left, tResMask'left) downto 0);
res <= r;
end process;
end block blkMain;
end rtl;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- ===========================================================================
-- Description: A flexible scaler for fixed-point values.
-- The scaler is implemented for a set of multiplier and
-- divider values. Each individual scaling operation can
-- arbitrarily select one value from each these sets.
--
-- Authors: Thomas B. Preusser
-- ===========================================================================
-- Copyright 2007-2014 Technische Universitaet Dresden - Germany
-- Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- ===========================================================================
library IEEE;
use IEEE.std_logic_1164.all;
library poc;
use poc.utils.all;
entity arith_scaler is
generic (
-- The set of multipliers to choose from in scaling operations.
MULS : T_POSVEC := (0 => 1);
-- The set of divisors to choose from in scaling operations.
DIVS : T_POSVEC := (0 => 1)
);
port (
---------------------------------------------------------------------------
-- System Control
clk : in std_logic;
rst : in std_logic;
---------------------------------------------------------------------------
-- Start of Computation
-- Strobe 'start'. If a computation is running, it will be canceled.
-- The initiated computation calculates:
--
-- unsigned(arg)*MULS(msel)/DIVS(dsel)
--
-- rounded to the nearest (tie upwards) fixed-point result of the same
-- precision as 'arg'.
start : in std_logic;
arg : in std_logic_vector;
msel : in std_logic_vector(log2ceil(MULS'length)-1 downto 0) := (others => '0');
dsel : in std_logic_vector(log2ceil(DIVS'length)-1 downto 0) := (others => '0');
---------------------------------------------------------------------------
-- Completion
-- 'done' is '1' when no computation is in progress. The result of the
-- last scaling operation is stable and can be read from 'res'. The weight
-- of the LSB of 'res' is the same as the LSB of 'arg'. Make sure to tap
-- a sufficient number of result bits in accordance to the highest scaling
-- ratio to be used in order to avoid a truncation overflow.
done : out std_logic;
res : out std_logic_vector
);
end arith_scaler;
library IEEE;
use IEEE.numeric_std.all;
architecture rtl of arith_scaler is
-- Derived Constants
constant N : positive := arg'length;
constant X : positive := log2ceil(imax(imax(MULS), imax(DIVS)/2+1));
constant R : positive := log2ceil(imax(DIVS)+1);
-- Division Properties
type tDivProps is
record -- Properties of the operation for a divisor
steps : T_POSVEC(DIVS'range); -- Steps to perform
align : T_POSVEC(DIVS'range); -- Left-aligned divisor
end record;
function computeProps return tDivProps is
variable res : tDivProps;
variable min_steps : positive;
begin
for i in DIVS'range loop
res.steps(i) := N+X - log2ceil(DIVS(i)+1) + 1;
end loop;
min_steps := imin(res.steps);
for i in DIVS'range loop
res.align(i) := DIVS(i) * 2**(res.steps(i) - min_steps);
end loop;
return res;
end computeProps;
constant DIV_PROPS : tDivProps := computeProps;
constant MAX_MUL_STEPS : positive := N;
constant MAX_DIV_STEPS : positive := imax(DIV_PROPS.steps);
constant MAX_ANY_STEPS : positive := imax(MAX_MUL_STEPS, MAX_DIV_STEPS);
subtype tResMask is std_logic_vector(MAX_DIV_STEPS-1 downto 0);
type tResMasks is array(natural range<>) of tResMask;
function computeMasks return tResMasks is
variable res : tResMasks(DIVS'range);
begin
for i in DIVS'range loop
res(i) := (others => '0');
res(i)(DIV_PROPS.steps(i)-1 downto 0) := (others => '1');
end loop;
return res;
end computeMasks;
constant RES_MASKS : tResMasks(DIVS'range) := computeMasks;
-- Values computed for the selected multiplier/divisor pair.
signal muloffset : unsigned(X-1 downto 0); -- Offset for correct rounding.
signal multiplier : unsigned(X downto 0); -- The actual multiplier value.
signal divisor : unsigned(R-1 downto 0); -- The actual divisor value.
signal divcini : unsigned(log2ceil(MAX_ANY_STEPS)-1 downto 0); -- Count for division steps.
signal divmask : tResMask; -- Result Mask
begin
-----------------------------------------------------------------------------
-- Compute Parameters according to selected Multiplier/Divisor Pair.
-- Selection of Multiplier
genMultiMul: if MULS'length > 1 generate
signal MS : unsigned(msel'range) := (others => '-');
begin
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
MS <= (others => '-');
elsif start = '1' then
MS <= unsigned(msel);
end if;
end if;
end process;
multiplier <= (others => 'X') when Is_X(std_logic_vector(MS)) else
to_unsigned(MULS(to_integer(MS)), multiplier'length);
end generate genMultiMul;
genSingleMul: if MULS'length = 1 generate
multiplier <= to_unsigned(MULS(0), multiplier'length);
end generate genSingleMul;
-- Selection of Divisor
genMultiDiv: if DIVS'length > 1 generate
signal DS : unsigned(dsel'range) := (others => '-');
begin
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
DS <= (others => '-');
elsif start = '1' then
DS <= unsigned(dsel);
end if;
end if;
end process;
muloffset <= (others => 'X') when Is_X(dsel) else
to_unsigned(DIVS(to_integer(unsigned(dsel)))/2, muloffset'length);
divisor <= (others => 'X') when Is_X(std_logic_vector(DS)) else
to_unsigned(DIV_PROPS.align(to_integer(DS)), divisor'length);
divcini <= (others => 'X') when Is_X(std_logic_vector(DS)) else
to_unsigned(DIV_PROPS.steps(to_integer(DS))-1, divcini'length);
divmask <= (others => 'X') when Is_X(std_logic_vector(DS)) else
RES_MASKS(to_integer(DS));
end generate genMultiDiv;
genSingleDiv: if DIVS'length = 1 generate
muloffset <= to_unsigned(DIVS(0)/2, muloffset'length);
divisor <= to_unsigned(DIV_PROPS.align(0), divisor'length);
divcini <= to_unsigned(DIV_PROPS.steps(0)-1, divcini'length);
divmask <= RES_MASKS(0);
end generate genSingleDiv;
-----------------------------------------------------------------------------
-- Implementation of Scaling Operation
blkMain : block
signal C : unsigned(1+log2ceil(MAX_ANY_STEPS) downto 0) := ('0', others => '-');
signal Q : unsigned(X+N downto 0) := (others => '-');
begin
process(clk)
variable cnxt : unsigned(C'range);
variable d : unsigned(R downto 0);
begin
if rising_edge(clk) then
if rst = '1' then
C <= ('0', others => '-');
Q <= (others => '-');
else
if start = '1' then
C <= "11" & to_unsigned(MAX_MUL_STEPS-1, C'length-2);
Q <= '0' & muloffset & unsigned(arg);
elsif C(C'left) = '1' then
cnxt := C - 1;
if C(C'left-1) = '1' then
-- MUL Phase
Q <= "00" & Q(X+N-1 downto 1);
if Q(0) = '1' then
Q(X+N-1 downto N-1) <= ('0' & Q(X+N-1 downto N)) + multiplier;
end if;
-- Transition to DIV
if cnxt(cnxt'left-1) = '0' then
cnxt(cnxt'left-2 downto 0) := divcini;
end if;
else
-- DIV Phase
d := Q(Q'left downto Q'left-R) - divisor;
Q <= Q(Q'left-1 downto 0) & not d(d'left);
if d(d'left) = '0' then
Q(Q'left downto Q'left-R+1) <= d(d'left-1 downto 0);
end if;
end if;
C <= cnxt;
end if;
end if;
end if;
end process;
done <= not C(C'left);
process(Q, divmask)
variable r : std_logic_vector(res'length-1 downto 0);
begin
r := (others => '0');
r(imin(r'left, tResMask'left) downto 0) :=
std_logic_vector(Q(imin(r'left, tResMask'left) downto 0)) and
divmask(imin(r'left, tResMask'left) downto 0);
res <= r;
end process;
end block blkMain;
end rtl;
|
------------------------------------------------------------------
-- image_capture component
------------------------------------------------------------------
-- This component is used to save an image in memory. It uses two
-- buffers in memory with addresses buff0 and buff1 to do it.
-- When start_capture is asserted the component waits for the next
-- positive flank of frame valid to synchronize and start at the
-- beginning of a new image. Then, every time data_valid is
-- asserted the component packs the {R,G,B,Gray} components into a
-- 32-bit (when components are 8-bit) or 64-bit (when
-- components are 16-bit) word and writes it to the avalon bus.
-- It is supossed that the bus can react in a single
-- clock cycle to the writes because waitrequest signal of avalon
-- specification is not implemented. In case the slave bus cannot
-- react in a single cycle an Avalon FIFO should be implemented
-- in between the master of this component and the slave where
-- data is being written. The component starts writting in buff0.
-- When a line from the image is acquired buff0full signal is
-- asserted during 1 clock cycle. Next line is written into buff1.
-- When a line from the image is acquired again the bus asserts
-- buff1full line for 1 cycle. Next line is saved in buff0 again.
-- So the component goes writing odd lines in buff0 and even lines
-- in buff1 until all lines in one image (image_height) are acquired.
-- The processor (or whatever component processes acquired lines)
-- should empty one buffer before this component finishes
-- filling the other one so data is not lost.
------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.math_real.all; -- For using ceil and log2.
use IEEE.NUMERIC_STD.all; -- For using to_unsigned.
use ieee.std_logic_unsigned.all; -- Needed for the sum used in counter.
ENTITY image_capture IS
GENERIC (
-- Size of each color component (8 or 16).
COMPONENT_SIZE : integer := 8
);
PORT (
-- Clock and reset.
clk : IN STD_LOGIC;
reset_n : IN STD_LOGIC;
-- Signals from the video stream.
R : IN STD_LOGIC_VECTOR((COMPONENT_SIZE - 1) downto 0);
G : IN STD_LOGIC_VECTOR((COMPONENT_SIZE - 1) downto 0);
B : IN STD_LOGIC_VECTOR((COMPONENT_SIZE - 1) downto 0);
Gray : IN STD_LOGIC_VECTOR((COMPONENT_SIZE - 1) downto 0);
--Signals to control the component
-- When fram_valid is 1, the image from camera is being aquired.
frame_valid : IN STD_LOGIC;
data_valid : IN STD_LOGIC; -- Valid pixel in R,G,B,Gray inputs.
-- Signals to control this component (usually coming from avalon_camera)
-- When start_capture is 1, start getting a new image.
start_capture : IN STD_LOGIC;
-- Number of columns and rows in the input image array.
image_width : IN STD_LOGIC_VECTOR(15 downto 0);
image_height : IN STD_LOGIC_VECTOR(15 downto 0);
-- Odd lines buffer address.
buff0 : IN STD_LOGIC_VECTOR(31 downto 0);
-- Even lines buffer address.
buff1 : IN STD_LOGIC_VECTOR(31 downto 0);
-- Signals indicating that buffers are full (Active 1 clock cycle only).
buff0full : OUT STD_LOGIC;
buff1full : OUT STD_LOGIC;
-- Signal indicating standby state
--(outside of reset, waiting for flank in start_capture)
standby : OUT STD_LOGIC;
-- Avalon MM Master port to save data into a memory.
-- Byte adresses are multiples of 4 when accessing 32-bit data.
address : OUT STD_LOGIC_VECTOR(31 downto 0);
write : OUT STD_LOGIC;
byteenable :OUT STD_LOGIC_VECTOR((COMPONENT_SIZE/2-1) downto 0);
writedata : OUT STD_LOGIC_VECTOR((COMPONENT_SIZE*4-1) downto 0);
waitrequest : IN STD_LOGIC;
burstcount : OUT STD_LOGIC_VECTOR(6 downto 0)
);
END image_capture;
ARCHITECTURE arch OF image_capture IS
constant NUMBER_OF_STATES : INTEGER := 6;
--signals for the evolution of the state machine
SIGNAL current_state : INTEGER range 0 to (NUMBER_OF_STATES - 1);
SIGNAL next_state : INTEGER range 0 to (NUMBER_OF_STATES - 1);
-- Conditions to change next state.
-- State_condition(x) condition to go from x to x+1.
SIGNAL state_condition : STD_LOGIC_VECTOR((NUMBER_OF_STATES - 2) downto 0);
SIGNAL condition_5_to_4 : STD_LOGIC;
SIGNAL condition_5_to_1 : STD_LOGIC;
--counters.
SIGNAL pix_counter : STD_LOGIC_VECTOR(12 downto 0);
SIGNAL line_counter : STD_LOGIC_VECTOR(12 downto 0);
SIGNAL line_end_reached : STD_LOGIC;
SIGNAL image_end_reached: STD_LOGIC;
-- Write_buff: it saves the address where the next pixel will be saved.
SIGNAL write_buff : STD_LOGIC_VECTOR(31 downto 0);
-- 0 if writting in buff0, 1 if writting in buff1.
SIGNAL current_buff : STD_LOGIC;
-- captures a flank in start capture that comes from other clock region.
SIGNAL start_capture_reg: STD_LOGIC;
BEGIN
-- FSM (Finite State Machine) clocking and reset.
fsm_mem: PROCESS (clk,reset_n)
BEGIN
IF rising_edge(clk) THEN
IF reset_n='0' THEN current_state <= 0;
ELSE
current_state<=next_state;
END IF;
END IF;
END PROCESS fsm_mem;
-- Evolution of FSM.
comb_fsm: PROCESS (current_state, state_condition, condition_5_to_1,
condition_5_to_4)
BEGIN
CASE current_state IS
WHEN 0 =>
IF state_condition(0) = '1' THEN next_state <= 1;
ELSE next_state<=0; END IF;
WHEN 1 =>
IF state_condition(1) = '1' THEN next_state <= 2;
ELSE next_state<=1; END IF;
WHEN 2 =>
IF state_condition(2) = '1' THEN next_state <= 3;
ELSE next_state<=2; END IF;
WHEN 3 =>
IF state_condition(3) = '1' THEN next_state <= 4;
ELSE next_state<=3; END IF;
WHEN 4 =>
IF state_condition(4) = '1' THEN next_state <= 5;
ELSE next_state<=4; END IF;
WHEN 5 =>
IF condition_5_to_1 = '1' THEN next_state <= 1;
ELSIF condition_5_to_4 = '1' THEN next_state <= 4;
ELSE next_state<=5; END IF;
WHEN OTHERS =>
next_state <= 0;
END CASE;
END PROCESS comb_fsm;
-- Conditions of FSM.
state_condition(0) <= '1';
state_condition(1) <= start_capture_reg;
state_condition(2) <= not(frame_valid);
state_condition(3) <= frame_valid;
state_condition(4) <= line_end_reached;
condition_5_to_1 <= image_end_reached;
condition_5_to_4 <= not (image_end_reached);
-- Evaluation and update pix_counter.
pix_counter_proc:process (clk, current_state, data_valid)
begin
if rising_edge(clk) then
if (current_state = 1) or (current_state = 5) then
pix_counter <= (others => '0'); --reset ctr
elsif (current_state = 4) and (data_valid = '1') then -- ctr incremented
pix_counter <= pix_counter + 1;
end if;
end if;
if pix_counter = image_width(12 downto 0) then
line_end_reached <= '1';
else
line_end_reached <= '0';
end if;
end process;
-- Evaluation and update of line_counter.
line_counter_proc:process (clk, current_state, data_valid)
begin
if rising_edge(clk) then
if (current_state = 1) then
line_counter <= (others => '0'); -- reset ctr
elsif (current_state = 5) then -- ctr incremented
line_counter <= line_counter + 1;
end if;
end if;
if line_counter = image_height(12 downto 0) then
image_end_reached <= '1';
else
image_end_reached <= '0';
end if;
end process;
-- Generate standby signal
WITH current_state SELECT standby <=
'1' WHEN 1,
'0' WHEN OTHERS;
-- Generate Avalon bus signals
address <= write_buff;
writedata <= Gray & B & G & R;
byteenable <= (others => '1');
write_proc: process (current_state, data_valid)
begin
if (current_state = 4) and (data_valid = '1') then write <= '1';
else write <= '0'; end if;
end process;
burstcount <= "0000001"; --always single transactions (no burst)
-- Write_buff, current_buff, buff0full and buff1full generation
buff_proc:process (clk, current_state)
begin
if rising_edge(clk) then
if current_state = 1 then --reset signals to initial values
write_buff <= buff0;
current_buff <= '0';
buff0full <= '0';
buff1full <= '0';
elsif current_state = 5 and current_buff = '0'then
write_buff <= buff1;
current_buff <= '1';
buff0full <= '1';
buff1full <= '0';
elsif current_state = 5 and current_buff = '1'then
write_buff <= buff0;
current_buff <= '0';
buff0full <= '0';
buff1full <= '1';
elsif current_state = 4 then
buff0full <= '0';
buff1full <= '0';
if data_valid = '1' then
write_buff <= write_buff + (COMPONENT_SIZE/2);
end if;
end if;
end if;
end process;
-- Detection of a flank in start_capture. This signal is coming from the
-- processor and could have different clock. That's why flank is detected
-- instead of level.
start_capture_reg_proc:process(start_capture)
begin
if (current_state = 2 or current_state = 0) then
start_capture_reg <= '0';
elsif rising_edge(start_capture) then
start_capture_reg <= '1';
end if;
end process;
END arch;
|
-- -----------------------------------------------------------------------
--
-- Company: INVEA-TECH a.s.
--
-- Project: IPFIX design
--
-- -----------------------------------------------------------------------
--
-- (c) Copyright 2011 INVEA-TECH a.s.
-- All rights reserved.
--
-- Please review the terms of the license agreement before using this
-- file. If you are not an authorized user, please destroy this
-- source code file and notify INVEA-TECH a.s. immediately that you
-- inadvertently received an unauthorized copy.
--
-- -----------------------------------------------------------------------
--
--
-- dec1fn_enable.vhd: Decoder 1 from n with ENABLE
-- Copyright (C) 2007 CESNET
-- Author(s): Martin Kosek <[email protected]>
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
-- 3. Neither the name of the Company nor the names of its contributors
-- may be used to endorse or promote products derived from this
-- software without specific prior written permission.
--
-- This software is provided ``as is'', and any express or implied
-- warranties, including, but not limited to, the implied warranties of
-- merchantability and fitness for a particular purpose are disclaimed.
-- In no event shall the company or contributors be liable for any
-- direct, indirect, incidental, special, exemplary, or consequential
-- damages (including, but not limited to, procurement of substitute
-- goods or services; loss of use, data, or profits; or business
-- interruption) however caused and on any theory of liability, whether
-- in contract, strict liability, or tort (including negligence or
-- otherwise) arising in any way out of the use of this software, even
-- if advised of the possibility of such damage.
--
--
-- TODO:
--
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use work.math_pack.all;
-- ----------------------------------------------------------------------------
-- Entity declaration
-- ----------------------------------------------------------------------------
entity dec1fn2b is
generic(
ITEMS : integer := 8
);
port(
ADDR : out std_logic_vector(log2(ITEMS)-1 downto 0);
ENABLE : in std_logic;
DI : in std_logic_vector(ITEMS-1 downto 0)
);
end entity dec1fn2b;
-- ----------------------------------------------------------------------------
-- Architecture declaration
-- ----------------------------------------------------------------------------
architecture behavioral of dec1fn2b is
begin
process(DI, ENABLE)
begin
ADDR <= (others => '0');
if ENABLE = '1' then
for i in 0 to (ITEMS-1) loop
if (DI(i) = '1') then
ADDR <= conv_std_logic_vector(i, log2(ITEMS));
end if;
end loop;
end if;
end process;
end architecture behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
use work.lz4_pkg.all;
entity lz4_utline is
port (
clk_i : in std_logic;
reset_i : in std_logic;
length_i : in std_logic_vector(12 downto 0);
position_i : in std_logic_vector(12 downto 0);
-- flags:
Fs : in std_logic_vector(2 downto 0);
match : out std_logic;
-- output from the hash
O_DATA_i : in std_logic_vector(159 downto 0);
O_VAL_i : in std_logic;
I_RDY_i : in std_logic;
-- for the dict comp
startpars_o : out std_logic;
dictLine_i : in std_logic_vector(185 downto 0);
todictLine_o : out std_logic_vector(185 downto 0);
-- line used by the out level
lineToOut_o : out std_logic_vector(185 downto 0);
offset_o : out std_logic_vector(12 downto 0)
);
end lz4_utline;
architecture behavior of lz4_utline is
begin
-- process to manage the flags from the FSM
process
begin
-- wait for a change in the Fe flag
if (Fs'event) then
if (Fs = "000") then -- "beginning" state
elsif (Fs = "010") then -- "no match" state
elsif (Fs = "001") then -- "match" state
elsif (Fs = "111") then -- "end" or "no more match" states
else
report "Error with the Fs flag in utline" severity error;
end if;
end if;
end process;
end;
|
-- -------------------------------------------------------------
--
-- Generated Configuration for inst_d_e
--
-- Generated
-- by: wig
-- on: Mon Mar 22 13:27:59 2004
-- cmd: H:\work\mix_new\mix\mix_0.pl -strip -nodelta ../../mde_tests.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_d_e-rtl-conf-c.vhd,v 1.1 2004/04/06 10:50:40 wig Exp $
-- $Date: 2004/04/06 10:50:40 $
-- $Log: inst_d_e-rtl-conf-c.vhd,v $
-- Revision 1.1 2004/04/06 10:50:40 wig
-- Adding result/mde_tests
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.37 2003/12/23 13:25:21 abauer Exp
--
-- Generator: mix_0.pl Version: Revision: 1.26 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration inst_d_e_rtl_conf / inst_d_e
--
configuration inst_d_e_rtl_conf of inst_d_e is
for rtl
-- Generated Configuration
end for;
end inst_d_e_rtl_conf;
--
-- End of Generated Configuration inst_d_e_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_13_fg_13_08.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
library star_lib;
--use star_lib.edge_triggered_dff;
use star_lib.all;
configuration full of counter is
for registered -- architecture of counter
for all : digit_register
use entity work.reg4(struct);
for struct -- architecture of reg4
for bit0 : flipflop
use entity edge_triggered_Dff(hi_fanout);
end for;
for others : flipflop
use entity edge_triggered_Dff(basic);
end for;
end for; -- end of architecture struct
end for;
-- . . . -- bindings for other component instances
end for; -- end of architecture registered
end configuration full;
-- not in book
entity fg_13_08 is
end entity fg_13_08;
use work.counter_types.all;
architecture test of fg_13_08 is
signal clk, clr : bit := '0';
signal q0, q1 : digit;
begin
dut : configuration work.full
port map ( clk => clk, clr => clr,
q0 => q0, q1 => q1 );
clk_gen : clk <= not clk after 20 ns;
clr_gen : clr <= '1' after 95 ns,
'0' after 135 ns;
end architecture test;
-- end not in book
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_13_fg_13_08.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
library star_lib;
--use star_lib.edge_triggered_dff;
use star_lib.all;
configuration full of counter is
for registered -- architecture of counter
for all : digit_register
use entity work.reg4(struct);
for struct -- architecture of reg4
for bit0 : flipflop
use entity edge_triggered_Dff(hi_fanout);
end for;
for others : flipflop
use entity edge_triggered_Dff(basic);
end for;
end for; -- end of architecture struct
end for;
-- . . . -- bindings for other component instances
end for; -- end of architecture registered
end configuration full;
-- not in book
entity fg_13_08 is
end entity fg_13_08;
use work.counter_types.all;
architecture test of fg_13_08 is
signal clk, clr : bit := '0';
signal q0, q1 : digit;
begin
dut : configuration work.full
port map ( clk => clk, clr => clr,
q0 => q0, q1 => q1 );
clk_gen : clk <= not clk after 20 ns;
clr_gen : clr <= '1' after 95 ns,
'0' after 135 ns;
end architecture test;
-- end not in book
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_13_fg_13_08.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
library star_lib;
--use star_lib.edge_triggered_dff;
use star_lib.all;
configuration full of counter is
for registered -- architecture of counter
for all : digit_register
use entity work.reg4(struct);
for struct -- architecture of reg4
for bit0 : flipflop
use entity edge_triggered_Dff(hi_fanout);
end for;
for others : flipflop
use entity edge_triggered_Dff(basic);
end for;
end for; -- end of architecture struct
end for;
-- . . . -- bindings for other component instances
end for; -- end of architecture registered
end configuration full;
-- not in book
entity fg_13_08 is
end entity fg_13_08;
use work.counter_types.all;
architecture test of fg_13_08 is
signal clk, clr : bit := '0';
signal q0, q1 : digit;
begin
dut : configuration work.full
port map ( clk => clk, clr => clr,
q0 => q0, q1 => q1 );
clk_gen : clk <= not clk after 20 ns;
clr_gen : clr <= '1' after 95 ns,
'0' after 135 ns;
end architecture test;
-- end not in book
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for struct of pads_eastsouth
--
-- Generated
-- by: wig
-- on: Mon Mar 5 15:01:50 2007
-- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl ../padio2.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: pads_eastsouth-struct-a.vhd,v 1.6 2007/03/05 15:29:26 wig Exp $
-- $Date: 2007/03/05 15:29:26 $
-- $Log: pads_eastsouth-struct-a.vhd,v $
-- Revision 1.6 2007/03/05 15:29:26 wig
-- Updated testcase.
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.104 2007/03/03 17:24:06 wig Exp
--
-- Generator: mix_0.pl Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture struct of pads_eastsouth
--
architecture struct of pads_eastsouth is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
component ioc
-- No Generated Generics
port (
-- Generated Port for Entity ioc
bypass : in std_ulogic_vector(1 downto 0);
clk : in std_ulogic_vector(1 downto 0);
clockdr_i : in std_ulogic;
di : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
do : in std_ulogic_vector(1 downto 0);
en : in std_ulogic_vector(1 downto 0);
enq : in std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
iddq : in std_ulogic_vector(1 downto 0);
mode_1_i : in std_ulogic;
mode_2_i : in std_ulogic;
mode_3_i : in std_ulogic;
mux_sel_p : in std_ulogic_vector(1 downto 0);
oe : in std_ulogic_vector(1 downto 0);
pad : inout std_ulogic;
pd : in std_ulogic_vector(1 downto 0);
res_n : in std_ulogic;
scan_en_i : in std_ulogic;
scan_i : in std_ulogic;
scan_o : out std_ulogic;
serial_input_i : in std_ulogic;
serial_output_o : out std_ulogic;
shiftdr_i : in std_ulogic;
tck_i : in std_ulogic;
tenq : in std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
updatedr_i : in std_ulogic
-- End of Generated Port for Entity ioc
);
end component;
-- ---------
--
-- Generated Signal List
--
signal mix_logic1_96 : std_ulogic;
signal mix_logic1_97 : std_ulogic;
signal mix_logic0_32 : std_ulogic;
signal mix_logic0_35 : std_ulogic;
signal clkf81 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal clockdr_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal default : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal mode_1_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal mode_2_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal mode_3_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal pmux_sel_por : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal ramclkin_o : std_ulogic;
signal ramd_12 : std_ulogic;
signal ramd_byp_i : std_ulogic;
signal ramd_enq_i : std_ulogic;
signal ramd_i : std_ulogic_vector(12) -- __W_SINGLE_BIT_BUS;
-- __I_OUT_OPEN signal ramd_o : std_ulogic_vector(12) -- __W_SINGLE_BIT_BUS;
signal ramd_oe_i : std_ulogic;
signal ramd_pd_i : std_ulogic;
signal ramd_tenq_i : std_ulogic;
signal res_f81_n : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal s_in_ramd_12 : std_ulogic;
-- __I_OUT_OPEN signal s_out_ramd_12 : std_ulogic;
signal scan_en_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal shiftdr_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal tck_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal updatedr_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
mix_logic1_96 <= '1';
mix_logic1_97 <= '1';
mix_logic0_32 <= '0';
mix_logic0_35 <= '0';
clkf81 <= clkf81_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
clockdr_i <= clockdr_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
default <= default_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
mode_1_i <= mode_1_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
mode_2_i <= mode_2_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
mode_3_i <= mode_3_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
pmux_sel_por <= pmux_sel_por_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
res_f81_n <= res_f81_n_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
scan_en_i <= scan_en_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
shiftdr_i <= shiftdr_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
tck_i <= tck_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
updatedr_i <= updatedr_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
--
-- Generated Instances and Port Mappings
--
-- Generated Instance Port Map for ioc_ramd_12
ioc_ramd_12: ioc
port map (
bypass(0) => ramd_byp_i, -- __I_BIT_TO_BUSPORT
clk(0) => ramclkin_o, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => open, -- __I_OUT_OPEN
do(0 downto 0) => ramd_i, -- __W_PORT
do(1) => mix_logic1_96, -- __I_BIT_TO_BUSPORT
enq => ramd_enq_i,
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
oe(0) => ramd_oe_i, -- __I_BIT_TO_BUSPORT
oe(1) => mix_logic0_32, -- __I_BIT_TO_BUSPORT
pad => ramd_12,
pd(0) => ramd_pd_i, -- __I_BIT_TO_BUSPORT
pd(1) => mix_logic1_97, -- __I_BIT_TO_BUSPORT
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_35,
scan_o => open,
serial_input_i => s_in_ramd_12,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
tenq => ramd_tenq_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_ramd_12
end struct;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
entity AdderN is
generic (N : integer := 16);
port ( A : in std_logic_vector(N-1 downto 0);
B : in std_logic_vector(N-1 downto 0);
S : out std_logic_vector(N-1 downto 0)
);
end AdderN;
architecture Behavioral of AdderN is
begin
S <= A + B;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- $Id: dmem_ctrl_pack-p.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $
--
-- Copyright (c) 2004, Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-------------------------------------------------------------------------------
package t48_dmem_ctrl_pack is
-----------------------------------------------------------------------------
-- Address Type Identifier
-----------------------------------------------------------------------------
type dmem_addr_ident_t is (DM_PLAIN,
DM_REG,
DM_STACK,
DM_STACK_HIGH);
end t48_dmem_ctrl_pack;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.1 2004/03/23 21:31:52 arniml
-- initial check-in
--
-------------------------------------------------------------------------------
|
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.ODDR;
use unisim.IBUFDS_GTXE1;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity gtxclk is
port ( clk_p : in std_logic; -- input clock
clk_n : in std_logic; -- input clock
clkint : out std_ulogic; -- internal gtx clock
clkout : out std_ulogic -- external DDR clock
);
end;
architecture rtl of gtxclk is
component IBUFDS_GTXE1
generic (
CLKCM_CFG : boolean := TRUE;
CLKRCV_TRST : boolean := TRUE;
REFCLKOUT_DLY : bit_vector := b"0000000000"
);
port (
O : out std_ulogic;
ODIV2 : out std_ulogic;
CEB : in std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component ODDR
generic
( DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
-- INIT : bit := '0';
SRTYPE : string := "SYNC");
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
signal vcc, gnd, clkl, clkin : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
x0 : ODDR port map ( Q => clkout, C => clkin, CE => vcc,
D1 => gnd, D2 => vcc, R => gnd, S => gnd);
x1 : IBUFDS_GTXE1
port map ( O => clkl, ODIV2 => open, CEB => gnd,
I => clk_p, IB => clk_n);
x2 : BUFG port map (I => clkl, O => clkin);
clkint <= clkin;
end;
|
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.ODDR;
use unisim.IBUFDS_GTXE1;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity gtxclk is
port ( clk_p : in std_logic; -- input clock
clk_n : in std_logic; -- input clock
clkint : out std_ulogic; -- internal gtx clock
clkout : out std_ulogic -- external DDR clock
);
end;
architecture rtl of gtxclk is
component IBUFDS_GTXE1
generic (
CLKCM_CFG : boolean := TRUE;
CLKRCV_TRST : boolean := TRUE;
REFCLKOUT_DLY : bit_vector := b"0000000000"
);
port (
O : out std_ulogic;
ODIV2 : out std_ulogic;
CEB : in std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component ODDR
generic
( DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
-- INIT : bit := '0';
SRTYPE : string := "SYNC");
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
signal vcc, gnd, clkl, clkin : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
x0 : ODDR port map ( Q => clkout, C => clkin, CE => vcc,
D1 => gnd, D2 => vcc, R => gnd, S => gnd);
x1 : IBUFDS_GTXE1
port map ( O => clkl, ODIV2 => open, CEB => gnd,
I => clk_p, IB => clk_n);
x2 : BUFG port map (I => clkl, O => clkin);
clkint <= clkin;
end;
|
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.ODDR;
use unisim.IBUFDS_GTXE1;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity gtxclk is
port ( clk_p : in std_logic; -- input clock
clk_n : in std_logic; -- input clock
clkint : out std_ulogic; -- internal gtx clock
clkout : out std_ulogic -- external DDR clock
);
end;
architecture rtl of gtxclk is
component IBUFDS_GTXE1
generic (
CLKCM_CFG : boolean := TRUE;
CLKRCV_TRST : boolean := TRUE;
REFCLKOUT_DLY : bit_vector := b"0000000000"
);
port (
O : out std_ulogic;
ODIV2 : out std_ulogic;
CEB : in std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component ODDR
generic
( DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
-- INIT : bit := '0';
SRTYPE : string := "SYNC");
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
signal vcc, gnd, clkl, clkin : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
x0 : ODDR port map ( Q => clkout, C => clkin, CE => vcc,
D1 => gnd, D2 => vcc, R => gnd, S => gnd);
x1 : IBUFDS_GTXE1
port map ( O => clkl, ODIV2 => open, CEB => gnd,
I => clk_p, IB => clk_n);
x2 : BUFG port map (I => clkl, O => clkin);
clkint <= clkin;
end;
|
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.ODDR;
use unisim.IBUFDS_GTXE1;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity gtxclk is
port ( clk_p : in std_logic; -- input clock
clk_n : in std_logic; -- input clock
clkint : out std_ulogic; -- internal gtx clock
clkout : out std_ulogic -- external DDR clock
);
end;
architecture rtl of gtxclk is
component IBUFDS_GTXE1
generic (
CLKCM_CFG : boolean := TRUE;
CLKRCV_TRST : boolean := TRUE;
REFCLKOUT_DLY : bit_vector := b"0000000000"
);
port (
O : out std_ulogic;
ODIV2 : out std_ulogic;
CEB : in std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component ODDR
generic
( DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
-- INIT : bit := '0';
SRTYPE : string := "SYNC");
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
signal vcc, gnd, clkl, clkin : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
x0 : ODDR port map ( Q => clkout, C => clkin, CE => vcc,
D1 => gnd, D2 => vcc, R => gnd, S => gnd);
x1 : IBUFDS_GTXE1
port map ( O => clkl, ODIV2 => open, CEB => gnd,
I => clk_p, IB => clk_n);
x2 : BUFG port map (I => clkl, O => clkin);
clkint <= clkin;
end;
|
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
--Date : Wed May 03 18:19:08 2017
--Host : LAPTOP-IQ9G3D1I running 64-bit major release (build 9200)
--Command : generate_target bd_wrapper.bd
--Design : bd_wrapper
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity bd_wrapper is
port (
DDR2_addr : out STD_LOGIC_VECTOR ( 12 downto 0 );
DDR2_ba : out STD_LOGIC_VECTOR ( 2 downto 0 );
DDR2_cas_n : out STD_LOGIC;
DDR2_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR2_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR2_cke : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR2_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR2_dm : out STD_LOGIC_VECTOR ( 1 downto 0 );
DDR2_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 );
DDR2_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 );
DDR2_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 );
DDR2_odt : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR2_ras_n : out STD_LOGIC;
DDR2_we_n : out STD_LOGIC;
S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arready : out STD_LOGIC;
S00_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arvalid : in STD_LOGIC;
S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awready : out STD_LOGIC;
S00_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awvalid : in STD_LOGIC;
S00_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_bready : in STD_LOGIC;
S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_bvalid : out STD_LOGIC;
S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_rlast : out STD_LOGIC;
S00_AXI_rready : in STD_LOGIC;
S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_rvalid : out STD_LOGIC;
S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_wlast : in STD_LOGIC;
S00_AXI_wready : out STD_LOGIC;
S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_wvalid : in STD_LOGIC;
aclk : out STD_LOGIC;
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
sys_clk_i : in STD_LOGIC;
sys_rst : in STD_LOGIC
);
end bd_wrapper;
architecture STRUCTURE of bd_wrapper is
component bd is
port (
DDR2_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 );
DDR2_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 );
DDR2_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 );
DDR2_addr : out STD_LOGIC_VECTOR ( 12 downto 0 );
DDR2_ba : out STD_LOGIC_VECTOR ( 2 downto 0 );
DDR2_ras_n : out STD_LOGIC;
DDR2_cas_n : out STD_LOGIC;
DDR2_we_n : out STD_LOGIC;
DDR2_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR2_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR2_cke : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR2_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR2_dm : out STD_LOGIC_VECTOR ( 1 downto 0 );
DDR2_odt : out STD_LOGIC_VECTOR ( 0 to 0 );
aclk : out STD_LOGIC;
sys_rst : in STD_LOGIC;
sys_clk_i : in STD_LOGIC;
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awvalid : in STD_LOGIC;
S00_AXI_awready : out STD_LOGIC;
S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_wlast : in STD_LOGIC;
S00_AXI_wvalid : in STD_LOGIC;
S00_AXI_wready : out STD_LOGIC;
S00_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_bvalid : out STD_LOGIC;
S00_AXI_bready : in STD_LOGIC;
S00_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arvalid : in STD_LOGIC;
S00_AXI_arready : out STD_LOGIC;
S00_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_rlast : out STD_LOGIC;
S00_AXI_rvalid : out STD_LOGIC;
S00_AXI_rready : in STD_LOGIC
);
end component bd;
begin
bd_i: component bd
port map (
DDR2_addr(12 downto 0) => DDR2_addr(12 downto 0),
DDR2_ba(2 downto 0) => DDR2_ba(2 downto 0),
DDR2_cas_n => DDR2_cas_n,
DDR2_ck_n(0) => DDR2_ck_n(0),
DDR2_ck_p(0) => DDR2_ck_p(0),
DDR2_cke(0) => DDR2_cke(0),
DDR2_cs_n(0) => DDR2_cs_n(0),
DDR2_dm(1 downto 0) => DDR2_dm(1 downto 0),
DDR2_dq(15 downto 0) => DDR2_dq(15 downto 0),
DDR2_dqs_n(1 downto 0) => DDR2_dqs_n(1 downto 0),
DDR2_dqs_p(1 downto 0) => DDR2_dqs_p(1 downto 0),
DDR2_odt(0) => DDR2_odt(0),
DDR2_ras_n => DDR2_ras_n,
DDR2_we_n => DDR2_we_n,
S00_AXI_araddr(31 downto 0) => S00_AXI_araddr(31 downto 0),
S00_AXI_arburst(1 downto 0) => S00_AXI_arburst(1 downto 0),
S00_AXI_arcache(3 downto 0) => S00_AXI_arcache(3 downto 0),
S00_AXI_arid(3 downto 0) => S00_AXI_arid(3 downto 0),
S00_AXI_arlen(7 downto 0) => S00_AXI_arlen(7 downto 0),
S00_AXI_arlock(0) => S00_AXI_arlock(0),
S00_AXI_arprot(2 downto 0) => S00_AXI_arprot(2 downto 0),
S00_AXI_arqos(3 downto 0) => S00_AXI_arqos(3 downto 0),
S00_AXI_arready => S00_AXI_arready,
S00_AXI_arregion(3 downto 0) => S00_AXI_arregion(3 downto 0),
S00_AXI_arsize(2 downto 0) => S00_AXI_arsize(2 downto 0),
S00_AXI_arvalid => S00_AXI_arvalid,
S00_AXI_awaddr(31 downto 0) => S00_AXI_awaddr(31 downto 0),
S00_AXI_awburst(1 downto 0) => S00_AXI_awburst(1 downto 0),
S00_AXI_awcache(3 downto 0) => S00_AXI_awcache(3 downto 0),
S00_AXI_awid(3 downto 0) => S00_AXI_awid(3 downto 0),
S00_AXI_awlen(7 downto 0) => S00_AXI_awlen(7 downto 0),
S00_AXI_awlock(0) => S00_AXI_awlock(0),
S00_AXI_awprot(2 downto 0) => S00_AXI_awprot(2 downto 0),
S00_AXI_awqos(3 downto 0) => S00_AXI_awqos(3 downto 0),
S00_AXI_awready => S00_AXI_awready,
S00_AXI_awregion(3 downto 0) => S00_AXI_awregion(3 downto 0),
S00_AXI_awsize(2 downto 0) => S00_AXI_awsize(2 downto 0),
S00_AXI_awvalid => S00_AXI_awvalid,
S00_AXI_bid(3 downto 0) => S00_AXI_bid(3 downto 0),
S00_AXI_bready => S00_AXI_bready,
S00_AXI_bresp(1 downto 0) => S00_AXI_bresp(1 downto 0),
S00_AXI_bvalid => S00_AXI_bvalid,
S00_AXI_rdata(31 downto 0) => S00_AXI_rdata(31 downto 0),
S00_AXI_rid(3 downto 0) => S00_AXI_rid(3 downto 0),
S00_AXI_rlast => S00_AXI_rlast,
S00_AXI_rready => S00_AXI_rready,
S00_AXI_rresp(1 downto 0) => S00_AXI_rresp(1 downto 0),
S00_AXI_rvalid => S00_AXI_rvalid,
S00_AXI_wdata(31 downto 0) => S00_AXI_wdata(31 downto 0),
S00_AXI_wlast => S00_AXI_wlast,
S00_AXI_wready => S00_AXI_wready,
S00_AXI_wstrb(3 downto 0) => S00_AXI_wstrb(3 downto 0),
S00_AXI_wvalid => S00_AXI_wvalid,
aclk => aclk,
interconnect_aresetn(0) => interconnect_aresetn(0),
peripheral_aresetn(0) => peripheral_aresetn(0),
sys_clk_i => sys_clk_i,
sys_rst => sys_rst
);
end STRUCTURE;
|
--*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.9
-- \ \ Application : MIG
-- / / Filename : memc3_tb_top.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $
-- \ \ / \ Date Created : Jul 03 2009
-- \___\/\___\
--
--Device : Spartan-6
--Design Name : DDR/DDR2/DDR3/LPDDR
--Purpose : This is top level module for test bench. which instantiates
-- init_mem_pattern_ctr and mcb_traffic_gen modules for each user
-- port.
--Reference :
--Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity memc3_tb_top is
generic
(
C_P0_MASK_SIZE : integer := 4;
C_P0_DATA_PORT_SIZE : integer := 32;
C_P1_MASK_SIZE : integer := 4;
C_P1_DATA_PORT_SIZE : integer := 32;
C_MEM_BURST_LEN : integer := 8;
C_SIMULATION : string := "FALSE";
C_MEM_NUM_COL_BITS : integer := 11;
C_NUM_DQ_PINS : integer := 8;
C_SMALL_DEVICE : string := "FALSE";
C_p0_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000100";
C_p0_DATA_MODE : std_logic_vector(3 downto 0) := "0010";
C_p0_END_ADDRESS : std_logic_vector(31 downto 0) := X"000002ff";
C_p0_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"fffffc00";
C_p0_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00000100";
C_p1_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000300";
C_p1_DATA_MODE : std_logic_vector(3 downto 0) := "0010";
C_p1_END_ADDRESS : std_logic_vector(31 downto 0) := X"000004ff";
C_p1_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"fffff800";
C_p1_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00000300"
);
port
(
clk0 : in std_logic;
rst0 : in std_logic;
calib_done : in std_logic;
p0_mcb_cmd_en_o : out std_logic;
p0_mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
p0_mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
p0_mcb_cmd_addr_o : out std_logic_vector(29 downto 0);
p0_mcb_cmd_full_i : in std_logic;
p0_mcb_wr_en_o : out std_logic;
p0_mcb_wr_mask_o : out std_logic_vector(C_P0_MASK_SIZE - 1 downto 0);
p0_mcb_wr_data_o : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0);
p0_mcb_wr_full_i : in std_logic;
p0_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
p0_mcb_rd_en_o : out std_logic;
p0_mcb_rd_data_i : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0);
p0_mcb_rd_empty_i : in std_logic;
p0_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
p1_mcb_cmd_en_o : out std_logic;
p1_mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
p1_mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
p1_mcb_cmd_addr_o : out std_logic_vector(29 downto 0);
p1_mcb_cmd_full_i : in std_logic;
p1_mcb_wr_en_o : out std_logic;
p1_mcb_wr_mask_o : out std_logic_vector(C_P1_MASK_SIZE - 1 downto 0);
p1_mcb_wr_data_o : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0);
p1_mcb_wr_full_i : in std_logic;
p1_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
p1_mcb_rd_en_o : out std_logic;
p1_mcb_rd_data_i : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0);
p1_mcb_rd_empty_i : in std_logic;
p1_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
vio_modify_enable : in std_logic;
vio_data_mode_value : in std_logic_vector(2 downto 0);
vio_addr_mode_value : in std_logic_vector(2 downto 0);
cmp_error : out std_logic;
cmp_data : out std_logic_vector(31 downto 0);
cmp_data_valid : out std_logic;
error : out std_logic;
error_status : out std_logic_vector(127 downto 0)
);
end memc3_tb_top;
architecture arc of memc3_tb_top is
function ERROR_DQWIDTH (val_i : integer) return integer is
begin
if (val_i = 4) then
return 1;
else
return val_i/8;
end if;
end function ERROR_DQWIDTH;
constant DQ_ERROR_WIDTH : integer := ERROR_DQWIDTH(C_NUM_DQ_PINS);
component init_mem_pattern_ctr IS
generic (
FAMILY : string;
BEGIN_ADDRESS : std_logic_vector(31 downto 0);
END_ADDRESS : std_logic_vector(31 downto 0);
DWIDTH : integer;
CMD_SEED_VALUE : std_logic_vector(31 downto 0);
DATA_SEED_VALUE : std_logic_vector(31 downto 0);
DATA_MODE : std_logic_vector(3 downto 0);
PORT_MODE : string
);
PORT (
clk_i : in std_logic;
rst_i : in std_logic;
mcb_cmd_bl_i : in std_logic_vector(5 downto 0);
mcb_cmd_en_i : in std_logic;
mcb_cmd_instr_i : in std_logic_vector(2 downto 0);
mcb_init_done_i : in std_logic;
mcb_wr_en_i : in std_logic;
vio_modify_enable : in std_logic;
vio_data_mode_value : in std_logic_vector(2 downto 0);
vio_addr_mode_value : in std_logic_vector(2 downto 0);
vio_bl_mode_value : in STD_LOGIC_VECTOR(1 downto 0);
vio_fixed_bl_value : in STD_LOGIC_VECTOR(5 downto 0);
cmp_error : in std_logic;
run_traffic_o : out std_logic;
start_addr_o : out std_logic_vector(31 downto 0);
end_addr_o : out std_logic_vector(31 downto 0);
cmd_seed_o : out std_logic_vector(31 downto 0);
data_seed_o : out std_logic_vector(31 downto 0);
load_seed_o : out std_logic;
addr_mode_o : out std_logic_vector(2 downto 0);
instr_mode_o : out std_logic_vector(3 downto 0);
bl_mode_o : out std_logic_vector(1 downto 0);
data_mode_o : out std_logic_vector(3 downto 0);
mode_load_o : out std_logic;
fixed_bl_o : out std_logic_vector(5 downto 0);
fixed_instr_o : out std_logic_vector(2 downto 0);
fixed_addr_o : out std_logic_vector(31 downto 0)
);
end component;
component mcb_traffic_gen is
generic (
FAMILY : string;
SIMULATION : string;
MEM_BURST_LEN : integer;
PORT_MODE : string;
DATA_PATTERN : string;
CMD_PATTERN : string;
ADDR_WIDTH : integer;
CMP_DATA_PIPE_STAGES : integer;
MEM_COL_WIDTH : integer;
NUM_DQ_PINS : integer;
DQ_ERROR_WIDTH : integer;
DWIDTH : integer;
PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0);
PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0);
PRBS_EADDR : std_logic_vector(31 downto 0);
PRBS_SADDR : std_logic_vector(31 downto 0)
);
port (
clk_i : in std_logic;
rst_i : in std_logic;
run_traffic_i : in std_logic;
manual_clear_error : in std_logic;
-- *** runtime parameter ***
start_addr_i : in std_logic_vector(31 downto 0);
end_addr_i : in std_logic_vector(31 downto 0);
cmd_seed_i : in std_logic_vector(31 downto 0);
data_seed_i : in std_logic_vector(31 downto 0);
load_seed_i : in std_logic;
addr_mode_i : in std_logic_vector(2 downto 0);
instr_mode_i : in std_logic_vector(3 downto 0);
bl_mode_i : in std_logic_vector(1 downto 0);
data_mode_i : in std_logic_vector(3 downto 0);
mode_load_i : in std_logic;
-- fixed pattern inputs interface
fixed_bl_i : in std_logic_vector(5 downto 0);
fixed_instr_i : in std_logic_vector(2 downto 0);
fixed_addr_i : in std_logic_vector(31 downto 0);
fixed_data_i : IN STD_LOGIC_VECTOR(DWIDTH-1 DOWNTO 0);
bram_cmd_i : in std_logic_vector(38 downto 0);
bram_valid_i : in std_logic;
bram_rdy_o : out std_logic;
--///////////////////////////////////////////////////////////////////////////
-- MCB INTERFACE
-- interface to mcb command port
mcb_cmd_en_o : out std_logic;
mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
mcb_cmd_addr_o : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
mcb_cmd_full_i : in std_logic;
-- interface to mcb wr data port
mcb_wr_en_o : out std_logic;
mcb_wr_data_o : out std_logic_vector(DWIDTH - 1 downto 0);
mcb_wr_mask_o : out std_logic_vector((DWIDTH / 8) - 1 downto 0);
mcb_wr_data_end_o : OUT std_logic;
mcb_wr_full_i : in std_logic;
mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
-- interface to mcb rd data port
mcb_rd_en_o : out std_logic;
mcb_rd_data_i : in std_logic_vector(DWIDTH - 1 downto 0);
mcb_rd_empty_i : in std_logic;
mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
--///////////////////////////////////////////////////////////////////////////
-- status feedback
counts_rst : in std_logic;
wr_data_counts : out std_logic_vector(47 downto 0);
rd_data_counts : out std_logic_vector(47 downto 0);
cmp_data : out std_logic_vector(DWIDTH - 1 downto 0);
cmp_data_valid : out std_logic;
cmp_error : out std_logic;
error : out std_logic;
error_status : out std_logic_vector(64 + (2 * DWIDTH - 1) downto 0);
mem_rd_data : out std_logic_vector(DWIDTH - 1 downto 0);
dq_error_bytelane_cmp : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0);
cumlative_dq_lane_error : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0)
);
end component;
-- Function to determine the number of data patterns to be generated
function DATA_PATTERN_CALC return string is
begin
if (C_SMALL_DEVICE = "FALSE") then
return "DGEN_ALL";
else
return "DGEN_ADDR";
end if;
end function;
constant FAMILY : string := "SPARTAN6";
constant DATA_PATTERN : string := DATA_PATTERN_CALC;
constant CMD_PATTERN : string := "CGEN_ALL";
constant ADDR_WIDTH : integer := 30;
constant CMP_DATA_PIPE_STAGES : integer := 0;
constant PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00007000";
constant PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"FFFF8000";
constant PRBS_SADDR : std_logic_vector(31 downto 0) := X"00005000";
constant PRBS_EADDR : std_logic_vector(31 downto 0) := X"00007fff";
constant BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000000";
constant END_ADDRESS : std_logic_vector(31 downto 0) := X"00000fff";
constant DATA_MODE : std_logic_vector(3 downto 0) := "0010";
constant p0_DWIDTH : integer := 32;
constant p1_DWIDTH : integer := 32;
constant p0_PORT_MODE : string := "BI_MODE";
constant p1_PORT_MODE : string := "BI_MODE";
--p0 Signal declarations
signal p0_tg_run_traffic : std_logic;
signal p0_tg_start_addr : std_logic_vector(31 downto 0);
signal p0_tg_end_addr : std_logic_vector(31 downto 0);
signal p0_tg_cmd_seed : std_logic_vector(31 downto 0);
signal p0_tg_data_seed : std_logic_vector(31 downto 0);
signal p0_tg_load_seed : std_logic;
signal p0_tg_addr_mode : std_logic_vector(2 downto 0);
signal p0_tg_instr_mode : std_logic_vector(3 downto 0);
signal p0_tg_bl_mode : std_logic_vector(1 downto 0);
signal p0_tg_data_mode : std_logic_vector(3 downto 0);
signal p0_tg_mode_load : std_logic;
signal p0_tg_fixed_bl : std_logic_vector(5 downto 0);
signal p0_tg_fixed_instr : std_logic_vector(2 downto 0);
signal p0_tg_fixed_addr : std_logic_vector(31 downto 0);
signal p0_error_status : std_logic_vector(64 + (2*p0_DWIDTH - 1) downto 0);
signal p0_error : std_logic;
signal p0_cmp_error : std_logic;
signal p0_cmp_data : std_logic_vector(p0_DWIDTH-1 downto 0);
signal p0_cmp_data_valid : std_logic;
signal p0_mcb_cmd_en_o_int : std_logic;
signal p0_mcb_cmd_instr_o_int : std_logic_vector(2 downto 0);
signal p0_mcb_cmd_bl_o_int : std_logic_vector(5 downto 0);
signal p0_mcb_cmd_addr_o_int : std_logic_vector(29 downto 0);
signal p0_mcb_wr_en_o_int : std_logic;
--p1 Signal declarations
signal p1_tg_run_traffic : std_logic;
signal p1_tg_start_addr : std_logic_vector(31 downto 0);
signal p1_tg_end_addr : std_logic_vector(31 downto 0);
signal p1_tg_cmd_seed : std_logic_vector(31 downto 0);
signal p1_tg_data_seed : std_logic_vector(31 downto 0);
signal p1_tg_load_seed : std_logic;
signal p1_tg_addr_mode : std_logic_vector(2 downto 0);
signal p1_tg_instr_mode : std_logic_vector(3 downto 0);
signal p1_tg_bl_mode : std_logic_vector(1 downto 0);
signal p1_tg_data_mode : std_logic_vector(3 downto 0);
signal p1_tg_mode_load : std_logic;
signal p1_tg_fixed_bl : std_logic_vector(5 downto 0);
signal p1_tg_fixed_instr : std_logic_vector(2 downto 0);
signal p1_tg_fixed_addr : std_logic_vector(31 downto 0);
signal p1_error_status : std_logic_vector(64 + (2*p1_DWIDTH - 1) downto 0);
signal p1_error : std_logic;
signal p1_cmp_error : std_logic;
signal p1_cmp_data : std_logic_vector(p1_DWIDTH-1 downto 0);
signal p1_cmp_data_valid : std_logic;
signal p1_mcb_cmd_en_o_int : std_logic;
signal p1_mcb_cmd_instr_o_int : std_logic_vector(2 downto 0);
signal p1_mcb_cmd_bl_o_int : std_logic_vector(5 downto 0);
signal p1_mcb_cmd_addr_o_int : std_logic_vector(29 downto 0);
signal p1_mcb_wr_en_o_int : std_logic;
--signal cmp_data : std_logic_vector(31 downto 0);
begin
cmp_error <= p0_cmp_error or p1_cmp_error;
error <= p0_error or p1_error;
error_status <= p0_error_status;
cmp_data <= p0_cmp_data(31 downto 0);
cmp_data_valid <= p0_cmp_data_valid;
p0_mcb_cmd_en_o <= p0_mcb_cmd_en_o_int;
p0_mcb_cmd_instr_o <= p0_mcb_cmd_instr_o_int;
p0_mcb_cmd_bl_o <= p0_mcb_cmd_bl_o_int;
p0_mcb_cmd_addr_o <= p0_mcb_cmd_addr_o_int;
p0_mcb_wr_en_o <= p0_mcb_wr_en_o_int;
init_mem_pattern_ctr_p0 :init_mem_pattern_ctr
generic map
(
DWIDTH => p0_DWIDTH,
FAMILY => FAMILY,
BEGIN_ADDRESS => C_p0_BEGIN_ADDRESS,
END_ADDRESS => C_p0_END_ADDRESS,
CMD_SEED_VALUE => X"56456783",
DATA_SEED_VALUE => X"12345678",
DATA_MODE => C_p0_DATA_MODE,
PORT_MODE => p0_PORT_MODE
)
port map
(
clk_i => clk0,
rst_i => rst0,
mcb_cmd_en_i => p0_mcb_cmd_en_o_int,
mcb_cmd_instr_i => p0_mcb_cmd_instr_o_int,
mcb_cmd_bl_i => p0_mcb_cmd_bl_o_int,
mcb_wr_en_i => p0_mcb_wr_en_o_int,
vio_modify_enable => vio_modify_enable,
vio_data_mode_value => vio_data_mode_value,
vio_addr_mode_value => vio_addr_mode_value,
vio_bl_mode_value => "10",--vio_bl_mode_value,
vio_fixed_bl_value => "000000",--vio_fixed_bl_value,
mcb_init_done_i => calib_done,
cmp_error => p0_error,
run_traffic_o => p0_tg_run_traffic,
start_addr_o => p0_tg_start_addr,
end_addr_o => p0_tg_end_addr ,
cmd_seed_o => p0_tg_cmd_seed ,
data_seed_o => p0_tg_data_seed ,
load_seed_o => p0_tg_load_seed ,
addr_mode_o => p0_tg_addr_mode ,
instr_mode_o => p0_tg_instr_mode ,
bl_mode_o => p0_tg_bl_mode ,
data_mode_o => p0_tg_data_mode ,
mode_load_o => p0_tg_mode_load ,
fixed_bl_o => p0_tg_fixed_bl ,
fixed_instr_o => p0_tg_fixed_instr,
fixed_addr_o => p0_tg_fixed_addr
);
m_traffic_gen_p0 : mcb_traffic_gen
generic map(
MEM_BURST_LEN => C_MEM_BURST_LEN,
MEM_COL_WIDTH => C_MEM_NUM_COL_BITS,
NUM_DQ_PINS => C_NUM_DQ_PINS,
DQ_ERROR_WIDTH => DQ_ERROR_WIDTH,
PORT_MODE => p0_PORT_MODE,
DWIDTH => p0_DWIDTH,
CMP_DATA_PIPE_STAGES => CMP_DATA_PIPE_STAGES,
FAMILY => FAMILY,
SIMULATION => "FALSE",
DATA_PATTERN => DATA_PATTERN,
CMD_PATTERN => "CGEN_ALL",
ADDR_WIDTH => 30,
PRBS_SADDR_MASK_POS => C_p0_PRBS_SADDR_MASK_POS,
PRBS_EADDR_MASK_POS => C_p0_PRBS_EADDR_MASK_POS,
PRBS_SADDR => C_p0_BEGIN_ADDRESS,
PRBS_EADDR => C_p0_END_ADDRESS
)
port map
(
clk_i => clk0,
rst_i => rst0,
run_traffic_i => p0_tg_run_traffic,
manual_clear_error => rst0,
-- runtime parameter
start_addr_i => p0_tg_start_addr ,
end_addr_i => p0_tg_end_addr ,
cmd_seed_i => p0_tg_cmd_seed ,
data_seed_i => p0_tg_data_seed ,
load_seed_i => p0_tg_load_seed,
addr_mode_i => p0_tg_addr_mode,
instr_mode_i => p0_tg_instr_mode ,
bl_mode_i => p0_tg_bl_mode ,
data_mode_i => p0_tg_data_mode ,
mode_load_i => p0_tg_mode_load ,
-- fixed pattern inputs interface
fixed_bl_i => p0_tg_fixed_bl,
fixed_instr_i => p0_tg_fixed_instr,
fixed_addr_i => p0_tg_fixed_addr,
fixed_data_i => (others => '0'),
-- BRAM interface.
bram_cmd_i => (others => '0'),
bram_valid_i => '0',
bram_rdy_o => open,
-- MCB INTERFACE
mcb_cmd_en_o => p0_mcb_cmd_en_o_int,
mcb_cmd_instr_o => p0_mcb_cmd_instr_o_int,
mcb_cmd_bl_o => p0_mcb_cmd_bl_o_int,
mcb_cmd_addr_o => p0_mcb_cmd_addr_o_int,
mcb_cmd_full_i => p0_mcb_cmd_full_i,
mcb_wr_en_o => p0_mcb_wr_en_o_int,
mcb_wr_mask_o => p0_mcb_wr_mask_o,
mcb_wr_data_o => p0_mcb_wr_data_o,
mcb_wr_data_end_o => open,
mcb_wr_full_i => p0_mcb_wr_full_i,
mcb_wr_fifo_counts => p0_mcb_wr_fifo_counts,
mcb_rd_en_o => p0_mcb_rd_en_o,
mcb_rd_data_i => p0_mcb_rd_data_i,
mcb_rd_empty_i => p0_mcb_rd_empty_i,
mcb_rd_fifo_counts => p0_mcb_rd_fifo_counts,
-- status feedback
counts_rst => rst0,
wr_data_counts => open,
rd_data_counts => open,
cmp_data => p0_cmp_data,
cmp_data_valid => p0_cmp_data_valid,
cmp_error => p0_cmp_error,
error => p0_error,
error_status => p0_error_status,
mem_rd_data => open,
dq_error_bytelane_cmp => open,
cumlative_dq_lane_error => open
);
p1_mcb_cmd_en_o <= p1_mcb_cmd_en_o_int;
p1_mcb_cmd_instr_o <= p1_mcb_cmd_instr_o_int;
p1_mcb_cmd_bl_o <= p1_mcb_cmd_bl_o_int;
p1_mcb_cmd_addr_o <= p1_mcb_cmd_addr_o_int;
p1_mcb_wr_en_o <= p1_mcb_wr_en_o_int;
init_mem_pattern_ctr_p1 :init_mem_pattern_ctr
generic map
(
DWIDTH => p1_DWIDTH,
FAMILY => FAMILY,
BEGIN_ADDRESS => C_p1_BEGIN_ADDRESS,
END_ADDRESS => C_p1_END_ADDRESS,
CMD_SEED_VALUE => X"56456783",
DATA_SEED_VALUE => X"12345678",
DATA_MODE => C_p1_DATA_MODE,
PORT_MODE => p1_PORT_MODE
)
port map
(
clk_i => clk0,
rst_i => rst0,
mcb_cmd_en_i => p1_mcb_cmd_en_o_int,
mcb_cmd_instr_i => p1_mcb_cmd_instr_o_int,
mcb_cmd_bl_i => p1_mcb_cmd_bl_o_int,
mcb_wr_en_i => p1_mcb_wr_en_o_int,
vio_modify_enable => vio_modify_enable,
vio_data_mode_value => vio_data_mode_value,
vio_addr_mode_value => vio_addr_mode_value,
vio_bl_mode_value => "10",--vio_bl_mode_value,
vio_fixed_bl_value => "000000",--vio_fixed_bl_value,
mcb_init_done_i => calib_done,
cmp_error => p1_error,
run_traffic_o => p1_tg_run_traffic,
start_addr_o => p1_tg_start_addr,
end_addr_o => p1_tg_end_addr ,
cmd_seed_o => p1_tg_cmd_seed ,
data_seed_o => p1_tg_data_seed ,
load_seed_o => p1_tg_load_seed ,
addr_mode_o => p1_tg_addr_mode ,
instr_mode_o => p1_tg_instr_mode ,
bl_mode_o => p1_tg_bl_mode ,
data_mode_o => p1_tg_data_mode ,
mode_load_o => p1_tg_mode_load ,
fixed_bl_o => p1_tg_fixed_bl ,
fixed_instr_o => p1_tg_fixed_instr,
fixed_addr_o => p1_tg_fixed_addr
);
m_traffic_gen_p1 : mcb_traffic_gen
generic map(
MEM_BURST_LEN => C_MEM_BURST_LEN,
MEM_COL_WIDTH => C_MEM_NUM_COL_BITS,
NUM_DQ_PINS => C_NUM_DQ_PINS,
DQ_ERROR_WIDTH => DQ_ERROR_WIDTH,
PORT_MODE => p1_PORT_MODE,
DWIDTH => p1_DWIDTH,
CMP_DATA_PIPE_STAGES => CMP_DATA_PIPE_STAGES,
FAMILY => FAMILY,
SIMULATION => "FALSE",
DATA_PATTERN => DATA_PATTERN,
CMD_PATTERN => "CGEN_ALL",
ADDR_WIDTH => 30,
PRBS_SADDR_MASK_POS => C_p1_PRBS_SADDR_MASK_POS,
PRBS_EADDR_MASK_POS => C_p1_PRBS_EADDR_MASK_POS,
PRBS_SADDR => C_p1_BEGIN_ADDRESS,
PRBS_EADDR => C_p1_END_ADDRESS
)
port map
(
clk_i => clk0,
rst_i => rst0,
run_traffic_i => p1_tg_run_traffic,
manual_clear_error => rst0,
-- runtime parameter
start_addr_i => p1_tg_start_addr ,
end_addr_i => p1_tg_end_addr ,
cmd_seed_i => p1_tg_cmd_seed ,
data_seed_i => p1_tg_data_seed ,
load_seed_i => p1_tg_load_seed,
addr_mode_i => p1_tg_addr_mode,
instr_mode_i => p1_tg_instr_mode ,
bl_mode_i => p1_tg_bl_mode ,
data_mode_i => p1_tg_data_mode ,
mode_load_i => p1_tg_mode_load ,
-- fixed pattern inputs interface
fixed_bl_i => p1_tg_fixed_bl,
fixed_instr_i => p1_tg_fixed_instr,
fixed_addr_i => p1_tg_fixed_addr,
fixed_data_i => (others => '0'),
-- BRAM interface.
bram_cmd_i => (others => '0'),
bram_valid_i => '0',
bram_rdy_o => open,
-- MCB INTERFACE
mcb_cmd_en_o => p1_mcb_cmd_en_o_int,
mcb_cmd_instr_o => p1_mcb_cmd_instr_o_int,
mcb_cmd_bl_o => p1_mcb_cmd_bl_o_int,
mcb_cmd_addr_o => p1_mcb_cmd_addr_o_int,
mcb_cmd_full_i => p1_mcb_cmd_full_i,
mcb_wr_en_o => p1_mcb_wr_en_o_int,
mcb_wr_mask_o => p1_mcb_wr_mask_o,
mcb_wr_data_o => p1_mcb_wr_data_o,
mcb_wr_data_end_o => open,
mcb_wr_full_i => p1_mcb_wr_full_i,
mcb_wr_fifo_counts => p1_mcb_wr_fifo_counts,
mcb_rd_en_o => p1_mcb_rd_en_o,
mcb_rd_data_i => p1_mcb_rd_data_i,
mcb_rd_empty_i => p1_mcb_rd_empty_i,
mcb_rd_fifo_counts => p1_mcb_rd_fifo_counts,
-- status feedback
counts_rst => rst0,
wr_data_counts => open,
rd_data_counts => open,
cmp_data => p1_cmp_data,
cmp_data_valid => p1_cmp_data_valid,
cmp_error => p1_cmp_error,
error => p1_error,
error_status => p1_error_status,
mem_rd_data => open,
dq_error_bytelane_cmp => open,
cumlative_dq_lane_error => open
);
end architecture;
|
--*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.9
-- \ \ Application : MIG
-- / / Filename : memc3_tb_top.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $
-- \ \ / \ Date Created : Jul 03 2009
-- \___\/\___\
--
--Device : Spartan-6
--Design Name : DDR/DDR2/DDR3/LPDDR
--Purpose : This is top level module for test bench. which instantiates
-- init_mem_pattern_ctr and mcb_traffic_gen modules for each user
-- port.
--Reference :
--Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity memc3_tb_top is
generic
(
C_P0_MASK_SIZE : integer := 4;
C_P0_DATA_PORT_SIZE : integer := 32;
C_P1_MASK_SIZE : integer := 4;
C_P1_DATA_PORT_SIZE : integer := 32;
C_MEM_BURST_LEN : integer := 8;
C_SIMULATION : string := "FALSE";
C_MEM_NUM_COL_BITS : integer := 11;
C_NUM_DQ_PINS : integer := 8;
C_SMALL_DEVICE : string := "FALSE";
C_p0_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000100";
C_p0_DATA_MODE : std_logic_vector(3 downto 0) := "0010";
C_p0_END_ADDRESS : std_logic_vector(31 downto 0) := X"000002ff";
C_p0_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"fffffc00";
C_p0_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00000100";
C_p1_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000300";
C_p1_DATA_MODE : std_logic_vector(3 downto 0) := "0010";
C_p1_END_ADDRESS : std_logic_vector(31 downto 0) := X"000004ff";
C_p1_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"fffff800";
C_p1_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00000300"
);
port
(
clk0 : in std_logic;
rst0 : in std_logic;
calib_done : in std_logic;
p0_mcb_cmd_en_o : out std_logic;
p0_mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
p0_mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
p0_mcb_cmd_addr_o : out std_logic_vector(29 downto 0);
p0_mcb_cmd_full_i : in std_logic;
p0_mcb_wr_en_o : out std_logic;
p0_mcb_wr_mask_o : out std_logic_vector(C_P0_MASK_SIZE - 1 downto 0);
p0_mcb_wr_data_o : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0);
p0_mcb_wr_full_i : in std_logic;
p0_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
p0_mcb_rd_en_o : out std_logic;
p0_mcb_rd_data_i : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0);
p0_mcb_rd_empty_i : in std_logic;
p0_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
p1_mcb_cmd_en_o : out std_logic;
p1_mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
p1_mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
p1_mcb_cmd_addr_o : out std_logic_vector(29 downto 0);
p1_mcb_cmd_full_i : in std_logic;
p1_mcb_wr_en_o : out std_logic;
p1_mcb_wr_mask_o : out std_logic_vector(C_P1_MASK_SIZE - 1 downto 0);
p1_mcb_wr_data_o : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0);
p1_mcb_wr_full_i : in std_logic;
p1_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
p1_mcb_rd_en_o : out std_logic;
p1_mcb_rd_data_i : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0);
p1_mcb_rd_empty_i : in std_logic;
p1_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
vio_modify_enable : in std_logic;
vio_data_mode_value : in std_logic_vector(2 downto 0);
vio_addr_mode_value : in std_logic_vector(2 downto 0);
cmp_error : out std_logic;
cmp_data : out std_logic_vector(31 downto 0);
cmp_data_valid : out std_logic;
error : out std_logic;
error_status : out std_logic_vector(127 downto 0)
);
end memc3_tb_top;
architecture arc of memc3_tb_top is
function ERROR_DQWIDTH (val_i : integer) return integer is
begin
if (val_i = 4) then
return 1;
else
return val_i/8;
end if;
end function ERROR_DQWIDTH;
constant DQ_ERROR_WIDTH : integer := ERROR_DQWIDTH(C_NUM_DQ_PINS);
component init_mem_pattern_ctr IS
generic (
FAMILY : string;
BEGIN_ADDRESS : std_logic_vector(31 downto 0);
END_ADDRESS : std_logic_vector(31 downto 0);
DWIDTH : integer;
CMD_SEED_VALUE : std_logic_vector(31 downto 0);
DATA_SEED_VALUE : std_logic_vector(31 downto 0);
DATA_MODE : std_logic_vector(3 downto 0);
PORT_MODE : string
);
PORT (
clk_i : in std_logic;
rst_i : in std_logic;
mcb_cmd_bl_i : in std_logic_vector(5 downto 0);
mcb_cmd_en_i : in std_logic;
mcb_cmd_instr_i : in std_logic_vector(2 downto 0);
mcb_init_done_i : in std_logic;
mcb_wr_en_i : in std_logic;
vio_modify_enable : in std_logic;
vio_data_mode_value : in std_logic_vector(2 downto 0);
vio_addr_mode_value : in std_logic_vector(2 downto 0);
vio_bl_mode_value : in STD_LOGIC_VECTOR(1 downto 0);
vio_fixed_bl_value : in STD_LOGIC_VECTOR(5 downto 0);
cmp_error : in std_logic;
run_traffic_o : out std_logic;
start_addr_o : out std_logic_vector(31 downto 0);
end_addr_o : out std_logic_vector(31 downto 0);
cmd_seed_o : out std_logic_vector(31 downto 0);
data_seed_o : out std_logic_vector(31 downto 0);
load_seed_o : out std_logic;
addr_mode_o : out std_logic_vector(2 downto 0);
instr_mode_o : out std_logic_vector(3 downto 0);
bl_mode_o : out std_logic_vector(1 downto 0);
data_mode_o : out std_logic_vector(3 downto 0);
mode_load_o : out std_logic;
fixed_bl_o : out std_logic_vector(5 downto 0);
fixed_instr_o : out std_logic_vector(2 downto 0);
fixed_addr_o : out std_logic_vector(31 downto 0)
);
end component;
component mcb_traffic_gen is
generic (
FAMILY : string;
SIMULATION : string;
MEM_BURST_LEN : integer;
PORT_MODE : string;
DATA_PATTERN : string;
CMD_PATTERN : string;
ADDR_WIDTH : integer;
CMP_DATA_PIPE_STAGES : integer;
MEM_COL_WIDTH : integer;
NUM_DQ_PINS : integer;
DQ_ERROR_WIDTH : integer;
DWIDTH : integer;
PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0);
PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0);
PRBS_EADDR : std_logic_vector(31 downto 0);
PRBS_SADDR : std_logic_vector(31 downto 0)
);
port (
clk_i : in std_logic;
rst_i : in std_logic;
run_traffic_i : in std_logic;
manual_clear_error : in std_logic;
-- *** runtime parameter ***
start_addr_i : in std_logic_vector(31 downto 0);
end_addr_i : in std_logic_vector(31 downto 0);
cmd_seed_i : in std_logic_vector(31 downto 0);
data_seed_i : in std_logic_vector(31 downto 0);
load_seed_i : in std_logic;
addr_mode_i : in std_logic_vector(2 downto 0);
instr_mode_i : in std_logic_vector(3 downto 0);
bl_mode_i : in std_logic_vector(1 downto 0);
data_mode_i : in std_logic_vector(3 downto 0);
mode_load_i : in std_logic;
-- fixed pattern inputs interface
fixed_bl_i : in std_logic_vector(5 downto 0);
fixed_instr_i : in std_logic_vector(2 downto 0);
fixed_addr_i : in std_logic_vector(31 downto 0);
fixed_data_i : IN STD_LOGIC_VECTOR(DWIDTH-1 DOWNTO 0);
bram_cmd_i : in std_logic_vector(38 downto 0);
bram_valid_i : in std_logic;
bram_rdy_o : out std_logic;
--///////////////////////////////////////////////////////////////////////////
-- MCB INTERFACE
-- interface to mcb command port
mcb_cmd_en_o : out std_logic;
mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
mcb_cmd_addr_o : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
mcb_cmd_full_i : in std_logic;
-- interface to mcb wr data port
mcb_wr_en_o : out std_logic;
mcb_wr_data_o : out std_logic_vector(DWIDTH - 1 downto 0);
mcb_wr_mask_o : out std_logic_vector((DWIDTH / 8) - 1 downto 0);
mcb_wr_data_end_o : OUT std_logic;
mcb_wr_full_i : in std_logic;
mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
-- interface to mcb rd data port
mcb_rd_en_o : out std_logic;
mcb_rd_data_i : in std_logic_vector(DWIDTH - 1 downto 0);
mcb_rd_empty_i : in std_logic;
mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
--///////////////////////////////////////////////////////////////////////////
-- status feedback
counts_rst : in std_logic;
wr_data_counts : out std_logic_vector(47 downto 0);
rd_data_counts : out std_logic_vector(47 downto 0);
cmp_data : out std_logic_vector(DWIDTH - 1 downto 0);
cmp_data_valid : out std_logic;
cmp_error : out std_logic;
error : out std_logic;
error_status : out std_logic_vector(64 + (2 * DWIDTH - 1) downto 0);
mem_rd_data : out std_logic_vector(DWIDTH - 1 downto 0);
dq_error_bytelane_cmp : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0);
cumlative_dq_lane_error : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0)
);
end component;
-- Function to determine the number of data patterns to be generated
function DATA_PATTERN_CALC return string is
begin
if (C_SMALL_DEVICE = "FALSE") then
return "DGEN_ALL";
else
return "DGEN_ADDR";
end if;
end function;
constant FAMILY : string := "SPARTAN6";
constant DATA_PATTERN : string := DATA_PATTERN_CALC;
constant CMD_PATTERN : string := "CGEN_ALL";
constant ADDR_WIDTH : integer := 30;
constant CMP_DATA_PIPE_STAGES : integer := 0;
constant PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00007000";
constant PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"FFFF8000";
constant PRBS_SADDR : std_logic_vector(31 downto 0) := X"00005000";
constant PRBS_EADDR : std_logic_vector(31 downto 0) := X"00007fff";
constant BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000000";
constant END_ADDRESS : std_logic_vector(31 downto 0) := X"00000fff";
constant DATA_MODE : std_logic_vector(3 downto 0) := "0010";
constant p0_DWIDTH : integer := 32;
constant p1_DWIDTH : integer := 32;
constant p0_PORT_MODE : string := "BI_MODE";
constant p1_PORT_MODE : string := "BI_MODE";
--p0 Signal declarations
signal p0_tg_run_traffic : std_logic;
signal p0_tg_start_addr : std_logic_vector(31 downto 0);
signal p0_tg_end_addr : std_logic_vector(31 downto 0);
signal p0_tg_cmd_seed : std_logic_vector(31 downto 0);
signal p0_tg_data_seed : std_logic_vector(31 downto 0);
signal p0_tg_load_seed : std_logic;
signal p0_tg_addr_mode : std_logic_vector(2 downto 0);
signal p0_tg_instr_mode : std_logic_vector(3 downto 0);
signal p0_tg_bl_mode : std_logic_vector(1 downto 0);
signal p0_tg_data_mode : std_logic_vector(3 downto 0);
signal p0_tg_mode_load : std_logic;
signal p0_tg_fixed_bl : std_logic_vector(5 downto 0);
signal p0_tg_fixed_instr : std_logic_vector(2 downto 0);
signal p0_tg_fixed_addr : std_logic_vector(31 downto 0);
signal p0_error_status : std_logic_vector(64 + (2*p0_DWIDTH - 1) downto 0);
signal p0_error : std_logic;
signal p0_cmp_error : std_logic;
signal p0_cmp_data : std_logic_vector(p0_DWIDTH-1 downto 0);
signal p0_cmp_data_valid : std_logic;
signal p0_mcb_cmd_en_o_int : std_logic;
signal p0_mcb_cmd_instr_o_int : std_logic_vector(2 downto 0);
signal p0_mcb_cmd_bl_o_int : std_logic_vector(5 downto 0);
signal p0_mcb_cmd_addr_o_int : std_logic_vector(29 downto 0);
signal p0_mcb_wr_en_o_int : std_logic;
--p1 Signal declarations
signal p1_tg_run_traffic : std_logic;
signal p1_tg_start_addr : std_logic_vector(31 downto 0);
signal p1_tg_end_addr : std_logic_vector(31 downto 0);
signal p1_tg_cmd_seed : std_logic_vector(31 downto 0);
signal p1_tg_data_seed : std_logic_vector(31 downto 0);
signal p1_tg_load_seed : std_logic;
signal p1_tg_addr_mode : std_logic_vector(2 downto 0);
signal p1_tg_instr_mode : std_logic_vector(3 downto 0);
signal p1_tg_bl_mode : std_logic_vector(1 downto 0);
signal p1_tg_data_mode : std_logic_vector(3 downto 0);
signal p1_tg_mode_load : std_logic;
signal p1_tg_fixed_bl : std_logic_vector(5 downto 0);
signal p1_tg_fixed_instr : std_logic_vector(2 downto 0);
signal p1_tg_fixed_addr : std_logic_vector(31 downto 0);
signal p1_error_status : std_logic_vector(64 + (2*p1_DWIDTH - 1) downto 0);
signal p1_error : std_logic;
signal p1_cmp_error : std_logic;
signal p1_cmp_data : std_logic_vector(p1_DWIDTH-1 downto 0);
signal p1_cmp_data_valid : std_logic;
signal p1_mcb_cmd_en_o_int : std_logic;
signal p1_mcb_cmd_instr_o_int : std_logic_vector(2 downto 0);
signal p1_mcb_cmd_bl_o_int : std_logic_vector(5 downto 0);
signal p1_mcb_cmd_addr_o_int : std_logic_vector(29 downto 0);
signal p1_mcb_wr_en_o_int : std_logic;
--signal cmp_data : std_logic_vector(31 downto 0);
begin
cmp_error <= p0_cmp_error or p1_cmp_error;
error <= p0_error or p1_error;
error_status <= p0_error_status;
cmp_data <= p0_cmp_data(31 downto 0);
cmp_data_valid <= p0_cmp_data_valid;
p0_mcb_cmd_en_o <= p0_mcb_cmd_en_o_int;
p0_mcb_cmd_instr_o <= p0_mcb_cmd_instr_o_int;
p0_mcb_cmd_bl_o <= p0_mcb_cmd_bl_o_int;
p0_mcb_cmd_addr_o <= p0_mcb_cmd_addr_o_int;
p0_mcb_wr_en_o <= p0_mcb_wr_en_o_int;
init_mem_pattern_ctr_p0 :init_mem_pattern_ctr
generic map
(
DWIDTH => p0_DWIDTH,
FAMILY => FAMILY,
BEGIN_ADDRESS => C_p0_BEGIN_ADDRESS,
END_ADDRESS => C_p0_END_ADDRESS,
CMD_SEED_VALUE => X"56456783",
DATA_SEED_VALUE => X"12345678",
DATA_MODE => C_p0_DATA_MODE,
PORT_MODE => p0_PORT_MODE
)
port map
(
clk_i => clk0,
rst_i => rst0,
mcb_cmd_en_i => p0_mcb_cmd_en_o_int,
mcb_cmd_instr_i => p0_mcb_cmd_instr_o_int,
mcb_cmd_bl_i => p0_mcb_cmd_bl_o_int,
mcb_wr_en_i => p0_mcb_wr_en_o_int,
vio_modify_enable => vio_modify_enable,
vio_data_mode_value => vio_data_mode_value,
vio_addr_mode_value => vio_addr_mode_value,
vio_bl_mode_value => "10",--vio_bl_mode_value,
vio_fixed_bl_value => "000000",--vio_fixed_bl_value,
mcb_init_done_i => calib_done,
cmp_error => p0_error,
run_traffic_o => p0_tg_run_traffic,
start_addr_o => p0_tg_start_addr,
end_addr_o => p0_tg_end_addr ,
cmd_seed_o => p0_tg_cmd_seed ,
data_seed_o => p0_tg_data_seed ,
load_seed_o => p0_tg_load_seed ,
addr_mode_o => p0_tg_addr_mode ,
instr_mode_o => p0_tg_instr_mode ,
bl_mode_o => p0_tg_bl_mode ,
data_mode_o => p0_tg_data_mode ,
mode_load_o => p0_tg_mode_load ,
fixed_bl_o => p0_tg_fixed_bl ,
fixed_instr_o => p0_tg_fixed_instr,
fixed_addr_o => p0_tg_fixed_addr
);
m_traffic_gen_p0 : mcb_traffic_gen
generic map(
MEM_BURST_LEN => C_MEM_BURST_LEN,
MEM_COL_WIDTH => C_MEM_NUM_COL_BITS,
NUM_DQ_PINS => C_NUM_DQ_PINS,
DQ_ERROR_WIDTH => DQ_ERROR_WIDTH,
PORT_MODE => p0_PORT_MODE,
DWIDTH => p0_DWIDTH,
CMP_DATA_PIPE_STAGES => CMP_DATA_PIPE_STAGES,
FAMILY => FAMILY,
SIMULATION => "FALSE",
DATA_PATTERN => DATA_PATTERN,
CMD_PATTERN => "CGEN_ALL",
ADDR_WIDTH => 30,
PRBS_SADDR_MASK_POS => C_p0_PRBS_SADDR_MASK_POS,
PRBS_EADDR_MASK_POS => C_p0_PRBS_EADDR_MASK_POS,
PRBS_SADDR => C_p0_BEGIN_ADDRESS,
PRBS_EADDR => C_p0_END_ADDRESS
)
port map
(
clk_i => clk0,
rst_i => rst0,
run_traffic_i => p0_tg_run_traffic,
manual_clear_error => rst0,
-- runtime parameter
start_addr_i => p0_tg_start_addr ,
end_addr_i => p0_tg_end_addr ,
cmd_seed_i => p0_tg_cmd_seed ,
data_seed_i => p0_tg_data_seed ,
load_seed_i => p0_tg_load_seed,
addr_mode_i => p0_tg_addr_mode,
instr_mode_i => p0_tg_instr_mode ,
bl_mode_i => p0_tg_bl_mode ,
data_mode_i => p0_tg_data_mode ,
mode_load_i => p0_tg_mode_load ,
-- fixed pattern inputs interface
fixed_bl_i => p0_tg_fixed_bl,
fixed_instr_i => p0_tg_fixed_instr,
fixed_addr_i => p0_tg_fixed_addr,
fixed_data_i => (others => '0'),
-- BRAM interface.
bram_cmd_i => (others => '0'),
bram_valid_i => '0',
bram_rdy_o => open,
-- MCB INTERFACE
mcb_cmd_en_o => p0_mcb_cmd_en_o_int,
mcb_cmd_instr_o => p0_mcb_cmd_instr_o_int,
mcb_cmd_bl_o => p0_mcb_cmd_bl_o_int,
mcb_cmd_addr_o => p0_mcb_cmd_addr_o_int,
mcb_cmd_full_i => p0_mcb_cmd_full_i,
mcb_wr_en_o => p0_mcb_wr_en_o_int,
mcb_wr_mask_o => p0_mcb_wr_mask_o,
mcb_wr_data_o => p0_mcb_wr_data_o,
mcb_wr_data_end_o => open,
mcb_wr_full_i => p0_mcb_wr_full_i,
mcb_wr_fifo_counts => p0_mcb_wr_fifo_counts,
mcb_rd_en_o => p0_mcb_rd_en_o,
mcb_rd_data_i => p0_mcb_rd_data_i,
mcb_rd_empty_i => p0_mcb_rd_empty_i,
mcb_rd_fifo_counts => p0_mcb_rd_fifo_counts,
-- status feedback
counts_rst => rst0,
wr_data_counts => open,
rd_data_counts => open,
cmp_data => p0_cmp_data,
cmp_data_valid => p0_cmp_data_valid,
cmp_error => p0_cmp_error,
error => p0_error,
error_status => p0_error_status,
mem_rd_data => open,
dq_error_bytelane_cmp => open,
cumlative_dq_lane_error => open
);
p1_mcb_cmd_en_o <= p1_mcb_cmd_en_o_int;
p1_mcb_cmd_instr_o <= p1_mcb_cmd_instr_o_int;
p1_mcb_cmd_bl_o <= p1_mcb_cmd_bl_o_int;
p1_mcb_cmd_addr_o <= p1_mcb_cmd_addr_o_int;
p1_mcb_wr_en_o <= p1_mcb_wr_en_o_int;
init_mem_pattern_ctr_p1 :init_mem_pattern_ctr
generic map
(
DWIDTH => p1_DWIDTH,
FAMILY => FAMILY,
BEGIN_ADDRESS => C_p1_BEGIN_ADDRESS,
END_ADDRESS => C_p1_END_ADDRESS,
CMD_SEED_VALUE => X"56456783",
DATA_SEED_VALUE => X"12345678",
DATA_MODE => C_p1_DATA_MODE,
PORT_MODE => p1_PORT_MODE
)
port map
(
clk_i => clk0,
rst_i => rst0,
mcb_cmd_en_i => p1_mcb_cmd_en_o_int,
mcb_cmd_instr_i => p1_mcb_cmd_instr_o_int,
mcb_cmd_bl_i => p1_mcb_cmd_bl_o_int,
mcb_wr_en_i => p1_mcb_wr_en_o_int,
vio_modify_enable => vio_modify_enable,
vio_data_mode_value => vio_data_mode_value,
vio_addr_mode_value => vio_addr_mode_value,
vio_bl_mode_value => "10",--vio_bl_mode_value,
vio_fixed_bl_value => "000000",--vio_fixed_bl_value,
mcb_init_done_i => calib_done,
cmp_error => p1_error,
run_traffic_o => p1_tg_run_traffic,
start_addr_o => p1_tg_start_addr,
end_addr_o => p1_tg_end_addr ,
cmd_seed_o => p1_tg_cmd_seed ,
data_seed_o => p1_tg_data_seed ,
load_seed_o => p1_tg_load_seed ,
addr_mode_o => p1_tg_addr_mode ,
instr_mode_o => p1_tg_instr_mode ,
bl_mode_o => p1_tg_bl_mode ,
data_mode_o => p1_tg_data_mode ,
mode_load_o => p1_tg_mode_load ,
fixed_bl_o => p1_tg_fixed_bl ,
fixed_instr_o => p1_tg_fixed_instr,
fixed_addr_o => p1_tg_fixed_addr
);
m_traffic_gen_p1 : mcb_traffic_gen
generic map(
MEM_BURST_LEN => C_MEM_BURST_LEN,
MEM_COL_WIDTH => C_MEM_NUM_COL_BITS,
NUM_DQ_PINS => C_NUM_DQ_PINS,
DQ_ERROR_WIDTH => DQ_ERROR_WIDTH,
PORT_MODE => p1_PORT_MODE,
DWIDTH => p1_DWIDTH,
CMP_DATA_PIPE_STAGES => CMP_DATA_PIPE_STAGES,
FAMILY => FAMILY,
SIMULATION => "FALSE",
DATA_PATTERN => DATA_PATTERN,
CMD_PATTERN => "CGEN_ALL",
ADDR_WIDTH => 30,
PRBS_SADDR_MASK_POS => C_p1_PRBS_SADDR_MASK_POS,
PRBS_EADDR_MASK_POS => C_p1_PRBS_EADDR_MASK_POS,
PRBS_SADDR => C_p1_BEGIN_ADDRESS,
PRBS_EADDR => C_p1_END_ADDRESS
)
port map
(
clk_i => clk0,
rst_i => rst0,
run_traffic_i => p1_tg_run_traffic,
manual_clear_error => rst0,
-- runtime parameter
start_addr_i => p1_tg_start_addr ,
end_addr_i => p1_tg_end_addr ,
cmd_seed_i => p1_tg_cmd_seed ,
data_seed_i => p1_tg_data_seed ,
load_seed_i => p1_tg_load_seed,
addr_mode_i => p1_tg_addr_mode,
instr_mode_i => p1_tg_instr_mode ,
bl_mode_i => p1_tg_bl_mode ,
data_mode_i => p1_tg_data_mode ,
mode_load_i => p1_tg_mode_load ,
-- fixed pattern inputs interface
fixed_bl_i => p1_tg_fixed_bl,
fixed_instr_i => p1_tg_fixed_instr,
fixed_addr_i => p1_tg_fixed_addr,
fixed_data_i => (others => '0'),
-- BRAM interface.
bram_cmd_i => (others => '0'),
bram_valid_i => '0',
bram_rdy_o => open,
-- MCB INTERFACE
mcb_cmd_en_o => p1_mcb_cmd_en_o_int,
mcb_cmd_instr_o => p1_mcb_cmd_instr_o_int,
mcb_cmd_bl_o => p1_mcb_cmd_bl_o_int,
mcb_cmd_addr_o => p1_mcb_cmd_addr_o_int,
mcb_cmd_full_i => p1_mcb_cmd_full_i,
mcb_wr_en_o => p1_mcb_wr_en_o_int,
mcb_wr_mask_o => p1_mcb_wr_mask_o,
mcb_wr_data_o => p1_mcb_wr_data_o,
mcb_wr_data_end_o => open,
mcb_wr_full_i => p1_mcb_wr_full_i,
mcb_wr_fifo_counts => p1_mcb_wr_fifo_counts,
mcb_rd_en_o => p1_mcb_rd_en_o,
mcb_rd_data_i => p1_mcb_rd_data_i,
mcb_rd_empty_i => p1_mcb_rd_empty_i,
mcb_rd_fifo_counts => p1_mcb_rd_fifo_counts,
-- status feedback
counts_rst => rst0,
wr_data_counts => open,
rd_data_counts => open,
cmp_data => p1_cmp_data,
cmp_data_valid => p1_cmp_data_valid,
cmp_error => p1_cmp_error,
error => p1_error,
error_status => p1_error_status,
mem_rd_data => open,
dq_error_bytelane_cmp => open,
cumlative_dq_lane_error => open
);
end architecture;
|
--*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.9
-- \ \ Application : MIG
-- / / Filename : memc3_tb_top.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $
-- \ \ / \ Date Created : Jul 03 2009
-- \___\/\___\
--
--Device : Spartan-6
--Design Name : DDR/DDR2/DDR3/LPDDR
--Purpose : This is top level module for test bench. which instantiates
-- init_mem_pattern_ctr and mcb_traffic_gen modules for each user
-- port.
--Reference :
--Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity memc3_tb_top is
generic
(
C_P0_MASK_SIZE : integer := 4;
C_P0_DATA_PORT_SIZE : integer := 32;
C_P1_MASK_SIZE : integer := 4;
C_P1_DATA_PORT_SIZE : integer := 32;
C_MEM_BURST_LEN : integer := 8;
C_SIMULATION : string := "FALSE";
C_MEM_NUM_COL_BITS : integer := 11;
C_NUM_DQ_PINS : integer := 8;
C_SMALL_DEVICE : string := "FALSE";
C_p0_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000100";
C_p0_DATA_MODE : std_logic_vector(3 downto 0) := "0010";
C_p0_END_ADDRESS : std_logic_vector(31 downto 0) := X"000002ff";
C_p0_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"fffffc00";
C_p0_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00000100";
C_p1_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000300";
C_p1_DATA_MODE : std_logic_vector(3 downto 0) := "0010";
C_p1_END_ADDRESS : std_logic_vector(31 downto 0) := X"000004ff";
C_p1_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"fffff800";
C_p1_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00000300"
);
port
(
clk0 : in std_logic;
rst0 : in std_logic;
calib_done : in std_logic;
p0_mcb_cmd_en_o : out std_logic;
p0_mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
p0_mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
p0_mcb_cmd_addr_o : out std_logic_vector(29 downto 0);
p0_mcb_cmd_full_i : in std_logic;
p0_mcb_wr_en_o : out std_logic;
p0_mcb_wr_mask_o : out std_logic_vector(C_P0_MASK_SIZE - 1 downto 0);
p0_mcb_wr_data_o : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0);
p0_mcb_wr_full_i : in std_logic;
p0_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
p0_mcb_rd_en_o : out std_logic;
p0_mcb_rd_data_i : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0);
p0_mcb_rd_empty_i : in std_logic;
p0_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
p1_mcb_cmd_en_o : out std_logic;
p1_mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
p1_mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
p1_mcb_cmd_addr_o : out std_logic_vector(29 downto 0);
p1_mcb_cmd_full_i : in std_logic;
p1_mcb_wr_en_o : out std_logic;
p1_mcb_wr_mask_o : out std_logic_vector(C_P1_MASK_SIZE - 1 downto 0);
p1_mcb_wr_data_o : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0);
p1_mcb_wr_full_i : in std_logic;
p1_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
p1_mcb_rd_en_o : out std_logic;
p1_mcb_rd_data_i : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0);
p1_mcb_rd_empty_i : in std_logic;
p1_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
vio_modify_enable : in std_logic;
vio_data_mode_value : in std_logic_vector(2 downto 0);
vio_addr_mode_value : in std_logic_vector(2 downto 0);
cmp_error : out std_logic;
cmp_data : out std_logic_vector(31 downto 0);
cmp_data_valid : out std_logic;
error : out std_logic;
error_status : out std_logic_vector(127 downto 0)
);
end memc3_tb_top;
architecture arc of memc3_tb_top is
function ERROR_DQWIDTH (val_i : integer) return integer is
begin
if (val_i = 4) then
return 1;
else
return val_i/8;
end if;
end function ERROR_DQWIDTH;
constant DQ_ERROR_WIDTH : integer := ERROR_DQWIDTH(C_NUM_DQ_PINS);
component init_mem_pattern_ctr IS
generic (
FAMILY : string;
BEGIN_ADDRESS : std_logic_vector(31 downto 0);
END_ADDRESS : std_logic_vector(31 downto 0);
DWIDTH : integer;
CMD_SEED_VALUE : std_logic_vector(31 downto 0);
DATA_SEED_VALUE : std_logic_vector(31 downto 0);
DATA_MODE : std_logic_vector(3 downto 0);
PORT_MODE : string
);
PORT (
clk_i : in std_logic;
rst_i : in std_logic;
mcb_cmd_bl_i : in std_logic_vector(5 downto 0);
mcb_cmd_en_i : in std_logic;
mcb_cmd_instr_i : in std_logic_vector(2 downto 0);
mcb_init_done_i : in std_logic;
mcb_wr_en_i : in std_logic;
vio_modify_enable : in std_logic;
vio_data_mode_value : in std_logic_vector(2 downto 0);
vio_addr_mode_value : in std_logic_vector(2 downto 0);
vio_bl_mode_value : in STD_LOGIC_VECTOR(1 downto 0);
vio_fixed_bl_value : in STD_LOGIC_VECTOR(5 downto 0);
cmp_error : in std_logic;
run_traffic_o : out std_logic;
start_addr_o : out std_logic_vector(31 downto 0);
end_addr_o : out std_logic_vector(31 downto 0);
cmd_seed_o : out std_logic_vector(31 downto 0);
data_seed_o : out std_logic_vector(31 downto 0);
load_seed_o : out std_logic;
addr_mode_o : out std_logic_vector(2 downto 0);
instr_mode_o : out std_logic_vector(3 downto 0);
bl_mode_o : out std_logic_vector(1 downto 0);
data_mode_o : out std_logic_vector(3 downto 0);
mode_load_o : out std_logic;
fixed_bl_o : out std_logic_vector(5 downto 0);
fixed_instr_o : out std_logic_vector(2 downto 0);
fixed_addr_o : out std_logic_vector(31 downto 0)
);
end component;
component mcb_traffic_gen is
generic (
FAMILY : string;
SIMULATION : string;
MEM_BURST_LEN : integer;
PORT_MODE : string;
DATA_PATTERN : string;
CMD_PATTERN : string;
ADDR_WIDTH : integer;
CMP_DATA_PIPE_STAGES : integer;
MEM_COL_WIDTH : integer;
NUM_DQ_PINS : integer;
DQ_ERROR_WIDTH : integer;
DWIDTH : integer;
PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0);
PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0);
PRBS_EADDR : std_logic_vector(31 downto 0);
PRBS_SADDR : std_logic_vector(31 downto 0)
);
port (
clk_i : in std_logic;
rst_i : in std_logic;
run_traffic_i : in std_logic;
manual_clear_error : in std_logic;
-- *** runtime parameter ***
start_addr_i : in std_logic_vector(31 downto 0);
end_addr_i : in std_logic_vector(31 downto 0);
cmd_seed_i : in std_logic_vector(31 downto 0);
data_seed_i : in std_logic_vector(31 downto 0);
load_seed_i : in std_logic;
addr_mode_i : in std_logic_vector(2 downto 0);
instr_mode_i : in std_logic_vector(3 downto 0);
bl_mode_i : in std_logic_vector(1 downto 0);
data_mode_i : in std_logic_vector(3 downto 0);
mode_load_i : in std_logic;
-- fixed pattern inputs interface
fixed_bl_i : in std_logic_vector(5 downto 0);
fixed_instr_i : in std_logic_vector(2 downto 0);
fixed_addr_i : in std_logic_vector(31 downto 0);
fixed_data_i : IN STD_LOGIC_VECTOR(DWIDTH-1 DOWNTO 0);
bram_cmd_i : in std_logic_vector(38 downto 0);
bram_valid_i : in std_logic;
bram_rdy_o : out std_logic;
--///////////////////////////////////////////////////////////////////////////
-- MCB INTERFACE
-- interface to mcb command port
mcb_cmd_en_o : out std_logic;
mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
mcb_cmd_addr_o : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
mcb_cmd_full_i : in std_logic;
-- interface to mcb wr data port
mcb_wr_en_o : out std_logic;
mcb_wr_data_o : out std_logic_vector(DWIDTH - 1 downto 0);
mcb_wr_mask_o : out std_logic_vector((DWIDTH / 8) - 1 downto 0);
mcb_wr_data_end_o : OUT std_logic;
mcb_wr_full_i : in std_logic;
mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
-- interface to mcb rd data port
mcb_rd_en_o : out std_logic;
mcb_rd_data_i : in std_logic_vector(DWIDTH - 1 downto 0);
mcb_rd_empty_i : in std_logic;
mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
--///////////////////////////////////////////////////////////////////////////
-- status feedback
counts_rst : in std_logic;
wr_data_counts : out std_logic_vector(47 downto 0);
rd_data_counts : out std_logic_vector(47 downto 0);
cmp_data : out std_logic_vector(DWIDTH - 1 downto 0);
cmp_data_valid : out std_logic;
cmp_error : out std_logic;
error : out std_logic;
error_status : out std_logic_vector(64 + (2 * DWIDTH - 1) downto 0);
mem_rd_data : out std_logic_vector(DWIDTH - 1 downto 0);
dq_error_bytelane_cmp : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0);
cumlative_dq_lane_error : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0)
);
end component;
-- Function to determine the number of data patterns to be generated
function DATA_PATTERN_CALC return string is
begin
if (C_SMALL_DEVICE = "FALSE") then
return "DGEN_ALL";
else
return "DGEN_ADDR";
end if;
end function;
constant FAMILY : string := "SPARTAN6";
constant DATA_PATTERN : string := DATA_PATTERN_CALC;
constant CMD_PATTERN : string := "CGEN_ALL";
constant ADDR_WIDTH : integer := 30;
constant CMP_DATA_PIPE_STAGES : integer := 0;
constant PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00007000";
constant PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"FFFF8000";
constant PRBS_SADDR : std_logic_vector(31 downto 0) := X"00005000";
constant PRBS_EADDR : std_logic_vector(31 downto 0) := X"00007fff";
constant BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000000";
constant END_ADDRESS : std_logic_vector(31 downto 0) := X"00000fff";
constant DATA_MODE : std_logic_vector(3 downto 0) := "0010";
constant p0_DWIDTH : integer := 32;
constant p1_DWIDTH : integer := 32;
constant p0_PORT_MODE : string := "BI_MODE";
constant p1_PORT_MODE : string := "BI_MODE";
--p0 Signal declarations
signal p0_tg_run_traffic : std_logic;
signal p0_tg_start_addr : std_logic_vector(31 downto 0);
signal p0_tg_end_addr : std_logic_vector(31 downto 0);
signal p0_tg_cmd_seed : std_logic_vector(31 downto 0);
signal p0_tg_data_seed : std_logic_vector(31 downto 0);
signal p0_tg_load_seed : std_logic;
signal p0_tg_addr_mode : std_logic_vector(2 downto 0);
signal p0_tg_instr_mode : std_logic_vector(3 downto 0);
signal p0_tg_bl_mode : std_logic_vector(1 downto 0);
signal p0_tg_data_mode : std_logic_vector(3 downto 0);
signal p0_tg_mode_load : std_logic;
signal p0_tg_fixed_bl : std_logic_vector(5 downto 0);
signal p0_tg_fixed_instr : std_logic_vector(2 downto 0);
signal p0_tg_fixed_addr : std_logic_vector(31 downto 0);
signal p0_error_status : std_logic_vector(64 + (2*p0_DWIDTH - 1) downto 0);
signal p0_error : std_logic;
signal p0_cmp_error : std_logic;
signal p0_cmp_data : std_logic_vector(p0_DWIDTH-1 downto 0);
signal p0_cmp_data_valid : std_logic;
signal p0_mcb_cmd_en_o_int : std_logic;
signal p0_mcb_cmd_instr_o_int : std_logic_vector(2 downto 0);
signal p0_mcb_cmd_bl_o_int : std_logic_vector(5 downto 0);
signal p0_mcb_cmd_addr_o_int : std_logic_vector(29 downto 0);
signal p0_mcb_wr_en_o_int : std_logic;
--p1 Signal declarations
signal p1_tg_run_traffic : std_logic;
signal p1_tg_start_addr : std_logic_vector(31 downto 0);
signal p1_tg_end_addr : std_logic_vector(31 downto 0);
signal p1_tg_cmd_seed : std_logic_vector(31 downto 0);
signal p1_tg_data_seed : std_logic_vector(31 downto 0);
signal p1_tg_load_seed : std_logic;
signal p1_tg_addr_mode : std_logic_vector(2 downto 0);
signal p1_tg_instr_mode : std_logic_vector(3 downto 0);
signal p1_tg_bl_mode : std_logic_vector(1 downto 0);
signal p1_tg_data_mode : std_logic_vector(3 downto 0);
signal p1_tg_mode_load : std_logic;
signal p1_tg_fixed_bl : std_logic_vector(5 downto 0);
signal p1_tg_fixed_instr : std_logic_vector(2 downto 0);
signal p1_tg_fixed_addr : std_logic_vector(31 downto 0);
signal p1_error_status : std_logic_vector(64 + (2*p1_DWIDTH - 1) downto 0);
signal p1_error : std_logic;
signal p1_cmp_error : std_logic;
signal p1_cmp_data : std_logic_vector(p1_DWIDTH-1 downto 0);
signal p1_cmp_data_valid : std_logic;
signal p1_mcb_cmd_en_o_int : std_logic;
signal p1_mcb_cmd_instr_o_int : std_logic_vector(2 downto 0);
signal p1_mcb_cmd_bl_o_int : std_logic_vector(5 downto 0);
signal p1_mcb_cmd_addr_o_int : std_logic_vector(29 downto 0);
signal p1_mcb_wr_en_o_int : std_logic;
--signal cmp_data : std_logic_vector(31 downto 0);
begin
cmp_error <= p0_cmp_error or p1_cmp_error;
error <= p0_error or p1_error;
error_status <= p0_error_status;
cmp_data <= p0_cmp_data(31 downto 0);
cmp_data_valid <= p0_cmp_data_valid;
p0_mcb_cmd_en_o <= p0_mcb_cmd_en_o_int;
p0_mcb_cmd_instr_o <= p0_mcb_cmd_instr_o_int;
p0_mcb_cmd_bl_o <= p0_mcb_cmd_bl_o_int;
p0_mcb_cmd_addr_o <= p0_mcb_cmd_addr_o_int;
p0_mcb_wr_en_o <= p0_mcb_wr_en_o_int;
init_mem_pattern_ctr_p0 :init_mem_pattern_ctr
generic map
(
DWIDTH => p0_DWIDTH,
FAMILY => FAMILY,
BEGIN_ADDRESS => C_p0_BEGIN_ADDRESS,
END_ADDRESS => C_p0_END_ADDRESS,
CMD_SEED_VALUE => X"56456783",
DATA_SEED_VALUE => X"12345678",
DATA_MODE => C_p0_DATA_MODE,
PORT_MODE => p0_PORT_MODE
)
port map
(
clk_i => clk0,
rst_i => rst0,
mcb_cmd_en_i => p0_mcb_cmd_en_o_int,
mcb_cmd_instr_i => p0_mcb_cmd_instr_o_int,
mcb_cmd_bl_i => p0_mcb_cmd_bl_o_int,
mcb_wr_en_i => p0_mcb_wr_en_o_int,
vio_modify_enable => vio_modify_enable,
vio_data_mode_value => vio_data_mode_value,
vio_addr_mode_value => vio_addr_mode_value,
vio_bl_mode_value => "10",--vio_bl_mode_value,
vio_fixed_bl_value => "000000",--vio_fixed_bl_value,
mcb_init_done_i => calib_done,
cmp_error => p0_error,
run_traffic_o => p0_tg_run_traffic,
start_addr_o => p0_tg_start_addr,
end_addr_o => p0_tg_end_addr ,
cmd_seed_o => p0_tg_cmd_seed ,
data_seed_o => p0_tg_data_seed ,
load_seed_o => p0_tg_load_seed ,
addr_mode_o => p0_tg_addr_mode ,
instr_mode_o => p0_tg_instr_mode ,
bl_mode_o => p0_tg_bl_mode ,
data_mode_o => p0_tg_data_mode ,
mode_load_o => p0_tg_mode_load ,
fixed_bl_o => p0_tg_fixed_bl ,
fixed_instr_o => p0_tg_fixed_instr,
fixed_addr_o => p0_tg_fixed_addr
);
m_traffic_gen_p0 : mcb_traffic_gen
generic map(
MEM_BURST_LEN => C_MEM_BURST_LEN,
MEM_COL_WIDTH => C_MEM_NUM_COL_BITS,
NUM_DQ_PINS => C_NUM_DQ_PINS,
DQ_ERROR_WIDTH => DQ_ERROR_WIDTH,
PORT_MODE => p0_PORT_MODE,
DWIDTH => p0_DWIDTH,
CMP_DATA_PIPE_STAGES => CMP_DATA_PIPE_STAGES,
FAMILY => FAMILY,
SIMULATION => "FALSE",
DATA_PATTERN => DATA_PATTERN,
CMD_PATTERN => "CGEN_ALL",
ADDR_WIDTH => 30,
PRBS_SADDR_MASK_POS => C_p0_PRBS_SADDR_MASK_POS,
PRBS_EADDR_MASK_POS => C_p0_PRBS_EADDR_MASK_POS,
PRBS_SADDR => C_p0_BEGIN_ADDRESS,
PRBS_EADDR => C_p0_END_ADDRESS
)
port map
(
clk_i => clk0,
rst_i => rst0,
run_traffic_i => p0_tg_run_traffic,
manual_clear_error => rst0,
-- runtime parameter
start_addr_i => p0_tg_start_addr ,
end_addr_i => p0_tg_end_addr ,
cmd_seed_i => p0_tg_cmd_seed ,
data_seed_i => p0_tg_data_seed ,
load_seed_i => p0_tg_load_seed,
addr_mode_i => p0_tg_addr_mode,
instr_mode_i => p0_tg_instr_mode ,
bl_mode_i => p0_tg_bl_mode ,
data_mode_i => p0_tg_data_mode ,
mode_load_i => p0_tg_mode_load ,
-- fixed pattern inputs interface
fixed_bl_i => p0_tg_fixed_bl,
fixed_instr_i => p0_tg_fixed_instr,
fixed_addr_i => p0_tg_fixed_addr,
fixed_data_i => (others => '0'),
-- BRAM interface.
bram_cmd_i => (others => '0'),
bram_valid_i => '0',
bram_rdy_o => open,
-- MCB INTERFACE
mcb_cmd_en_o => p0_mcb_cmd_en_o_int,
mcb_cmd_instr_o => p0_mcb_cmd_instr_o_int,
mcb_cmd_bl_o => p0_mcb_cmd_bl_o_int,
mcb_cmd_addr_o => p0_mcb_cmd_addr_o_int,
mcb_cmd_full_i => p0_mcb_cmd_full_i,
mcb_wr_en_o => p0_mcb_wr_en_o_int,
mcb_wr_mask_o => p0_mcb_wr_mask_o,
mcb_wr_data_o => p0_mcb_wr_data_o,
mcb_wr_data_end_o => open,
mcb_wr_full_i => p0_mcb_wr_full_i,
mcb_wr_fifo_counts => p0_mcb_wr_fifo_counts,
mcb_rd_en_o => p0_mcb_rd_en_o,
mcb_rd_data_i => p0_mcb_rd_data_i,
mcb_rd_empty_i => p0_mcb_rd_empty_i,
mcb_rd_fifo_counts => p0_mcb_rd_fifo_counts,
-- status feedback
counts_rst => rst0,
wr_data_counts => open,
rd_data_counts => open,
cmp_data => p0_cmp_data,
cmp_data_valid => p0_cmp_data_valid,
cmp_error => p0_cmp_error,
error => p0_error,
error_status => p0_error_status,
mem_rd_data => open,
dq_error_bytelane_cmp => open,
cumlative_dq_lane_error => open
);
p1_mcb_cmd_en_o <= p1_mcb_cmd_en_o_int;
p1_mcb_cmd_instr_o <= p1_mcb_cmd_instr_o_int;
p1_mcb_cmd_bl_o <= p1_mcb_cmd_bl_o_int;
p1_mcb_cmd_addr_o <= p1_mcb_cmd_addr_o_int;
p1_mcb_wr_en_o <= p1_mcb_wr_en_o_int;
init_mem_pattern_ctr_p1 :init_mem_pattern_ctr
generic map
(
DWIDTH => p1_DWIDTH,
FAMILY => FAMILY,
BEGIN_ADDRESS => C_p1_BEGIN_ADDRESS,
END_ADDRESS => C_p1_END_ADDRESS,
CMD_SEED_VALUE => X"56456783",
DATA_SEED_VALUE => X"12345678",
DATA_MODE => C_p1_DATA_MODE,
PORT_MODE => p1_PORT_MODE
)
port map
(
clk_i => clk0,
rst_i => rst0,
mcb_cmd_en_i => p1_mcb_cmd_en_o_int,
mcb_cmd_instr_i => p1_mcb_cmd_instr_o_int,
mcb_cmd_bl_i => p1_mcb_cmd_bl_o_int,
mcb_wr_en_i => p1_mcb_wr_en_o_int,
vio_modify_enable => vio_modify_enable,
vio_data_mode_value => vio_data_mode_value,
vio_addr_mode_value => vio_addr_mode_value,
vio_bl_mode_value => "10",--vio_bl_mode_value,
vio_fixed_bl_value => "000000",--vio_fixed_bl_value,
mcb_init_done_i => calib_done,
cmp_error => p1_error,
run_traffic_o => p1_tg_run_traffic,
start_addr_o => p1_tg_start_addr,
end_addr_o => p1_tg_end_addr ,
cmd_seed_o => p1_tg_cmd_seed ,
data_seed_o => p1_tg_data_seed ,
load_seed_o => p1_tg_load_seed ,
addr_mode_o => p1_tg_addr_mode ,
instr_mode_o => p1_tg_instr_mode ,
bl_mode_o => p1_tg_bl_mode ,
data_mode_o => p1_tg_data_mode ,
mode_load_o => p1_tg_mode_load ,
fixed_bl_o => p1_tg_fixed_bl ,
fixed_instr_o => p1_tg_fixed_instr,
fixed_addr_o => p1_tg_fixed_addr
);
m_traffic_gen_p1 : mcb_traffic_gen
generic map(
MEM_BURST_LEN => C_MEM_BURST_LEN,
MEM_COL_WIDTH => C_MEM_NUM_COL_BITS,
NUM_DQ_PINS => C_NUM_DQ_PINS,
DQ_ERROR_WIDTH => DQ_ERROR_WIDTH,
PORT_MODE => p1_PORT_MODE,
DWIDTH => p1_DWIDTH,
CMP_DATA_PIPE_STAGES => CMP_DATA_PIPE_STAGES,
FAMILY => FAMILY,
SIMULATION => "FALSE",
DATA_PATTERN => DATA_PATTERN,
CMD_PATTERN => "CGEN_ALL",
ADDR_WIDTH => 30,
PRBS_SADDR_MASK_POS => C_p1_PRBS_SADDR_MASK_POS,
PRBS_EADDR_MASK_POS => C_p1_PRBS_EADDR_MASK_POS,
PRBS_SADDR => C_p1_BEGIN_ADDRESS,
PRBS_EADDR => C_p1_END_ADDRESS
)
port map
(
clk_i => clk0,
rst_i => rst0,
run_traffic_i => p1_tg_run_traffic,
manual_clear_error => rst0,
-- runtime parameter
start_addr_i => p1_tg_start_addr ,
end_addr_i => p1_tg_end_addr ,
cmd_seed_i => p1_tg_cmd_seed ,
data_seed_i => p1_tg_data_seed ,
load_seed_i => p1_tg_load_seed,
addr_mode_i => p1_tg_addr_mode,
instr_mode_i => p1_tg_instr_mode ,
bl_mode_i => p1_tg_bl_mode ,
data_mode_i => p1_tg_data_mode ,
mode_load_i => p1_tg_mode_load ,
-- fixed pattern inputs interface
fixed_bl_i => p1_tg_fixed_bl,
fixed_instr_i => p1_tg_fixed_instr,
fixed_addr_i => p1_tg_fixed_addr,
fixed_data_i => (others => '0'),
-- BRAM interface.
bram_cmd_i => (others => '0'),
bram_valid_i => '0',
bram_rdy_o => open,
-- MCB INTERFACE
mcb_cmd_en_o => p1_mcb_cmd_en_o_int,
mcb_cmd_instr_o => p1_mcb_cmd_instr_o_int,
mcb_cmd_bl_o => p1_mcb_cmd_bl_o_int,
mcb_cmd_addr_o => p1_mcb_cmd_addr_o_int,
mcb_cmd_full_i => p1_mcb_cmd_full_i,
mcb_wr_en_o => p1_mcb_wr_en_o_int,
mcb_wr_mask_o => p1_mcb_wr_mask_o,
mcb_wr_data_o => p1_mcb_wr_data_o,
mcb_wr_data_end_o => open,
mcb_wr_full_i => p1_mcb_wr_full_i,
mcb_wr_fifo_counts => p1_mcb_wr_fifo_counts,
mcb_rd_en_o => p1_mcb_rd_en_o,
mcb_rd_data_i => p1_mcb_rd_data_i,
mcb_rd_empty_i => p1_mcb_rd_empty_i,
mcb_rd_fifo_counts => p1_mcb_rd_fifo_counts,
-- status feedback
counts_rst => rst0,
wr_data_counts => open,
rd_data_counts => open,
cmp_data => p1_cmp_data,
cmp_data_valid => p1_cmp_data_valid,
cmp_error => p1_cmp_error,
error => p1_error,
error_status => p1_error_status,
mem_rd_data => open,
dq_error_bytelane_cmp => open,
cumlative_dq_lane_error => open
);
end architecture;
|
--*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.9
-- \ \ Application : MIG
-- / / Filename : memc3_tb_top.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $
-- \ \ / \ Date Created : Jul 03 2009
-- \___\/\___\
--
--Device : Spartan-6
--Design Name : DDR/DDR2/DDR3/LPDDR
--Purpose : This is top level module for test bench. which instantiates
-- init_mem_pattern_ctr and mcb_traffic_gen modules for each user
-- port.
--Reference :
--Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity memc3_tb_top is
generic
(
C_P0_MASK_SIZE : integer := 4;
C_P0_DATA_PORT_SIZE : integer := 32;
C_P1_MASK_SIZE : integer := 4;
C_P1_DATA_PORT_SIZE : integer := 32;
C_MEM_BURST_LEN : integer := 8;
C_SIMULATION : string := "FALSE";
C_MEM_NUM_COL_BITS : integer := 11;
C_NUM_DQ_PINS : integer := 8;
C_SMALL_DEVICE : string := "FALSE";
C_p0_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000100";
C_p0_DATA_MODE : std_logic_vector(3 downto 0) := "0010";
C_p0_END_ADDRESS : std_logic_vector(31 downto 0) := X"000002ff";
C_p0_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"fffffc00";
C_p0_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00000100";
C_p1_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000300";
C_p1_DATA_MODE : std_logic_vector(3 downto 0) := "0010";
C_p1_END_ADDRESS : std_logic_vector(31 downto 0) := X"000004ff";
C_p1_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"fffff800";
C_p1_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00000300"
);
port
(
clk0 : in std_logic;
rst0 : in std_logic;
calib_done : in std_logic;
p0_mcb_cmd_en_o : out std_logic;
p0_mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
p0_mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
p0_mcb_cmd_addr_o : out std_logic_vector(29 downto 0);
p0_mcb_cmd_full_i : in std_logic;
p0_mcb_wr_en_o : out std_logic;
p0_mcb_wr_mask_o : out std_logic_vector(C_P0_MASK_SIZE - 1 downto 0);
p0_mcb_wr_data_o : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0);
p0_mcb_wr_full_i : in std_logic;
p0_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
p0_mcb_rd_en_o : out std_logic;
p0_mcb_rd_data_i : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0);
p0_mcb_rd_empty_i : in std_logic;
p0_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
p1_mcb_cmd_en_o : out std_logic;
p1_mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
p1_mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
p1_mcb_cmd_addr_o : out std_logic_vector(29 downto 0);
p1_mcb_cmd_full_i : in std_logic;
p1_mcb_wr_en_o : out std_logic;
p1_mcb_wr_mask_o : out std_logic_vector(C_P1_MASK_SIZE - 1 downto 0);
p1_mcb_wr_data_o : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0);
p1_mcb_wr_full_i : in std_logic;
p1_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
p1_mcb_rd_en_o : out std_logic;
p1_mcb_rd_data_i : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0);
p1_mcb_rd_empty_i : in std_logic;
p1_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
vio_modify_enable : in std_logic;
vio_data_mode_value : in std_logic_vector(2 downto 0);
vio_addr_mode_value : in std_logic_vector(2 downto 0);
cmp_error : out std_logic;
cmp_data : out std_logic_vector(31 downto 0);
cmp_data_valid : out std_logic;
error : out std_logic;
error_status : out std_logic_vector(127 downto 0)
);
end memc3_tb_top;
architecture arc of memc3_tb_top is
function ERROR_DQWIDTH (val_i : integer) return integer is
begin
if (val_i = 4) then
return 1;
else
return val_i/8;
end if;
end function ERROR_DQWIDTH;
constant DQ_ERROR_WIDTH : integer := ERROR_DQWIDTH(C_NUM_DQ_PINS);
component init_mem_pattern_ctr IS
generic (
FAMILY : string;
BEGIN_ADDRESS : std_logic_vector(31 downto 0);
END_ADDRESS : std_logic_vector(31 downto 0);
DWIDTH : integer;
CMD_SEED_VALUE : std_logic_vector(31 downto 0);
DATA_SEED_VALUE : std_logic_vector(31 downto 0);
DATA_MODE : std_logic_vector(3 downto 0);
PORT_MODE : string
);
PORT (
clk_i : in std_logic;
rst_i : in std_logic;
mcb_cmd_bl_i : in std_logic_vector(5 downto 0);
mcb_cmd_en_i : in std_logic;
mcb_cmd_instr_i : in std_logic_vector(2 downto 0);
mcb_init_done_i : in std_logic;
mcb_wr_en_i : in std_logic;
vio_modify_enable : in std_logic;
vio_data_mode_value : in std_logic_vector(2 downto 0);
vio_addr_mode_value : in std_logic_vector(2 downto 0);
vio_bl_mode_value : in STD_LOGIC_VECTOR(1 downto 0);
vio_fixed_bl_value : in STD_LOGIC_VECTOR(5 downto 0);
cmp_error : in std_logic;
run_traffic_o : out std_logic;
start_addr_o : out std_logic_vector(31 downto 0);
end_addr_o : out std_logic_vector(31 downto 0);
cmd_seed_o : out std_logic_vector(31 downto 0);
data_seed_o : out std_logic_vector(31 downto 0);
load_seed_o : out std_logic;
addr_mode_o : out std_logic_vector(2 downto 0);
instr_mode_o : out std_logic_vector(3 downto 0);
bl_mode_o : out std_logic_vector(1 downto 0);
data_mode_o : out std_logic_vector(3 downto 0);
mode_load_o : out std_logic;
fixed_bl_o : out std_logic_vector(5 downto 0);
fixed_instr_o : out std_logic_vector(2 downto 0);
fixed_addr_o : out std_logic_vector(31 downto 0)
);
end component;
component mcb_traffic_gen is
generic (
FAMILY : string;
SIMULATION : string;
MEM_BURST_LEN : integer;
PORT_MODE : string;
DATA_PATTERN : string;
CMD_PATTERN : string;
ADDR_WIDTH : integer;
CMP_DATA_PIPE_STAGES : integer;
MEM_COL_WIDTH : integer;
NUM_DQ_PINS : integer;
DQ_ERROR_WIDTH : integer;
DWIDTH : integer;
PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0);
PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0);
PRBS_EADDR : std_logic_vector(31 downto 0);
PRBS_SADDR : std_logic_vector(31 downto 0)
);
port (
clk_i : in std_logic;
rst_i : in std_logic;
run_traffic_i : in std_logic;
manual_clear_error : in std_logic;
-- *** runtime parameter ***
start_addr_i : in std_logic_vector(31 downto 0);
end_addr_i : in std_logic_vector(31 downto 0);
cmd_seed_i : in std_logic_vector(31 downto 0);
data_seed_i : in std_logic_vector(31 downto 0);
load_seed_i : in std_logic;
addr_mode_i : in std_logic_vector(2 downto 0);
instr_mode_i : in std_logic_vector(3 downto 0);
bl_mode_i : in std_logic_vector(1 downto 0);
data_mode_i : in std_logic_vector(3 downto 0);
mode_load_i : in std_logic;
-- fixed pattern inputs interface
fixed_bl_i : in std_logic_vector(5 downto 0);
fixed_instr_i : in std_logic_vector(2 downto 0);
fixed_addr_i : in std_logic_vector(31 downto 0);
fixed_data_i : IN STD_LOGIC_VECTOR(DWIDTH-1 DOWNTO 0);
bram_cmd_i : in std_logic_vector(38 downto 0);
bram_valid_i : in std_logic;
bram_rdy_o : out std_logic;
--///////////////////////////////////////////////////////////////////////////
-- MCB INTERFACE
-- interface to mcb command port
mcb_cmd_en_o : out std_logic;
mcb_cmd_instr_o : out std_logic_vector(2 downto 0);
mcb_cmd_addr_o : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
mcb_cmd_bl_o : out std_logic_vector(5 downto 0);
mcb_cmd_full_i : in std_logic;
-- interface to mcb wr data port
mcb_wr_en_o : out std_logic;
mcb_wr_data_o : out std_logic_vector(DWIDTH - 1 downto 0);
mcb_wr_mask_o : out std_logic_vector((DWIDTH / 8) - 1 downto 0);
mcb_wr_data_end_o : OUT std_logic;
mcb_wr_full_i : in std_logic;
mcb_wr_fifo_counts : in std_logic_vector(6 downto 0);
-- interface to mcb rd data port
mcb_rd_en_o : out std_logic;
mcb_rd_data_i : in std_logic_vector(DWIDTH - 1 downto 0);
mcb_rd_empty_i : in std_logic;
mcb_rd_fifo_counts : in std_logic_vector(6 downto 0);
--///////////////////////////////////////////////////////////////////////////
-- status feedback
counts_rst : in std_logic;
wr_data_counts : out std_logic_vector(47 downto 0);
rd_data_counts : out std_logic_vector(47 downto 0);
cmp_data : out std_logic_vector(DWIDTH - 1 downto 0);
cmp_data_valid : out std_logic;
cmp_error : out std_logic;
error : out std_logic;
error_status : out std_logic_vector(64 + (2 * DWIDTH - 1) downto 0);
mem_rd_data : out std_logic_vector(DWIDTH - 1 downto 0);
dq_error_bytelane_cmp : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0);
cumlative_dq_lane_error : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0)
);
end component;
-- Function to determine the number of data patterns to be generated
function DATA_PATTERN_CALC return string is
begin
if (C_SMALL_DEVICE = "FALSE") then
return "DGEN_ALL";
else
return "DGEN_ADDR";
end if;
end function;
constant FAMILY : string := "SPARTAN6";
constant DATA_PATTERN : string := DATA_PATTERN_CALC;
constant CMD_PATTERN : string := "CGEN_ALL";
constant ADDR_WIDTH : integer := 30;
constant CMP_DATA_PIPE_STAGES : integer := 0;
constant PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00007000";
constant PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"FFFF8000";
constant PRBS_SADDR : std_logic_vector(31 downto 0) := X"00005000";
constant PRBS_EADDR : std_logic_vector(31 downto 0) := X"00007fff";
constant BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000000";
constant END_ADDRESS : std_logic_vector(31 downto 0) := X"00000fff";
constant DATA_MODE : std_logic_vector(3 downto 0) := "0010";
constant p0_DWIDTH : integer := 32;
constant p1_DWIDTH : integer := 32;
constant p0_PORT_MODE : string := "BI_MODE";
constant p1_PORT_MODE : string := "BI_MODE";
--p0 Signal declarations
signal p0_tg_run_traffic : std_logic;
signal p0_tg_start_addr : std_logic_vector(31 downto 0);
signal p0_tg_end_addr : std_logic_vector(31 downto 0);
signal p0_tg_cmd_seed : std_logic_vector(31 downto 0);
signal p0_tg_data_seed : std_logic_vector(31 downto 0);
signal p0_tg_load_seed : std_logic;
signal p0_tg_addr_mode : std_logic_vector(2 downto 0);
signal p0_tg_instr_mode : std_logic_vector(3 downto 0);
signal p0_tg_bl_mode : std_logic_vector(1 downto 0);
signal p0_tg_data_mode : std_logic_vector(3 downto 0);
signal p0_tg_mode_load : std_logic;
signal p0_tg_fixed_bl : std_logic_vector(5 downto 0);
signal p0_tg_fixed_instr : std_logic_vector(2 downto 0);
signal p0_tg_fixed_addr : std_logic_vector(31 downto 0);
signal p0_error_status : std_logic_vector(64 + (2*p0_DWIDTH - 1) downto 0);
signal p0_error : std_logic;
signal p0_cmp_error : std_logic;
signal p0_cmp_data : std_logic_vector(p0_DWIDTH-1 downto 0);
signal p0_cmp_data_valid : std_logic;
signal p0_mcb_cmd_en_o_int : std_logic;
signal p0_mcb_cmd_instr_o_int : std_logic_vector(2 downto 0);
signal p0_mcb_cmd_bl_o_int : std_logic_vector(5 downto 0);
signal p0_mcb_cmd_addr_o_int : std_logic_vector(29 downto 0);
signal p0_mcb_wr_en_o_int : std_logic;
--p1 Signal declarations
signal p1_tg_run_traffic : std_logic;
signal p1_tg_start_addr : std_logic_vector(31 downto 0);
signal p1_tg_end_addr : std_logic_vector(31 downto 0);
signal p1_tg_cmd_seed : std_logic_vector(31 downto 0);
signal p1_tg_data_seed : std_logic_vector(31 downto 0);
signal p1_tg_load_seed : std_logic;
signal p1_tg_addr_mode : std_logic_vector(2 downto 0);
signal p1_tg_instr_mode : std_logic_vector(3 downto 0);
signal p1_tg_bl_mode : std_logic_vector(1 downto 0);
signal p1_tg_data_mode : std_logic_vector(3 downto 0);
signal p1_tg_mode_load : std_logic;
signal p1_tg_fixed_bl : std_logic_vector(5 downto 0);
signal p1_tg_fixed_instr : std_logic_vector(2 downto 0);
signal p1_tg_fixed_addr : std_logic_vector(31 downto 0);
signal p1_error_status : std_logic_vector(64 + (2*p1_DWIDTH - 1) downto 0);
signal p1_error : std_logic;
signal p1_cmp_error : std_logic;
signal p1_cmp_data : std_logic_vector(p1_DWIDTH-1 downto 0);
signal p1_cmp_data_valid : std_logic;
signal p1_mcb_cmd_en_o_int : std_logic;
signal p1_mcb_cmd_instr_o_int : std_logic_vector(2 downto 0);
signal p1_mcb_cmd_bl_o_int : std_logic_vector(5 downto 0);
signal p1_mcb_cmd_addr_o_int : std_logic_vector(29 downto 0);
signal p1_mcb_wr_en_o_int : std_logic;
--signal cmp_data : std_logic_vector(31 downto 0);
begin
cmp_error <= p0_cmp_error or p1_cmp_error;
error <= p0_error or p1_error;
error_status <= p0_error_status;
cmp_data <= p0_cmp_data(31 downto 0);
cmp_data_valid <= p0_cmp_data_valid;
p0_mcb_cmd_en_o <= p0_mcb_cmd_en_o_int;
p0_mcb_cmd_instr_o <= p0_mcb_cmd_instr_o_int;
p0_mcb_cmd_bl_o <= p0_mcb_cmd_bl_o_int;
p0_mcb_cmd_addr_o <= p0_mcb_cmd_addr_o_int;
p0_mcb_wr_en_o <= p0_mcb_wr_en_o_int;
init_mem_pattern_ctr_p0 :init_mem_pattern_ctr
generic map
(
DWIDTH => p0_DWIDTH,
FAMILY => FAMILY,
BEGIN_ADDRESS => C_p0_BEGIN_ADDRESS,
END_ADDRESS => C_p0_END_ADDRESS,
CMD_SEED_VALUE => X"56456783",
DATA_SEED_VALUE => X"12345678",
DATA_MODE => C_p0_DATA_MODE,
PORT_MODE => p0_PORT_MODE
)
port map
(
clk_i => clk0,
rst_i => rst0,
mcb_cmd_en_i => p0_mcb_cmd_en_o_int,
mcb_cmd_instr_i => p0_mcb_cmd_instr_o_int,
mcb_cmd_bl_i => p0_mcb_cmd_bl_o_int,
mcb_wr_en_i => p0_mcb_wr_en_o_int,
vio_modify_enable => vio_modify_enable,
vio_data_mode_value => vio_data_mode_value,
vio_addr_mode_value => vio_addr_mode_value,
vio_bl_mode_value => "10",--vio_bl_mode_value,
vio_fixed_bl_value => "000000",--vio_fixed_bl_value,
mcb_init_done_i => calib_done,
cmp_error => p0_error,
run_traffic_o => p0_tg_run_traffic,
start_addr_o => p0_tg_start_addr,
end_addr_o => p0_tg_end_addr ,
cmd_seed_o => p0_tg_cmd_seed ,
data_seed_o => p0_tg_data_seed ,
load_seed_o => p0_tg_load_seed ,
addr_mode_o => p0_tg_addr_mode ,
instr_mode_o => p0_tg_instr_mode ,
bl_mode_o => p0_tg_bl_mode ,
data_mode_o => p0_tg_data_mode ,
mode_load_o => p0_tg_mode_load ,
fixed_bl_o => p0_tg_fixed_bl ,
fixed_instr_o => p0_tg_fixed_instr,
fixed_addr_o => p0_tg_fixed_addr
);
m_traffic_gen_p0 : mcb_traffic_gen
generic map(
MEM_BURST_LEN => C_MEM_BURST_LEN,
MEM_COL_WIDTH => C_MEM_NUM_COL_BITS,
NUM_DQ_PINS => C_NUM_DQ_PINS,
DQ_ERROR_WIDTH => DQ_ERROR_WIDTH,
PORT_MODE => p0_PORT_MODE,
DWIDTH => p0_DWIDTH,
CMP_DATA_PIPE_STAGES => CMP_DATA_PIPE_STAGES,
FAMILY => FAMILY,
SIMULATION => "FALSE",
DATA_PATTERN => DATA_PATTERN,
CMD_PATTERN => "CGEN_ALL",
ADDR_WIDTH => 30,
PRBS_SADDR_MASK_POS => C_p0_PRBS_SADDR_MASK_POS,
PRBS_EADDR_MASK_POS => C_p0_PRBS_EADDR_MASK_POS,
PRBS_SADDR => C_p0_BEGIN_ADDRESS,
PRBS_EADDR => C_p0_END_ADDRESS
)
port map
(
clk_i => clk0,
rst_i => rst0,
run_traffic_i => p0_tg_run_traffic,
manual_clear_error => rst0,
-- runtime parameter
start_addr_i => p0_tg_start_addr ,
end_addr_i => p0_tg_end_addr ,
cmd_seed_i => p0_tg_cmd_seed ,
data_seed_i => p0_tg_data_seed ,
load_seed_i => p0_tg_load_seed,
addr_mode_i => p0_tg_addr_mode,
instr_mode_i => p0_tg_instr_mode ,
bl_mode_i => p0_tg_bl_mode ,
data_mode_i => p0_tg_data_mode ,
mode_load_i => p0_tg_mode_load ,
-- fixed pattern inputs interface
fixed_bl_i => p0_tg_fixed_bl,
fixed_instr_i => p0_tg_fixed_instr,
fixed_addr_i => p0_tg_fixed_addr,
fixed_data_i => (others => '0'),
-- BRAM interface.
bram_cmd_i => (others => '0'),
bram_valid_i => '0',
bram_rdy_o => open,
-- MCB INTERFACE
mcb_cmd_en_o => p0_mcb_cmd_en_o_int,
mcb_cmd_instr_o => p0_mcb_cmd_instr_o_int,
mcb_cmd_bl_o => p0_mcb_cmd_bl_o_int,
mcb_cmd_addr_o => p0_mcb_cmd_addr_o_int,
mcb_cmd_full_i => p0_mcb_cmd_full_i,
mcb_wr_en_o => p0_mcb_wr_en_o_int,
mcb_wr_mask_o => p0_mcb_wr_mask_o,
mcb_wr_data_o => p0_mcb_wr_data_o,
mcb_wr_data_end_o => open,
mcb_wr_full_i => p0_mcb_wr_full_i,
mcb_wr_fifo_counts => p0_mcb_wr_fifo_counts,
mcb_rd_en_o => p0_mcb_rd_en_o,
mcb_rd_data_i => p0_mcb_rd_data_i,
mcb_rd_empty_i => p0_mcb_rd_empty_i,
mcb_rd_fifo_counts => p0_mcb_rd_fifo_counts,
-- status feedback
counts_rst => rst0,
wr_data_counts => open,
rd_data_counts => open,
cmp_data => p0_cmp_data,
cmp_data_valid => p0_cmp_data_valid,
cmp_error => p0_cmp_error,
error => p0_error,
error_status => p0_error_status,
mem_rd_data => open,
dq_error_bytelane_cmp => open,
cumlative_dq_lane_error => open
);
p1_mcb_cmd_en_o <= p1_mcb_cmd_en_o_int;
p1_mcb_cmd_instr_o <= p1_mcb_cmd_instr_o_int;
p1_mcb_cmd_bl_o <= p1_mcb_cmd_bl_o_int;
p1_mcb_cmd_addr_o <= p1_mcb_cmd_addr_o_int;
p1_mcb_wr_en_o <= p1_mcb_wr_en_o_int;
init_mem_pattern_ctr_p1 :init_mem_pattern_ctr
generic map
(
DWIDTH => p1_DWIDTH,
FAMILY => FAMILY,
BEGIN_ADDRESS => C_p1_BEGIN_ADDRESS,
END_ADDRESS => C_p1_END_ADDRESS,
CMD_SEED_VALUE => X"56456783",
DATA_SEED_VALUE => X"12345678",
DATA_MODE => C_p1_DATA_MODE,
PORT_MODE => p1_PORT_MODE
)
port map
(
clk_i => clk0,
rst_i => rst0,
mcb_cmd_en_i => p1_mcb_cmd_en_o_int,
mcb_cmd_instr_i => p1_mcb_cmd_instr_o_int,
mcb_cmd_bl_i => p1_mcb_cmd_bl_o_int,
mcb_wr_en_i => p1_mcb_wr_en_o_int,
vio_modify_enable => vio_modify_enable,
vio_data_mode_value => vio_data_mode_value,
vio_addr_mode_value => vio_addr_mode_value,
vio_bl_mode_value => "10",--vio_bl_mode_value,
vio_fixed_bl_value => "000000",--vio_fixed_bl_value,
mcb_init_done_i => calib_done,
cmp_error => p1_error,
run_traffic_o => p1_tg_run_traffic,
start_addr_o => p1_tg_start_addr,
end_addr_o => p1_tg_end_addr ,
cmd_seed_o => p1_tg_cmd_seed ,
data_seed_o => p1_tg_data_seed ,
load_seed_o => p1_tg_load_seed ,
addr_mode_o => p1_tg_addr_mode ,
instr_mode_o => p1_tg_instr_mode ,
bl_mode_o => p1_tg_bl_mode ,
data_mode_o => p1_tg_data_mode ,
mode_load_o => p1_tg_mode_load ,
fixed_bl_o => p1_tg_fixed_bl ,
fixed_instr_o => p1_tg_fixed_instr,
fixed_addr_o => p1_tg_fixed_addr
);
m_traffic_gen_p1 : mcb_traffic_gen
generic map(
MEM_BURST_LEN => C_MEM_BURST_LEN,
MEM_COL_WIDTH => C_MEM_NUM_COL_BITS,
NUM_DQ_PINS => C_NUM_DQ_PINS,
DQ_ERROR_WIDTH => DQ_ERROR_WIDTH,
PORT_MODE => p1_PORT_MODE,
DWIDTH => p1_DWIDTH,
CMP_DATA_PIPE_STAGES => CMP_DATA_PIPE_STAGES,
FAMILY => FAMILY,
SIMULATION => "FALSE",
DATA_PATTERN => DATA_PATTERN,
CMD_PATTERN => "CGEN_ALL",
ADDR_WIDTH => 30,
PRBS_SADDR_MASK_POS => C_p1_PRBS_SADDR_MASK_POS,
PRBS_EADDR_MASK_POS => C_p1_PRBS_EADDR_MASK_POS,
PRBS_SADDR => C_p1_BEGIN_ADDRESS,
PRBS_EADDR => C_p1_END_ADDRESS
)
port map
(
clk_i => clk0,
rst_i => rst0,
run_traffic_i => p1_tg_run_traffic,
manual_clear_error => rst0,
-- runtime parameter
start_addr_i => p1_tg_start_addr ,
end_addr_i => p1_tg_end_addr ,
cmd_seed_i => p1_tg_cmd_seed ,
data_seed_i => p1_tg_data_seed ,
load_seed_i => p1_tg_load_seed,
addr_mode_i => p1_tg_addr_mode,
instr_mode_i => p1_tg_instr_mode ,
bl_mode_i => p1_tg_bl_mode ,
data_mode_i => p1_tg_data_mode ,
mode_load_i => p1_tg_mode_load ,
-- fixed pattern inputs interface
fixed_bl_i => p1_tg_fixed_bl,
fixed_instr_i => p1_tg_fixed_instr,
fixed_addr_i => p1_tg_fixed_addr,
fixed_data_i => (others => '0'),
-- BRAM interface.
bram_cmd_i => (others => '0'),
bram_valid_i => '0',
bram_rdy_o => open,
-- MCB INTERFACE
mcb_cmd_en_o => p1_mcb_cmd_en_o_int,
mcb_cmd_instr_o => p1_mcb_cmd_instr_o_int,
mcb_cmd_bl_o => p1_mcb_cmd_bl_o_int,
mcb_cmd_addr_o => p1_mcb_cmd_addr_o_int,
mcb_cmd_full_i => p1_mcb_cmd_full_i,
mcb_wr_en_o => p1_mcb_wr_en_o_int,
mcb_wr_mask_o => p1_mcb_wr_mask_o,
mcb_wr_data_o => p1_mcb_wr_data_o,
mcb_wr_data_end_o => open,
mcb_wr_full_i => p1_mcb_wr_full_i,
mcb_wr_fifo_counts => p1_mcb_wr_fifo_counts,
mcb_rd_en_o => p1_mcb_rd_en_o,
mcb_rd_data_i => p1_mcb_rd_data_i,
mcb_rd_empty_i => p1_mcb_rd_empty_i,
mcb_rd_fifo_counts => p1_mcb_rd_fifo_counts,
-- status feedback
counts_rst => rst0,
wr_data_counts => open,
rd_data_counts => open,
cmp_data => p1_cmp_data,
cmp_data_valid => p1_cmp_data_valid,
cmp_error => p1_cmp_error,
error => p1_error,
error_status => p1_error_status,
mem_rd_data => open,
dq_error_bytelane_cmp => open,
cumlative_dq_lane_error => open
);
end architecture;
|
-----------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.can.all;
use gaisler.pci.all;
use gaisler.net.all;
use gaisler.jtag.all;
use gaisler.spacewire.all;
library esa;
use esa.memoryctrl.all;
use esa.pcicomp.all;
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW
);
port (
resetn : in std_ulogic;
clk : in std_ulogic;
pllref : in std_ulogic;
errorn : out std_ulogic;
address : out std_logic_vector(27 downto 0);
data : inout std_logic_vector(31 downto 0);
sa : out std_logic_vector(14 downto 0);
sd : inout std_logic_vector(63 downto 0);
sdclk : out std_ulogic;
sdcke : out std_logic_vector (1 downto 0); -- sdram clock enable
sdcsn : out std_logic_vector (1 downto 0); -- sdram chip select
sdwen : out std_ulogic; -- sdram write enable
sdrasn : out std_ulogic; -- sdram ras
sdcasn : out std_ulogic; -- sdram cas
sddqm : out std_logic_vector (7 downto 0); -- sdram dqm
dsutx : out std_ulogic; -- DSU tx data
dsurx : in std_ulogic; -- DSU rx data
dsuen : in std_ulogic;
dsubre : in std_ulogic;
dsuact : out std_ulogic;
txd1 : out std_ulogic; -- UART1 tx data
rxd1 : in std_ulogic; -- UART1 rx data
txd2 : out std_ulogic; -- UART2 tx data
rxd2 : in std_ulogic; -- UART2 rx data
ramsn : out std_logic_vector (4 downto 0);
ramoen : out std_logic_vector (4 downto 0);
rwen : out std_logic_vector (3 downto 0);
oen : out std_ulogic;
writen : out std_ulogic;
read : out std_ulogic;
iosn : out std_ulogic;
romsn : out std_logic_vector (1 downto 0);
gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port
emdio : inout std_logic; -- ethernet PHY interface
etx_clk : in std_ulogic;
erx_clk : in std_ulogic;
erxd : in std_logic_vector(3 downto 0);
erx_dv : in std_ulogic;
erx_er : in std_ulogic;
erx_col : in std_ulogic;
erx_crs : in std_ulogic;
etxd : out std_logic_vector(3 downto 0);
etx_en : out std_ulogic;
etx_er : out std_ulogic;
emdc : out std_ulogic;
emddis : out std_logic;
epwrdwn : out std_ulogic;
ereset : out std_ulogic;
esleep : out std_ulogic;
epause : out std_ulogic;
pci_rst : inout std_logic; -- PCI bus
pci_clk : in std_ulogic;
pci_gnt : in std_ulogic;
pci_idsel : in std_ulogic;
pci_lock : inout std_ulogic;
pci_ad : inout std_logic_vector(31 downto 0);
pci_cbe : inout std_logic_vector(3 downto 0);
pci_frame : inout std_ulogic;
pci_irdy : inout std_ulogic;
pci_trdy : inout std_ulogic;
pci_devsel : inout std_ulogic;
pci_stop : inout std_ulogic;
pci_perr : inout std_ulogic;
pci_par : inout std_ulogic;
pci_req : inout std_ulogic;
pci_serr : inout std_ulogic;
pci_host : in std_ulogic;
pci_66 : in std_ulogic;
pci_arb_req : in std_logic_vector(0 to 3);
pci_arb_gnt : out std_logic_vector(0 to 3);
can_txd : out std_ulogic;
can_rxd : in std_ulogic;
can_stb : out std_ulogic;
spw_clk : in std_ulogic;
spw_rxd : in std_logic_vector(0 to 2);
spw_rxdn : in std_logic_vector(0 to 2);
spw_rxs : in std_logic_vector(0 to 2);
spw_rxsn : in std_logic_vector(0 to 2);
spw_txd : out std_logic_vector(0 to 2);
spw_txdn : out std_logic_vector(0 to 2);
spw_txs : out std_logic_vector(0 to 2);
spw_txsn : out std_logic_vector(0 to 2);
tck, tms, tdi : in std_ulogic;
tdo : out std_ulogic
);
end;
architecture rtl of leon3mp is
constant blength : integer := 12;
constant maxahbmsp : integer := NCPU+CFG_AHB_UART+
CFG_GRETH+CFG_AHB_JTAG+log2x(CFG_PCI);
constant maxahbm : integer := (CFG_SPW_NUM*CFG_SPW_EN) + maxahbmsp;
signal vcc, gnd : std_logic_vector(4 downto 0);
signal memi : memory_in_type;
signal memo : memory_out_type;
signal wpo : wprot_out_type;
signal sdi : sdctrl_in_type;
signal sdo : sdram_out_type;
signal sdo2, sdo3 : sdctrl_out_type;
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal clkm, rstn, rstraw, pciclk, sdclkl, spw_lclk : std_ulogic;
signal cgi : clkgen_in_type;
signal cgo : clkgen_out_type;
signal u1i, u2i, dui : uart_in_type;
signal u1o, u2o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to NCPU-1);
signal irqo : irq_out_vector(0 to NCPU-1);
signal dbgi : l3_debug_in_vector(0 to NCPU-1);
signal dbgo : l3_debug_out_vector(0 to NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal pcii : pci_in_type;
signal pcio : pci_out_type;
signal ethi, ethi1, ethi2 : eth_in_type;
signal etho, etho1, etho2 : eth_out_type;
signal gpti : gptimer_in_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
signal can_lrx, can_ltx : std_ulogic;
signal lclk, pci_lclk : std_ulogic;
signal pci_arb_req_n, pci_arb_gnt_n : std_logic_vector(0 to 3);
signal spwi : grspw_in_type_vector(0 to 2);
signal spwo : grspw_out_type_vector(0 to 2);
signal spw_rxclk : std_logic_vector(0 to CFG_SPW_NUM-1);
signal dtmp : std_logic_vector(0 to CFG_SPW_NUM-1);
signal stmp : std_logic_vector(0 to CFG_SPW_NUM-1);
signal spw_rxtxclk : std_ulogic;
signal spw_rxclkn : std_ulogic;
attribute sync_set_reset : string;
attribute sync_set_reset of rstn : signal is "true";
constant BOARD_FREQ : integer := 40000; -- Board frequency in KHz
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;
constant IOAEN : integer := CFG_SDCTRL + CFG_CAN;
constant CFG_SDEN : integer := CFG_SDCTRL + CFG_MCTRL_SDEN ;
constant sysfreq : integer := (CFG_CLKMUL/CFG_CLKDIV)*40000;
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= (others => '1'); gnd <= (others => '0');
cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
pllref_pad : clkpad generic map (tech => padtech) port map (pllref, cgi.pllref);
clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
pci_clk_pad : clkpad generic map (tech => padtech, level => pci33)
port map (pci_clk, pci_lclk);
clkgen0 : clkgen -- clock generator
generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_SDEN,
CFG_CLK_NOFB, CFG_PCI, CFG_PCIDLL, CFG_PCISYSCLK)
port map (lclk, pci_lclk, clkm, open, open, sdclkl, pciclk, cgi, cgo);
sdclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24)
port map (sdclk, sdclkl);
rst0 : rstgen -- reset generator
port map (resetn, clkm, cgo.clklock, rstn, rstraw);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
l3 : if CFG_LEON3 = 1 generate
cpu : for i in 0 to NCPU-1 generate
u0 : leon3s -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1, CFG_DFIXED,
CFG_SCAN, CFG_MMU_PAGE, CFG_BP)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable);
dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
end generate;
end generate;
nodsu : if CFG_DSU = 0 generate
ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
end generate;
dcomgen : if CFG_AHB_UART = 1 generate
dcom0: ahbuart -- Debug UART
generic map (hindex => NCPU, pindex => 7, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(NCPU));
dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd);
dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
end generate;
nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART),
open, open, open, open, open, open, open, gnd(0));
end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
src : if CFG_SRCTRL = 1 generate -- 32-bit PROM/SRAM controller
sr0 : srctrl generic map (hindex => 0, ramws => CFG_SRCTRL_RAMWS,
romws => CFG_SRCTRL_PROMWS, ramaddr => 16#400#,
prom8en => CFG_SRCTRL_8BIT, rmw => CFG_SRCTRL_RMW)
port map (rstn, clkm, ahbsi, ahbso(0), memi, memo, sdo3);
apbo(0) <= apb_none;
end generate;
sdc : if CFG_SDCTRL = 1 generate
sdc : sdctrl generic map (hindex => 3, haddr => 16#600#, hmask => 16#F00#,
ioaddr => 1, fast => 0, pwron => 0, invclk => CFG_SDCTRL_INVCLK,
sdbits => 32 + 32*CFG_SDCTRL_SD64, pageburst => CFG_SDCTRL_PAGE)
port map (rstn, clkm, ahbsi, ahbso(3), sdi, sdo2);
sa_pad : outpadv generic map (width => 15, tech => padtech)
port map (sa, sdo2.address);
sd_pad : iopadv generic map (width => 32, tech => padtech)
port map (sd(31 downto 0), sdo2.data(31 downto 0), sdo2.bdrive, sdi.data(31 downto 0));
sd2 : if CFG_SDCTRL_SD64 = 1 generate
sd_pad2 : iopadv generic map (width => 32)
port map (sd(63 downto 32), sdo2.data, sdo2.bdrive, sdi.data(63 downto 32));
end generate;
sdcke_pad : outpadv generic map (width =>2, tech => padtech)
port map (sdcke, sdo2.sdcke);
sdwen_pad : outpad generic map (tech => padtech)
port map (sdwen, sdo2.sdwen);
sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
port map (sdcsn, sdo2.sdcsn);
sdras_pad : outpad generic map (tech => padtech)
port map (sdrasn, sdo2.rasn);
sdcas_pad : outpad generic map (tech => padtech)
port map (sdcasn, sdo2.casn);
sddqm_pad : outpadv generic map (width =>8, tech => padtech)
port map (sddqm, sdo2.dqm(7 downto 0));
end generate;
mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller
sr1 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
srbanks => 4+CFG_MCTRL_5CS, sden => CFG_MCTRL_SDEN,
ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,
invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS,
sdbits => 32 + 32*CFG_MCTRL_SD64, pageburst => CFG_MCTRL_PAGE)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
sdpads : if CFG_MCTRL_SDEN = 1 generate -- SDRAM controller
sd2 : if CFG_MCTRL_SEPBUS = 1 generate
sa_pad : outpadv generic map (width => 15) port map (sa, memo.sa);
bdr : for i in 0 to 3 generate
sd_pad : iopadv generic map (tech => padtech, width => 8)
port map (sd(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8));
sd2 : if CFG_MCTRL_SD64 = 1 generate
sd_pad2 : iopadv generic map (tech => padtech, width => 8)
port map (sd(31-i*8+32 downto 24-i*8+32), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), memi.sd(31-i*8+32 downto 24-i*8+32));
end generate;
end generate;
end generate;
sdwen_pad : outpad generic map (tech => padtech)
port map (sdwen, sdo.sdwen);
sdras_pad : outpad generic map (tech => padtech)
port map (sdrasn, sdo.rasn);
sdcas_pad : outpad generic map (tech => padtech)
port map (sdcasn, sdo.casn);
sddqm_pad : outpadv generic map (width =>8, tech => padtech)
port map (sddqm, sdo.dqm);
sdcke_pad : outpadv generic map (width =>2, tech => padtech)
port map (sdcke, sdo.sdcke);
sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
port map (sdcsn, sdo.sdcsn);
end generate;
end generate;
nosd0 : if (CFG_MCTRL_SDEN = 0) and (CFG_SDCTRL = 0) generate -- no SDRAM controller
sdcke_pad : outpadv generic map (width =>2, tech => padtech)
port map (sdcke, vcc(1 downto 0));
sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
port map (sdcsn, vcc(1 downto 0));
end generate;
memi.brdyn <= '1'; memi.bexcn <= '1';
memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10";
mgpads : if (CFG_SRCTRL = 1) or (CFG_MCTRL_LEON2 = 1) generate -- prom/sram pads
addr_pad : outpadv generic map (width => 28, tech => padtech)
port map (address, memo.address(27 downto 0));
rams_pad : outpadv generic map (width => 5, tech => padtech)
port map (ramsn, memo.ramsn(4 downto 0));
roms_pad : outpadv generic map (width => 2, tech => padtech)
port map (romsn, memo.romsn(1 downto 0));
oen_pad : outpad generic map (tech => padtech)
port map (oen, memo.oen);
rwen_pad : outpadv generic map (width => 4, tech => padtech)
port map (rwen, memo.wrn);
roen_pad : outpadv generic map (width => 5, tech => padtech)
port map (ramoen, memo.ramoen(4 downto 0));
wri_pad : outpad generic map (tech => padtech)
port map (writen, memo.writen);
read_pad : outpad generic map (tech => padtech)
port map (read, memo.read);
iosn_pad : outpad generic map (tech => padtech)
port map (iosn, memo.iosn);
bdr : for i in 0 to 3 generate
data_pad : iopadv generic map (tech => padtech, width => 8)
port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
end generate;
end generate;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 generate
brom : entity work.ahbrom
generic map (hindex => 5, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map ( rstn, clkm, ahbsi, ahbso(5));
end generate;
nobpromgen : if CFG_AHBROMEN = 0 generate
ahbso(5) <= ahbs_none;
end generate;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
apb0 : apbctrl -- AHB/APB bridge
generic map (hindex => 1, haddr => CFG_APBADDR)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
ua2 : if CFG_UART2_ENABLE /= 0 generate
uart2 : apbuart -- UART 2
generic map (pindex => 9, paddr => 9, pirq => 3, fifosize => CFG_UART2_FIFO)
port map (rstn, clkm, apbi, apbo(9), u2i, u2o);
u2i.rxd <= rxd2; u2i.ctsn <= '0'; u2i.extclk <= '0'; txd2 <= u2o.txd;
end generate;
noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOG)
port map (rstn, clkm, apbi, apbo(3), gpti, open);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
end generate;
notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GR GPIO unit
grgpio0: grgpio
generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK,
nbits => CFG_GRGPIO_WIDTH)
port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo);
pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
pio_pad : iopad generic map (tech => padtech)
port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
end generate;
end generate;
-----------------------------------------------------------------------
--- PCI ------------------------------------------------------------
-----------------------------------------------------------------------
pp : if CFG_PCI /= 0 generate
pci_gr0 : if CFG_PCI = 1 generate -- simple target-only
pci0 : pci_target generic map (hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
device_id => CFG_PCIDID, vendor_id => CFG_PCIVID)
port map (rstn, clkm, pciclk, pcii, pcio, ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG));
end generate;
pci_mtf0 : if CFG_PCI = 2 generate -- master/target with fifo
pci0 : pci_mtf generic map (memtech => memtech, hmstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
hslvndx => 4, pindex => 4, paddr => 4, haddr => 16#E00#,
ioaddr => 16#400#, nsync => 2, hostrst => 1)
port map (rstn, clkm, pciclk, pcii, pcio, apbi, apbo(4),
ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
end generate;
pci_mtf1 : if CFG_PCI = 3 generate -- master/target with fifo and DMA
dma : pcidma generic map (memtech => memtech, dmstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1,
dapbndx => 5, dapbaddr => 5, blength => blength, mstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
slvndx => 4, apbndx => 4, apbaddr => 4, haddr => 16#E00#, ioaddr => 16#800#,
nsync => 2, hostrst => 1)
port map (rstn, clkm, pciclk, pcii, pcio, apbo(5), ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1),
apbi, apbo(4), ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
end generate;
pci_trc0 : if CFG_PCITBUFEN /= 0 generate -- PCI trace buffer
pt0 : pcitrace generic map (depth => (6 + log2(CFG_PCITBUF/256)),
memtech => memtech, pindex => 8, paddr => 16#100#, pmask => 16#f00#)
port map ( rstn, clkm, pciclk, pcii, apbi, apbo(8));
end generate;
pcia0 : if CFG_PCI_ARB = 1 generate -- PCI arbiter
pciarb0 : pciarb generic map (pindex => 10, paddr => 10,
apb_en => CFG_PCI_ARBAPB)
port map ( clk => pciclk, rst_n => pcii.rst,
req_n => pci_arb_req_n, frame_n => pcii.frame,
gnt_n => pci_arb_gnt_n, pclk => clkm,
prst_n => rstn, apbi => apbi, apbo => apbo(10)
);
pgnt_pad : outpadv generic map (tech => padtech, width => 4)
port map (pci_arb_gnt, pci_arb_gnt_n);
preq_pad : inpadv generic map (tech => padtech, width => 4)
port map (pci_arb_req, pci_arb_req_n);
end generate;
pcipads0 : pcipads generic map (padtech => padtech) -- PCI pads
port map ( pci_rst, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe,
pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr,
pci_par, pci_req, pci_serr, pci_host, pci_66, pcii, pcio );
end generate;
nop1 : if CFG_PCI <= 1 generate apbo(4) <= apb_none; end generate;
nop2 : if CFG_PCI <= 2 generate apbo(5) <= apb_none; end generate;
nop3 : if CFG_PCI <= 1 generate ahbso(4) <= ahbs_none; end generate;
notrc : if CFG_PCITBUFEN = 0 generate apbo(8) <= apb_none; end generate;
noarb : if CFG_PCI_ARB = 0 generate apbo(10) <= apb_none; end generate;
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : greth generic map(hindex => NCPU+CFG_AHB_UART+CFG_PCI+CFG_AHB_JTAG,
pindex => 15, paddr => 15, pirq => 7, memtech => memtech,
mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_PCI+CFG_AHB_JTAG), apbi => apbi,
apbo => apbo(15), ethi => ethi, etho => etho);
emdio_pad : iopad generic map (tech => padtech)
port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
etxc_pad : clkpad generic map (tech => padtech, arch => 1)
port map (etx_clk, ethi.tx_clk);
erxc_pad : clkpad generic map (tech => padtech, arch => 1)
port map (erx_clk, ethi.rx_clk);
erxd_pad : inpadv generic map (tech => padtech, width => 4)
port map (erxd, ethi.rxd(3 downto 0));
erxdv_pad : inpad generic map (tech => padtech)
port map (erx_dv, ethi.rx_dv);
erxer_pad : inpad generic map (tech => padtech)
port map (erx_er, ethi.rx_er);
erxco_pad : inpad generic map (tech => padtech)
port map (erx_col, ethi.rx_col);
erxcr_pad : inpad generic map (tech => padtech)
port map (erx_crs, ethi.rx_crs);
etxd_pad : outpadv generic map (tech => padtech, width => 4)
port map (etxd, etho.txd(3 downto 0));
etxen_pad : outpad generic map (tech => padtech)
port map ( etx_en, etho.tx_en);
etxer_pad : outpad generic map (tech => padtech)
port map (etx_er, etho.tx_er);
emdc_pad : outpad generic map (tech => padtech)
port map (emdc, etho.mdc);
emdis_pad : outpad generic map (tech => padtech)
port map (emddis, vcc(0));
eepwrdwn_pad : outpad generic map (tech => padtech)
port map (epwrdwn, gnd(0));
esleep_pad : outpad generic map (tech => padtech)
port map (esleep, gnd(0));
epause_pad : outpad generic map (tech => padtech)
port map (epause, gnd(0));
ereset_pad : outpad generic map (tech => padtech)
port map (ereset, gnd(0));
end generate;
-----------------------------------------------------------------------
--- CAN --------------------------------------------------------------
-----------------------------------------------------------------------
can0 : if CFG_CAN = 1 generate
can0 : can_oc generic map (slvndx => 6, ioaddr => CFG_CANIO,
iomask => 16#FFF#, irq => CFG_CANIRQ, memtech => memtech)
port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx );
end generate;
ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate;
can_stb <= '0'; -- no standby
can_loopback : if CFG_CANLOOP = 1 generate
can_lrx <= can_ltx;
end generate;
can_pads : if CFG_CANLOOP = 0 generate
can_tx_pad : outpad generic map (tech => padtech)
port map (can_txd, can_ltx);
can_rx_pad : inpad generic map (tech => padtech)
port map (can_rxd, can_lrx);
end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ocram : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE)
port map ( rstn, clkm, ahbsi, ahbso(7));
end generate;
nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- SPACEWIRE -------------------------------------------------------
-----------------------------------------------------------------------
spw : if CFG_SPW_EN > 0 generate
spw_clk_pad : clkpad generic map (tech => padtech) port map (spw_clk, spw_lclk);
spw_rxtxclk <= spw_lclk;
spw_rxclkn <= not spw_rxtxclk;
swloop : for i in 0 to CFG_SPW_NUM-1 generate
-- GRSPW2 PHY
spw2_input : if CFG_SPW_GRSPW = 2 generate
spw_phy0 : grspw2_phy
generic map(
scantest => 0,
tech => fabtech,
input_type => CFG_SPW_INPUT)
port map(
rstn => rstn,
rxclki => spw_rxtxclk,
rxclkin => spw_rxclkn,
nrxclki => spw_rxtxclk,
di => dtmp(i),
si => stmp(i),
do => spwi(i).d(1 downto 0),
dov => spwi(i).dv(1 downto 0),
dconnect => spwi(i).dconnect(1 downto 0),
rxclko => spw_rxclk(i));
spwi(i).nd <= (others => '0'); -- Only used in GRSPW
spwi(i).dv(3 downto 2) <= "00"; -- For second port
end generate spw2_input;
-- GRSPW PHY
spw1_input: if CFG_SPW_GRSPW = 1 generate
spw_phy0 : grspw_phy
generic map(
tech => fabtech,
rxclkbuftype => 1,
scantest => 0)
port map(
rxrst => spwo(i).rxrst,
di => dtmp(i),
si => stmp(i),
rxclko => spw_rxclk(i),
do => spwi(i).d(0),
ndo => spwi(i).nd(4 downto 0),
dconnect => spwi(i).dconnect(1 downto 0));
spwi(i).d(1) <= '0';
spwi(i).dv <= (others => '0'); -- Only used in GRSPW2
spwi(i).nd(9 downto 5) <= "00000"; -- For second port
end generate spw1_input;
spwi(i).d(3 downto 2) <= "00"; -- For second port
spwi(i).dconnect(3 downto 2) <= "00"; -- For second port
spwi(i).s(1 downto 0) <= "00"; -- Only used in PHY
sw0 : grspwm generic map(tech => memtech, netlist => CFG_SPW_NETLIST,
hindex => maxahbmsp+i, pindex => 12+i, paddr => 12+i, pirq => 10+i,
sysfreq => sysfreq, nsync => 1, rmap => CFG_SPW_RMAP,
rmapcrc => CFG_SPW_RMAPCRC, rmapbufs => CFG_SPW_RMAPBUF,
ports => 1, dmachan => CFG_SPW_DMACHAN,
fifosize1 => CFG_SPW_AHBFIFO, fifosize2 => CFG_SPW_RXFIFO,
rxclkbuftype => 1, spwcore => CFG_SPW_GRSPW,
input_type => CFG_SPW_INPUT, output_type => CFG_SPW_OUTPUT,
rxtx_sameclk => CFG_SPW_RTSAME)
port map(resetn, clkm, spw_rxclk(i), spw_rxclk(i), spw_rxtxclk, spw_rxtxclk,
ahbmi, ahbmo(maxahbmsp+i),
apbi, apbo(12+i), spwi(i), spwo(i));
spwi(i).tickin <= '0'; spwi(i).rmapen <= '1';
spwi(i).clkdiv10 <= conv_std_logic_vector(sysfreq/10000-1, 8);
spwi(i).dcrstval <= (others => '0');
spwi(i).timerrstval <= (others => '0');
spw_rxd_pad : inpad_ds generic map (padtech, lvds, x25v)
port map (spw_rxd(i), spw_rxdn(i), dtmp(i));
spw_rxs_pad : inpad_ds generic map (padtech, lvds, x25v)
port map (spw_rxs(i), spw_rxsn(i), stmp(i));
spw_txd_pad : outpad_ds generic map (padtech, lvds, x25v)
port map (spw_txd(i), spw_txdn(i), spwo(i).d(0), gnd(0));
spw_txs_pad : outpad_ds generic map (padtech, lvds, x25v)
port map (spw_txs(i), spw_txsn(i), spwo(i).s(0), gnd(0));
end generate;
end generate;
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
-- nam1 : for i in maxahbm to NAHBMST-1 generate
-- ahbmo(i) <= ahbm_none;
-- end generate;
-- nam2 : if CFG_PCI > 1 generate
-- ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_PCI-1) <= ahbm_none;
-- end generate;
-- nap0 : for i in 12+(CFG_SPW_NUM*CFG_SPW_EN) to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
-- apbo(6) <= apb_none;
-- nah0 : for i in 9 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 MP Demonstration design",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
|
----------------------------------------------------------------------------------
-- Company: ITESM
-- Engineer: Alam (formely Rick)
--
-- Create Date: 11:42:22 11/04/2015
-- Design Name:
-- Module Name: UKStopLight - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies: Controlling a Stop Light; the kind found
-- in the United Kingdom.
-- Moore FSM will be used
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity ServoControl is
Port ( Clk : in STD_LOGIC;
Rst : in STD_LOGIC;
Input : in STD_LOGIC_VECTOR(7 downto 0);
Servo : out STD_LOGIC);
end ServoControl;
architecture Behavioral of ServoControl is
-- State definition
type state_values is (HIGH,LOW);
signal pres_state, next_state : state_values;
-- Define the State duration times for the variable
-- time duration FSM
-- All times are expressed in microseconds
signal tH : integer range 0 to 20_000;
signal tL : integer range 0 to 20_000;
signal Tmp : integer range 0 to 20_000;
-- Define signals used by frequency divider
constant Fosc : integer := 100_000_000; --Frecuencia del oscilador de Nexys3
constant Fdiv : integer := 1_000_000; --Frecuencia deseada del divisor
constant CtaMax : integer := Fosc / Fdiv; --Cuenta maxima a la que hay que llegar
signal Cont : integer range 0 to CtaMax;
signal TimeBase : STD_LOGIC;
-- Define a second counter, used to determine how much
-- time has been spent in a State
signal SecondCount : integer range 0 to 20_000;
-- Define a signal that gives the amount of time
-- to be spent in a State
signal StateDuration : integer range 0 to 20_000;
begin
-- Generate a TimeBase of one second
freqdiv: process (Rst, Clk)
begin
if Rst = '1' then
Cont <= 0;
elsif (rising_edge(Clk)) then
if Cont = CtaMax then
Cont <= 0;
TimeBase <= '1';
else
Cont <= Cont + 1;
TimeBase <= '0';
end if;
end if;
end process freqdiv;
-- Calculate tH and tL
Tmp <= (CONV_INTEGER(Input) * 1000) / 256;
tH <= Tmp + 1000;
tL <= 20000 - tH;
statereg : process(Clk,TimeBase,Rst)
begin
if Rst = '1' then
pres_state <= HIGH;
SecondCount <= 0;
elsif (rising_edge(Clk) and TimeBase = '1') then
if SecondCount = StateDuration-1 then
pres_state <= next_state;
SecondCount <= 0;
else
SecondCount <= SecondCount + 1;
end if;
end if;
end process statereg;
fsm : process (pres_state,tH,tL)
begin
case (pres_state) is
when HIGH =>
next_state <= LOW;
StateDuration <= tH;
when LOW =>
next_state <= HIGH;
StateDuration <= tL;
when others =>
next_state <= LOW;
StateDuration <= tH;
end case;
end process fsm;
output : process (pres_state)
begin
case (pres_state) is
when HIGH =>
Servo <= '1';
when LOW =>
Servo <= '0';
when others =>
Servo <= '1';
end case;
end process output;
end Behavioral;
|
-- *************************************************************************
-- File: infer_bram_dual_port.vhd
-- Date: 06/22/05
-- Purpose: File used to instantiate an inferred BRAM (dual port),
-- According to Xilinx, this will only work with 7.1 b/c of shared variables.
-- Author: Jason Agron
-- *************************************************************************
-- *************************************************************************
-- Library declarations
-- *************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
use IEEE.std_logic_misc.all;
use IEEE.numeric_std.all;
-- Comment out for simulation
--library Unisim;
--use Unisim.all;
--library Unisim;
--use Unisim.all;
-- *************************************************************************
-- Entity declaration
-- *************************************************************************
entity infer_bram_dual_port is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1);
CLKB : in std_logic;
ENB : in std_logic;
ADDRB : in std_logic_vector(0 to ADDRESS_BITS - 1);
DOB : out std_logic_vector(0 to DATA_BITS - 1)
);
end entity infer_bram_dual_port;
-- *************************************************************************
-- Architecture declaration
-- *************************************************************************
architecture implementation of infer_bram_dual_port is
-- Constant declarations
constant BRAM_SIZE : integer := 2**ADDRESS_BITS; -- # of entries in the inferred BRAM
-- BRAM data storage (array)
type bram_storage is array( 0 to BRAM_SIZE - 1 ) of std_logic_vector( 0 to DATA_BITS - 1 );
shared variable BRAM_DATA : bram_storage;
begin
-- *************************************************************************
-- Process: BRAM_CONTROLLER_A
-- Purpose: Controller for Port A of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_A : process(CLKA) is
begin
if( CLKA'event and CLKA = '1' ) then
if( ENA = '1' ) then
if( WEA = '1' ) then
BRAM_DATA( conv_integer(ADDRA) ) := DIA;
end if;
DOA <= BRAM_DATA( conv_integer(ADDRA) );
end if;
end if;
end process BRAM_CONTROLLER_A;
-- *************************************************************************
-- Process: BRAM_CONTROLLER_B
-- Purpose: Controller for Port B of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_B : process(CLKB) is
begin
if( CLKB'event and CLKB = '1' ) then
if( ENB = '1' ) then
DOB <= BRAM_DATA( conv_integer(ADDRB) );
end if;
end if;
end process BRAM_CONTROLLER_B;
end architecture implementation;
|
------------------------------------------------------------------------------
-- radio_controller.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ** YOU MAY COPY AND MODIFY THESE FILES FOR YOUR OWN INTERNAL USE SOLELY **
-- ** WITH XILINX PROGRAMMABLE LOGIC DEVICES AND XILINX EDK SYSTEM OR **
-- ** CREATE IP MODULES SOLELY FOR XILINX PROGRAMMABLE LOGIC DEVICES AND **
-- ** XILINX EDK SYSTEM. NO RIGHTS ARE GRANTED TO DISTRIBUTE ANY FILES **
-- ** UNLESS THEY ARE DISTRIBUTED IN XILINX PROGRAMMABLE LOGIC DEVICES. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: radio_controller.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates IPIF and user logic.
-- Date: Fri Jun 24 10:11:25 2005 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v2_00_a;
use proc_common_v2_00_a.proc_common_pkg.all;
use proc_common_v2_00_a.ipif_pkg.all;
library opb_ipif_v3_01_a;
use opb_ipif_v3_01_a.all;
library radio_controller_v1_00_a;
use radio_controller_v1_00_a.all;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- User logic base address
-- C_HIGHADDR -- User logic high address
-- C_OPB_AWIDTH -- OPB address bus width
-- C_OPB_DWIDTH -- OPB data bus width
-- C_FAMILY -- Target FPGA architecture
--
-- Definition of Ports:
-- OPB_Clk -- OPB Clock
-- OPB_Rst -- OPB Reset
-- Sl_DBus -- Slave data bus
-- Sl_errAck -- Slave error acknowledge
-- Sl_retry -- Slave retry
-- Sl_toutSup -- Slave timeout suppress
-- Sl_xferAck -- Slave transfer acknowledge
-- OPB_ABus -- OPB address bus
-- OPB_BE -- OPB byte enable
-- OPB_DBus -- OPB data bus
-- OPB_RNW -- OPB read/not write
-- OPB_select -- OPB select
-- OPB_seqAddr -- OPB sequential address
------------------------------------------------------------------------------
entity radio_controller is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"00000000";
C_HIGHADDR : std_logic_vector := X"0000FFFF";
C_OPB_AWIDTH : integer := 32;
C_OPB_DWIDTH : integer := 32;
C_FAMILY : string := "virtex2p"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
radio1shdn : out std_logic;
radio2shdn : out std_logic;
radio3shdn : out std_logic;
radio4shdn : out std_logic;
radio1txen : out std_logic;
radio2txen : out std_logic;
radio3txen : out std_logic;
radio4txen : out std_logic;
radio1rxen : out std_logic;
radio2rxen : out std_logic;
radio3rxen : out std_logic;
radio4rxen : out std_logic;
radio1ld : in std_logic;
radio2ld : in std_logic;
radio3ld : in std_logic;
radio4ld : in std_logic;
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
OPB_Clk : in std_logic;
OPB_Rst : in std_logic;
Sl_DBus : out std_logic_vector(0 to C_OPB_DWIDTH-1);
Sl_errAck : out std_logic;
Sl_retry : out std_logic;
Sl_toutSup : out std_logic;
Sl_xferAck : out std_logic;
OPB_ABus : in std_logic_vector(0 to C_OPB_AWIDTH-1);
OPB_BE : in std_logic_vector(0 to C_OPB_DWIDTH/8-1);
OPB_DBus : in std_logic_vector(0 to C_OPB_DWIDTH-1);
OPB_RNW : in std_logic;
OPB_select : in std_logic;
OPB_seqAddr : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of OPB_Clk : signal is "Clk";
attribute SIGIS of OPB_Rst : signal is "Rst";
end entity radio_controller;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of radio_controller is
------------------------------------------
-- Constant: array of address range identifiers
------------------------------------------
constant ARD_ID_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_00 -- user logic S/W register address space
);
------------------------------------------
-- Constant: array of address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-C_OPB_AWIDTH-1) := (others => '0');
constant USER_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_BASEADDR, -- user logic base address
ZERO_ADDR_PAD & USER_HIGHADDR -- user logic high address
);
------------------------------------------
-- Constant: array of data widths for each target address range
------------------------------------------
constant USER_DWIDTH : integer := 32;
constant ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_DWIDTH -- user logic data width
);
------------------------------------------
-- Constant: array of desired number of chip enables for each address range
------------------------------------------
constant USER_NUM_CE : integer := 1;
constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_NUM_CE) -- user logic number of CEs
);
------------------------------------------
-- Constant: array of unique properties for each address range
------------------------------------------
constant ARD_DEPENDENT_PROPS_ARRAY : DEPENDENT_PROPS_ARRAY_TYPE :=
(
0 => (others => 0) -- user logic slave space dependent properties (none defined)
);
------------------------------------------
-- Constant: pipeline mode
-- 1 = include OPB-In pipeline registers
-- 2 = include IP pipeline registers
-- 3 = include OPB-In and IP pipeline registers
-- 4 = include OPB-Out pipeline registers
-- 5 = include OPB-In and OPB-Out pipeline registers
-- 6 = include IP and OPB-Out pipeline registers
-- 7 = include OPB-In, IP, and OPB-Out pipeline registers
-- Note:
-- only mode 4, 5, 7 are supported for this release
------------------------------------------
constant PIPELINE_MODEL : integer := 5;
------------------------------------------
-- Constant: user core ID code
------------------------------------------
constant DEV_BLK_ID : integer := 0;
------------------------------------------
-- Constant: enable MIR/Reset register
------------------------------------------
constant DEV_MIR_ENABLE : integer := 0;
------------------------------------------
-- Constant: array of IP interrupt mode
-- 1 = Active-high interrupt condition
-- 2 = Active-low interrupt condition
-- 3 = Active-high pulse interrupt event
-- 4 = Active-low pulse interrupt event
-- 5 = Positive-edge interrupt event
-- 6 = Negative-edge interrupt event
------------------------------------------
constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 0 -- not used
);
------------------------------------------
-- Constant: enable device burst
------------------------------------------
constant DEV_BURST_ENABLE : integer := 0;
------------------------------------------
-- Constant: include address counter for burst transfers
------------------------------------------
constant INCLUDE_ADDR_CNTR : integer := 0;
------------------------------------------
-- Constant: include write buffer that decouples OPB and IPIC write transactions
------------------------------------------
constant INCLUDE_WR_BUF : integer := 0;
------------------------------------------
-- Constant: index for CS/CE
------------------------------------------
constant USER00_CS_INDEX : integer := get_id_index(ARD_ID_ARRAY, USER_00);
constant USER00_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, USER00_CS_INDEX);
------------------------------------------
-- IP Interconnect (IPIC) signal declarations -- do not delete
-- prefix 'i' stands for IPIF while prefix 'u' stands for user logic
-- typically user logic will be hooked up to IPIF directly via i<sig>
-- unless signal slicing and muxing are needed via u<sig>
------------------------------------------
signal iBus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_Data : std_logic_vector(0 to C_OPB_DWIDTH-1);
signal iBus2IP_BE : std_logic_vector(0 to C_OPB_DWIDTH/8-1);
signal iIP2Bus_Data : std_logic_vector(0 to C_OPB_DWIDTH-1) := (others => '0');
signal iIP2Bus_Ack : std_logic := '0';
signal iIP2Bus_Error : std_logic := '0';
signal iIP2Bus_Retry : std_logic := '0';
signal iIP2Bus_ToutSup : std_logic := '0';
signal ZERO_IP2Bus_PostedWrInh : std_logic_vector(0 to ARD_ID_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_IP2RFIFO_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_RDFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_WFIFO2IP_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_WRFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_IP2Bus_IntrEvent : std_logic_vector(0 to IP_INTR_MODE_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal iBus2IP_Clk : std_logic;
signal iBus2IP_Reset : std_logic;
signal uBus2IP_Data : std_logic_vector(0 to USER_DWIDTH-1);
signal uBus2IP_BE : std_logic_vector(0 to USER_DWIDTH/8-1);
signal uBus2IP_RdCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uBus2IP_WrCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uIP2Bus_Data : std_logic_vector(0 to USER_DWIDTH-1);
------------------------------------------
-- Component declaration for verilog user logic
------------------------------------------
component user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_DWIDTH : integer := 32;
C_NUM_CE : integer := 1
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
Radio1SHDN : out std_logic;
Radio2SHDN : out std_logic;
Radio3SHDN : out std_logic;
Radio4SHDN : out std_logic;
Radio1TxEn : out std_logic;
Radio2TxEn : out std_logic;
Radio3TxEn : out std_logic;
Radio4TxEn : out std_logic;
Radio1RxEn : out std_logic;
Radio2RxEn : out std_logic;
Radio3RxEn : out std_logic;
Radio4RxEn : out std_logic;
Radio1LD : in std_logic;
Radio2LD : in std_logic;
Radio3LD : in std_logic;
Radio4LD : in std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_CE-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_CE-1);
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Ack : out std_logic;
IP2Bus_Retry : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_ToutSup : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
end component user_logic;
begin
------------------------------------------
-- instantiate the OPB IPIF
------------------------------------------
OPB_IPIF_I : entity opb_ipif_v3_01_a.opb_ipif
generic map
(
C_ARD_ID_ARRAY => ARD_ID_ARRAY,
C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY,
C_ARD_DWIDTH_ARRAY => ARD_DWIDTH_ARRAY,
C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY,
C_ARD_DEPENDENT_PROPS_ARRAY => ARD_DEPENDENT_PROPS_ARRAY,
C_PIPELINE_MODEL => PIPELINE_MODEL,
C_DEV_BLK_ID => DEV_BLK_ID,
C_DEV_MIR_ENABLE => DEV_MIR_ENABLE,
C_OPB_AWIDTH => C_OPB_AWIDTH,
C_OPB_DWIDTH => C_OPB_DWIDTH,
C_FAMILY => C_FAMILY,
C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY,
C_DEV_BURST_ENABLE => DEV_BURST_ENABLE,
C_INCLUDE_ADDR_CNTR => INCLUDE_ADDR_CNTR,
C_INCLUDE_WR_BUF => INCLUDE_WR_BUF
)
port map
(
OPB_select => OPB_select,
OPB_DBus => OPB_DBus,
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_RNW => OPB_RNW,
OPB_seqAddr => OPB_seqAddr,
Sln_DBus => Sl_DBus,
Sln_xferAck => Sl_xferAck,
Sln_errAck => Sl_errAck,
Sln_retry => Sl_retry,
Sln_toutSup => Sl_toutSup,
Bus2IP_CS => open,
Bus2IP_CE => open,
Bus2IP_RdCE => iBus2IP_RdCE,
Bus2IP_WrCE => iBus2IP_WrCE,
Bus2IP_Data => iBus2IP_Data,
Bus2IP_Addr => open,
Bus2IP_AddrValid => open,
Bus2IP_BE => iBus2IP_BE,
Bus2IP_RNW => open,
Bus2IP_Burst => open,
IP2Bus_Data => iIP2Bus_Data,
IP2Bus_Ack => iIP2Bus_Ack,
IP2Bus_AddrAck => '0',
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_ToutSup => iIP2Bus_ToutSup,
IP2Bus_PostedWrInh => ZERO_IP2Bus_PostedWrInh,
IP2RFIFO_Data => ZERO_IP2RFIFO_Data,
IP2RFIFO_WrMark => '0',
IP2RFIFO_WrRelease => '0',
IP2RFIFO_WrReq => '0',
IP2RFIFO_WrRestore => '0',
RFIFO2IP_AlmostFull => open,
RFIFO2IP_Full => open,
RFIFO2IP_Vacancy => open,
RFIFO2IP_WrAck => open,
IP2WFIFO_RdMark => '0',
IP2WFIFO_RdRelease => '0',
IP2WFIFO_RdReq => '0',
IP2WFIFO_RdRestore => '0',
WFIFO2IP_AlmostEmpty => open,
WFIFO2IP_Data => ZERO_WFIFO2IP_Data,
WFIFO2IP_Empty => open,
WFIFO2IP_Occupancy => open,
WFIFO2IP_RdAck => open,
IP2Bus_IntrEvent => ZERO_IP2Bus_IntrEvent,
IP2INTC_Irpt => open,
Freeze => '0',
Bus2IP_Freeze => open,
OPB_Clk => OPB_Clk,
Bus2IP_Clk => iBus2IP_Clk,
IP2Bus_Clk => '0',
Reset => OPB_Rst,
Bus2IP_Reset => iBus2IP_Reset
);
------------------------------------------
-- instantiate the User Logic
------------------------------------------
USER_LOGIC_I : component user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_DWIDTH => USER_DWIDTH,
C_NUM_CE => USER_NUM_CE
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
Radio1SHDN => radio1shdn,
Radio2SHDN => radio2shdn,
Radio3SHDN => radio3shdn,
Radio4SHDN => radio4shdn,
Radio1TxEn => radio1txen,
Radio2TxEn => radio2txen,
Radio3TxEn => radio3txen,
Radio4TxEn => radio4txen,
Radio1RxEn => radio1rxen,
Radio2RxEn => radio2rxen,
Radio3RxEn => radio3rxen,
Radio4RxEn => radio4rxen,
Radio1LD => radio1ld,
Radio2LD => radio2ld,
Radio3LD => radio3ld,
Radio4LD => radio4ld,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => iBus2IP_Clk,
Bus2IP_Reset => iBus2IP_Reset,
Bus2IP_Data => uBus2IP_Data,
Bus2IP_BE => uBus2IP_BE,
Bus2IP_RdCE => uBus2IP_RdCE,
Bus2IP_WrCE => uBus2IP_WrCE,
IP2Bus_Data => uIP2Bus_Data,
IP2Bus_Ack => iIP2Bus_Ack,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_ToutSup => iIP2Bus_ToutSup
);
------------------------------------------
-- hooking up signal slicing
------------------------------------------
uBus2IP_BE <= iBus2IP_BE(0 to USER_DWIDTH/8-1);
uBus2IP_Data <= iBus2IP_Data(0 to USER_DWIDTH-1);
uBus2IP_RdCE <= iBus2IP_RdCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1);
uBus2IP_WrCE <= iBus2IP_WrCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1);
iIP2Bus_Data(0 to USER_DWIDTH-1) <= uIP2Bus_Data;
end IMP;
|
-------------------------------------------------------
--! @author Andrew Powell
--! @date March 16, 2017
--! @brief Contains the testbench for simulating the
--! Plasma-SoC.
-------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
use work.boot_pack.all;
use work.vc707_pack.vc707_default_gpio_width;
entity testbench_vivado_0 is
generic ( gpio_width : integer := vc707_default_gpio_width; input_delay : time := 0 ns );
end testbench_vivado_0;
architecture Behavioral of testbench_vivado_0 is
component axiplasma_wrapper is
generic (
lower_app : string := "jump";
upper_app : string := "main";
upper_ext : boolean := false);
port(
sys_clk_p : in std_logic; -- 200 MHz on the VC707.
sys_clk_n : in std_logic; -- 200 MHz on the VC707.
sys_rst : in std_logic;
gpio_output : out std_logic_vector(vc707_default_gpio_width-1 downto 0);
gpio_input : in std_logic_vector(vc707_default_gpio_width-1 downto 0);
uart_tx : out std_logic;
uart_rx : in std_logic;
DDR3_addr : out std_logic_vector(13 downto 0);
DDR3_ba : out std_logic_vector(2 downto 0);
DDR3_cas_n : out std_logic;
DDR3_ck_n : out std_logic_vector(0 downto 0);
DDR3_ck_p : out std_logic_vector(0 downto 0);
DDR3_cke : out std_logic_vector(0 downto 0);
DDR3_cs_n : out std_logic_vector(0 downto 0);
DDR3_dm : out std_logic_vector(7 downto 0);
DDR3_dq : inout std_logic_vector(63 downto 0);
DDR3_dqs_n : inout std_logic_vector(7 downto 0);
DDR3_dqs_p : inout std_logic_vector(7 downto 0);
DDR3_odt : out std_logic_vector(0 downto 0);
DDR3_ras_n : out std_logic;
DDR3_reset_n : out std_logic;
DDR3_we_n : out std_logic);
end component;
constant clock_period : time := 5 ns;
constant uart_period : time := 104167 ns;
constant time_out_threshold : integer := 2**30;
subtype gpio_type is std_logic_vector(gpio_width-1 downto 0);
signal sys_clk_p : std_logic := '1';
signal sys_clk_n : std_logic := '0';
signal sys_rst : std_logic := '1';
signal gpio_output : gpio_type;
signal gpio_input : gpio_type := (others=>'0');
signal uart_tx : std_logic;
signal uart_clock : std_logic := '1';
signal uart_tx_data_avail : std_logic := '0';
signal uart_tx_data_ack : std_logic := '0';
signal uart_tx_started : boolean := false;
signal uart_tx_counter : integer range 0 to 8 := 0;
signal uart_tx_buffer : std_logic_vector(7 downto 0) := (others=>'0');
signal uart_tx_data : std_logic_vector(7 downto 0) := (others=>'0');
signal uart_rx : std_logic;
signal uart_rx_enable : std_logic := '0';
signal uart_rx_done : std_logic := '0';
signal uart_rx_data : std_logic_vector(7 downto 0) := (others=>'0');
signal uart_rx_counter : integer range 0 to 9 := 0;
signal boot_checksum : std_logic_vector(7 downto 0) := (others=>'0');
begin
axiplasma_wrapper_inst : axiplasma_wrapper
port map (
sys_clk_p => sys_clk_p,
sys_clk_n => sys_clk_n,
sys_rst => sys_rst,
gpio_output => gpio_output,
gpio_input => gpio_input,
uart_tx => uart_tx,
uart_rx => uart_rx,
DDR3_addr => open,
DDR3_ba => open,
DDR3_cas_n => open,
DDR3_ck_n => open,
DDR3_ck_p => open,
DDR3_cke => open,
DDR3_cs_n => open,
DDR3_dm => open,
DDR3_dq => open,
DDR3_dqs_n => open,
DDR3_dqs_p => open,
DDR3_odt => open,
DDR3_ras_n => open,
DDR3_reset_n => open,
DDR3_we_n => open);
sys_clk_p <= not sys_clk_p after clock_period/2;
sys_clk_n <= not sys_clk_n after clock_period/2;
sys_rst <= '0' after 10*clock_period+input_delay;
-- Get uart_tx
uart_clock <= not uart_clock after uart_period/2;
process (uart_clock)
begin
if rising_edge(uart_clock) then
if uart_tx_started then
uart_tx_counter <= uart_tx_counter+1;
if uart_tx_counter=8 then
uart_tx_data <= uart_tx_buffer;
uart_tx_started <= false;
else
uart_tx_buffer(uart_tx_counter) <= uart_tx;
end if;
elsif uart_tx='0' then
uart_tx_started <= true;
uart_tx_counter <= 0;
end if;
if uart_tx_data_ack='1' then
uart_tx_data_avail <= '0';
elsif uart_tx_started and uart_tx_counter=8 then
uart_tx_data_avail <= '1';
end if;
end if;
end process;
-- Set uart_rx
uart_rx_done <= '1' when uart_rx_counter=9 else '0';
process (uart_clock)
begin
if rising_edge(uart_clock) then
if uart_rx_enable='1' then
if uart_rx_counter/=9 then
uart_rx_counter <= uart_rx_counter+1;
if uart_rx_counter=0 then
uart_rx <= '0';
elsif uart_rx_counter<= 8 then
uart_rx <= uart_rx_data(uart_rx_counter-1);
end if;
else
uart_rx <= '1';
end if;
else
uart_rx_counter <= 0;
uart_rx <= '1';
end if;
end if;
end process;
process
constant word_width : integer := 32;
subtype byte_type is std_logic_vector(7 downto 0);
subtype word_type is std_logic_vector(word_width-1 downto 0);
constant BOOT_LOADER_START_WORD : word_type := x"f0f0f0f0";
constant BOOT_LOADER_ACK_SUCCESS_BYTE : byte_type := x"01";
constant BOOT_LOADER_ACK_FAILURE_BYTE : byte_type := x"02";
constant BOOT_LOADER_STATUS_MORE : byte_type := x"01";
constant BOOT_LOADER_STATUS_DONE : byte_type := x"02";
constant BOOT_LOADER_CHECKSUM_DIVISOR : integer := 230;
variable word : word_type;
variable byte : byte_type;
variable app_data : ram_type := load_hex;
variable app_ptr : integer := 0;
procedure set_uart_rx( byte : in byte_type ) is
begin
uart_rx_data <= byte;
uart_rx_enable <= '1';
wait until uart_rx_done='1';
wait for uart_period;
uart_rx_enable <= '0';
wait for uart_period;
end;
procedure set_uart_word ( word : in word_type ) is
begin
for each_byte in 0 to word_width/8-1 loop
set_uart_rx(word(7+each_byte*8 downto each_byte*8));
end loop;
end;
procedure get_uart_tx is
begin
wait until uart_tx_data_avail='1';
wait for uart_period;
byte := uart_tx_data;
uart_tx_data_ack <= '1';
wait for uart_period;
uart_tx_data_ack <= '0';
wait for uart_period;
end;
begin
-- wait until sys_rst='1';
-- wait until gpio_output=X"0001";
-- wait for 2 ms;
-- set_uart_word(BOOT_LOADER_START_WORD);
-- get_uart_tx;
-- if byte=BOOT_LOADER_ACK_SUCCESS_BYTE then
-- report "Success ACK";
-- elsif byte=BOOT_LOADER_ACK_FAILURE_BYTE then
-- report "Failed ACK";
-- wait;
-- else
-- report "???";
-- wait;
-- end if;
-- while true loop
-- -- instruction
-- word := app_data(app_ptr);
-- set_uart_word(word);
-- -- checksum
-- word := std_logic_vector(unsigned(word) mod BOOT_LOADER_CHECKSUM_DIVISOR);
-- boot_checksum <= word(7 downto 0);
-- set_uart_rx(word(7 downto 0));
-- -- status
-- app_ptr := app_ptr+1;
-- --if app_ptr=ram_size then
-- if app_ptr=13 then
-- set_uart_rx(BOOT_LOADER_STATUS_DONE);
-- exit;
-- else
-- set_uart_rx(BOOT_LOADER_STATUS_MORE);
-- end if;
-- -- ack
-- get_uart_tx;
-- if byte=BOOT_LOADER_ACK_SUCCESS_BYTE then
-- report "Success ACK";
-- elsif byte=BOOT_LOADER_ACK_FAILURE_BYTE then
-- report "Failed ACK";
-- wait;
-- else
-- report "???";
-- wait;
-- end if;
-- end loop;
wait;
end process;
-- Run testbench application.
process
-- This procedure should force the simulation to stop if a
-- problem becomes apparent.
procedure assert_procedure( state : boolean; mesg : string ) is
variable breaksimulation : std_logic_vector(0 downto 0);
begin
if not state then
assert False report mesg severity error;
breaksimulation(1) := '1';
end if;
end;
-- The procedure sets a single specified bit of the gpio input interface.
procedure set_gpio_input( gpio_index : integer ) is
variable gpio_input_buff : gpio_type := (others=>'0');
begin
gpio_input_buff(gpio_index) := '1';
gpio_input <= gpio_input_buff;
wait for clock_period;
end;
-- Waits for the corresponding output response. If it takes too long,
-- it is assumed there is an error and the simulation should end as a result.
procedure wait_for_gpio_output is
variable assert_counter : integer := 0;
begin
while gpio_output/=gpio_input loop
assert_procedure( state => assert_counter/=time_out_threshold, mesg => "Timeout occurred." );
assert_counter := assert_counter+1;
wait for clock_period;
end loop;
wait for clock_period;
end;
begin
wait until sys_rst='0';
wait until gpio_output=X"01";
wait for 500 us;
gpio_input <= X"03" after input_delay;
wait for 2 ms;
gpio_input <= X"f3" after input_delay;
wait for 2 ms;
while True loop
gpio_input <= X"f1" after input_delay;
wait for 50 us;
gpio_input <= X"f0" after input_delay;
wait for 50 us;
gpio_input <= X"f5" after input_delay;
wait for 50 us;
gpio_input <= X"ff" after input_delay;
wait for 50 us;
gpio_input <= X"f7" after input_delay;
wait for 50 us;
gpio_input <= X"f0" after input_delay;
wait for 50 us;
end loop;
wait;
end process;
end Behavioral;
|
-- NEED RESULT: ARCH00375.P1: Multi transport transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00375: One transport transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00375: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: P1: Transport transactions completed entirely passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00375
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.5 (2)
-- 9.5.2 (1)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00375(ARCH00375)
-- ENT00375_Test_Bench(ARCH00375_Test_Bench)
--
-- REVISION HISTORY:
--
-- 30-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00375 is
end ENT00375 ;
--
--
architecture ARCH00375 of ENT00375 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_rec3 : chk_sig_type := -1 ;
--
subtype chk_time_type is Time ;
signal s_st_rec3_savt : chk_time_type := 0 ns ;
--
subtype chk_cnt_type is Integer ;
signal s_st_rec3_cnt : chk_cnt_type := 0 ;
--
type select_type is range 1 to 3 ;
signal st_rec3_select : select_type := 1 ;
--
signal s_st_rec3 : st_rec3
:= c_st_rec3_1 ;
--
begin
CHG1 :
process ( s_st_rec3 )
variable correct : boolean ;
begin
case s_st_rec3_cnt is
when 0
=> null ;
-- s_st_rec3.f2.f2 <= transport
-- c_st_rec3_2.f2.f2 after 10 ns,
-- c_st_rec3_1.f2.f2 after 20 ns ;
--
when 1
=> correct :=
s_st_rec3.f2.f2 =
c_st_rec3_2.f2.f2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec3.f2.f2 =
c_st_rec3_1.f2.f2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00375.P1" ,
"Multi transport transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_rec3_select <= transport 2 ;
-- s_st_rec3.f2.f2 <= transport
-- c_st_rec3_2.f2.f2 after 10 ns ,
-- c_st_rec3_1.f2.f2 after 20 ns ,
-- c_st_rec3_2.f2.f2 after 30 ns ,
-- c_st_rec3_1.f2.f2 after 40 ns ;
--
when 3
=> correct :=
s_st_rec3.f2.f2 =
c_st_rec3_2.f2.f2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
st_rec3_select <= transport 3 ;
-- s_st_rec3.f2.f2 <= transport
-- c_st_rec3_1.f2.f2 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec3.f2.f2 =
c_st_rec3_1.f2.f2 and
(s_st_rec3_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00375" ,
"One transport transaction occurred on a " &
"concurrent signal asg",
correct ) ;
test_report ( "ARCH00375" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00375" ,
"Old transactions were removed on a " &
"concurrent signal asg",
false ) ;
--
end case ;
--
s_st_rec3_savt <= transport Std.Standard.Now ;
chk_st_rec3 <= transport s_st_rec3_cnt
after (1 us - Std.Standard.Now) ;
s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ;
--
end process CHG1 ;
--
PGEN_CHKP_1 :
process ( chk_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Transport transactions completed entirely",
chk_st_rec3 = 4 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
with st_rec3_select select
s_st_rec3.f2.f2 <= transport
c_st_rec3_2.f2.f2 after 10 ns,
c_st_rec3_1.f2.f2 after 20 ns
when 1,
--
c_st_rec3_2.f2.f2 after 10 ns ,
c_st_rec3_1.f2.f2 after 20 ns ,
c_st_rec3_2.f2.f2 after 30 ns ,
c_st_rec3_1.f2.f2 after 40 ns
when 2,
--
c_st_rec3_1.f2.f2 after 5 ns when 3 ;
--
end ARCH00375 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00375_Test_Bench is
end ENT00375_Test_Bench ;
--
--
architecture ARCH00375_Test_Bench of ENT00375_Test_Bench is
begin
L1:
block
component UUT
end component ;
--
for CIS1 : UUT use entity WORK.ENT00375 ( ARCH00375 ) ;
begin
CIS1 : UUT
;
end block L1 ;
end ARCH00375_Test_Bench ;
|
---------------------------------------------------------------------------------
-- Engineer: Klimann Wendelin
--
-- Create Date: 07:25:11 11/Okt/2013
-- Design Name: clk_gen
--
-- Description:
--
-- This module is a simple clock divider which generates the BIT_CLK and the LR_CLK
-- signals for the I2S interfaces.
--
-- It's coded as a generic VHDL entity, so developer can choose the proper signal
-- width (8/16/24/32 bit) -> x BIT_CLK cycles per one LR_CLK cycle
--
-- Input takes:
-- -CLK - system clock
-- -Reset - system reset
--
-- Output provides:
-- -BIT_CLK - bit clock output
-- -LR_CLK - left/right selection -> 0 = left and 1 = right.
--
--
--------------------------------------------------------------------------------
--
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity clk_gen is
-- width: How many bits (from MSB) are gathered from the serial I2S input
generic(
width : integer := 24;
clk_divider : integer := 4 -- a multiple of 2
);
port(
-- Input ports
CLK : in std_logic; --System clock
-- Control ports
RESET : in std_logic; --Asynchronous Reset (Active Low)
-- Output ports
BIT_CLK : out std_logic; --Bit Clock
LR_CLK : out std_logic --Left/Right Clock
);
end clk_gen;
architecture rtl of clk_gen is
--signals
signal s_counter_bit : integer range 0 to clk_divider;
signal s_counter_lr : integer range 0 to width;
signal s_bit_clk : std_logic;
signal s_lr_clk : std_logic;
begin
--------------------------------------------------------------------------------
-- generates the BIT_CLK clock
--------------------------------------------------------------------------------
p_bit_clk: process(RESET, CLK)
variable v_lr_clk_enable : std_logic;
begin
if(RESET = '0') then
BIT_CLK <= '0';
LR_CLK <= '0';
s_counter_bit <= 0 ;
s_counter_lr <= 0 ;
s_bit_clk <= '0';
s_lr_clk <= '1';
v_lr_clk_enable := '0';
elsif(CLK'event and CLK = '1') then
if(s_counter_bit < (clk_divider-1)/2) then
s_counter_bit <= s_counter_bit + 1;
else
s_bit_clk <= not s_bit_clk;
s_counter_bit <= 0;
if(s_bit_clk = '1') then
v_lr_clk_enable := '1';
end if;
end if;
if(v_lr_clk_enable = '1') then
if(s_counter_lr = 0) then
s_lr_clk <= not s_lr_clk;
s_counter_lr <= s_counter_lr + 1;
elsif(s_counter_lr = width-1) then
s_counter_lr <= 0;
else
s_counter_lr <= s_counter_lr + 1;
end if;
v_lr_clk_enable := '0';
end if;
end if; -- reset / rising_edge
BIT_CLK <= s_bit_clk;
LR_CLK <= s_lr_clk;
end process p_bit_clk;
end rtl;
|
-- Baudrate generator.
--
-- Part of MARK II project. For informations about license, please
-- see file /LICENSE .
--
-- author: Vladislav Mlejnecký
-- email: [email protected]
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity baudgen is
port(
clk: in std_logic;
res: in std_logic;
n: in unsigned(15 downto 0);
baud16_clk_en: out std_logic
);
end entity baudgen;
architecture baudgen_arch of baudgen is
begin
baud_div:
process(clk, res) is
variable count: unsigned(15 downto 0);
variable clkenvar: std_logic;
begin
if rising_edge(clk) then
if res = '1' then
count := (others => '0');
clkenvar := '0';
elsif count = n then
count := (others => '0');
clkenvar := '1';
else
count := count + 1;
clkenvar := '0';
end if;
end if;
baud16_clk_en <= clkenvar;
end process;
end architecture baudgen_arch;
|
------------------------------------------------------------------------------
-- Testbench for fifo2.vhd
--
-- Project :
-- File : tb_fifo2.vhd
-- Author : Rolf Enzler <[email protected]>
-- Company : Swiss Federal Institute of Technology (ETH) Zurich
-- Created : 2002/06/25
-- Last changed: $LastChangedDate: 2004-10-05 17:10:36 +0200 (Tue, 05 Oct 2004) $
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.componentsPkg.all;
use work.auxPkg.all;
entity tb_Fifo2 is
end tb_Fifo2;
architecture arch of tb_Fifo2 is
constant WIDTH : integer := 8; -- Data width
constant DEPTH : integer := 4; -- FIFO depth
-- simulation stuff
constant CLK_PERIOD : time := 100 ns;
signal ccount : integer := 1;
type tbstatusType is (rst, idle, wr, wr1, wr2, wr3, wr4, wr5, wr6, wr7, wr0,
rd, rd1, rd2, rd3, rd4, rd5, rd6, rd7, rd0, r_w, done);
signal tbStatus : tbstatusType := idle;
-- general control signals
signal ClkxC : std_logic := '1';
signal RstxRB : std_logic;
-- FIFO data and control/status signals
signal FifoModexSI : std_logic;
signal FifoWExEI : std_logic;
signal FifoRExEI : std_logic;
signal FifoDinxDI : std_logic_vector(WIDTH-1 downto 0);
signal FifoDoutxDO : std_logic_vector(WIDTH-1 downto 0);
signal FifoEmptyxSO : std_logic;
signal FifoFullxSO : std_logic;
signal FifoFillLevelxDO : std_logic_vector(log2(DEPTH) downto 0);
begin -- arch
----------------------------------------------------------------------------
-- device under test
----------------------------------------------------------------------------
dut : Fifo2
generic map (
WIDTH => WIDTH,
DEPTH => DEPTH)
port map (
ClkxC => ClkxC,
RstxRB => RstxRB,
ModexSI => FifoModexSI,
WExEI => FifoWExEI,
RExEI => FifoRExEI,
DinxDI => FifoDinxDI,
DoutxDO => FifoDoutxDO,
EmptyxSO => FifoEmptyxSO,
FullxSO => FifoFullxSO,
FillLevelxDO => FifoFillLevelxDO);
----------------------------------------------------------------------------
-- stimuli
----------------------------------------------------------------------------
stimuliTb : process
begin -- process stimuliTb
FifoModexSI <= '1';
tbStatus <= rst;
FifoWExEI <= '0';
FifoRExEI <= '0';
FifoDinxDI <= (others => '0');
wait until (ClkxC'event and ClkxC = '1' and RstxRB = '0');
wait until (ClkxC'event and ClkxC = '1' and RstxRB = '1');
tbStatus <= idle;
wait for CLK_PERIOD*0.25;
tbStatus <= wr1; -- write #1
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(10, WIDTH));
wait for CLK_PERIOD;
tbStatus <= wr2; -- write #2
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(20, WIDTH));
wait for CLK_PERIOD;
tbStatus <= wr3; -- write #3
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(30, WIDTH));
wait for CLK_PERIOD;
tbStatus <= rd1; -- read #1
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= wr4; -- write #4
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(40, WIDTH));
wait for CLK_PERIOD;
tbStatus <= rd2; -- read #2
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= wr5; -- write #5
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(50, WIDTH));
wait for CLK_PERIOD;
tbStatus <= wr6; -- write #6
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(60, WIDTH));
wait for CLK_PERIOD;
tbStatus <= wr0; -- write #0 (fifo is full...)
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(61, WIDTH));
wait for CLK_PERIOD;
tbStatus <= rd3; -- read #3
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= rd4; -- read #4
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= wr7; -- write #7
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(70, WIDTH));
wait for CLK_PERIOD;
tbStatus <= rd5; -- read #5
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= rd6; -- read #6
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= rd7; -- read #7
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= rd0; -- read #0 (fifo is empty...)
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= idle; -- idle
FifoWExEI <= '0';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(0, WIDTH));
wait for 3*CLK_PERIOD;
-------------------------------------------------------------------------
-- now test what happens if read and write are both set at the same time
-------------------------------------------------------------------------
tbStatus <= wr; -- write
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(11, WIDTH));
wait for CLK_PERIOD;
tbStatus <= wr; -- write
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(22, WIDTH));
wait for CLK_PERIOD;
tbStatus <= idle; -- idle
FifoWExEI <= '0';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(0, WIDTH));
wait for CLK_PERIOD;
tbStatus <= r_w; -- read AND write
FifoWExEI <= '1';
FifoRExEI <= '1';
FifoDinxDI <= std_logic_vector(to_unsigned(33, WIDTH));
wait for CLK_PERIOD;
FifoDinxDI <= std_logic_vector(to_unsigned(44, WIDTH));
wait for CLK_PERIOD;
tbStatus <= wr; -- write
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(55, WIDTH));
wait for CLK_PERIOD;
tbStatus <= wr; -- write
FifoWExEI <= '1';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(66, WIDTH));
wait for CLK_PERIOD;
-- now FIFO is full ----------------------------------------------------
tbStatus <= r_w; -- read AND write
FifoWExEI <= '1';
FifoRExEI <= '1';
FifoDinxDI <= std_logic_vector(to_unsigned(67, WIDTH)); -- => no write
wait for CLK_PERIOD;
FifoDinxDI <= std_logic_vector(to_unsigned(77, WIDTH)); -- => write
wait for CLK_PERIOD;
tbStatus <= idle; -- idle
FifoWExEI <= '0';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(0, WIDTH));
wait for CLK_PERIOD;
tbStatus <= rd; -- read
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= rd; -- read
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= rd; -- read
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
-- now FIFO is empty ---------------------------------------------------
tbStatus <= r_w; -- read AND write
FifoWExEI <= '1';
FifoRExEI <= '1';
FifoDinxDI <= std_logic_vector(to_unsigned(88, WIDTH));
wait for CLK_PERIOD;
FifoDinxDI <= std_logic_vector(to_unsigned(99, WIDTH));
wait for CLK_PERIOD;
tbStatus <= rd; -- read
FifoWExEI <= '0';
FifoRExEI <= '1';
wait for CLK_PERIOD;
tbStatus <= done; -- done
FifoWExEI <= '0';
FifoRExEI <= '0';
FifoDinxDI <= std_logic_vector(to_unsigned(0, WIDTH));
wait for CLK_PERIOD;
-- stop simulation
wait until (ClkxC'event and ClkxC = '1');
assert false
report "stimuli processed; sim. terminated after " & int2str(ccount) &
" cycles"
severity failure;
end process stimuliTb;
----------------------------------------------------------------------------
-- clock and reset generation
----------------------------------------------------------------------------
ClkxC <= not ClkxC after CLK_PERIOD/2;
RstxRB <= '0', '1' after CLK_PERIOD*1.25;
----------------------------------------------------------------------------
-- cycle counter
----------------------------------------------------------------------------
cyclecounter : process (ClkxC)
begin
if (ClkxC'event and ClkxC = '1') then
ccount <= ccount + 1;
end if;
end process cyclecounter;
end arch;
|
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.1 (lin64) Build 1538259 Fri Apr 8 15:45:23 MDT 2016
--Date : Thu Jun 23 02:18:07 2016
--Host : darkin-UX303LN running 64-bit elementary OS Freya
--Command : generate_target design_1_wrapper.bd
--Design : design_1_wrapper
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_wrapper is
port (
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_cas_n : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC
);
end design_1_wrapper;
architecture STRUCTURE of design_1_wrapper is
component design_1 is
port (
DDR_cas_n : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC
);
end component design_1;
begin
design_1_i: component design_1
port map (
DDR_addr(14 downto 0) => DDR_addr(14 downto 0),
DDR_ba(2 downto 0) => DDR_ba(2 downto 0),
DDR_cas_n => DDR_cas_n,
DDR_ck_n => DDR_ck_n,
DDR_ck_p => DDR_ck_p,
DDR_cke => DDR_cke,
DDR_cs_n => DDR_cs_n,
DDR_dm(3 downto 0) => DDR_dm(3 downto 0),
DDR_dq(31 downto 0) => DDR_dq(31 downto 0),
DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0),
DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0),
DDR_odt => DDR_odt,
DDR_ras_n => DDR_ras_n,
DDR_reset_n => DDR_reset_n,
DDR_we_n => DDR_we_n,
FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn,
FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp,
FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0),
FIXED_IO_ps_clk => FIXED_IO_ps_clk,
FIXED_IO_ps_porb => FIXED_IO_ps_porb,
FIXED_IO_ps_srstb => FIXED_IO_ps_srstb
);
end STRUCTURE;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.colors_pkg.all;
use work.graphics_types_pkg.all;
use work.font_pkg.all;
package text_mode_pkg is
-- Constrain text coordinates to optmize resources usage
subtype text_coordinate_type is natural range 0 to 127;
constant TEXT_ROWS_COUNT: text_coordinate_type := 25;
constant TEXT_COLUMNS_COUNT: text_coordinate_type := 80;
-- Define color for all on screen text
constant TEXT_COLOR: palette_color_type := PC_WHITE;
-- Representation of a text mode string shown on the screen
type text_mode_string_type is record
x: text_coordinate_type;
y: text_coordinate_type;
text: string(1 to 16);
visible: boolean;
end record;
type text_mode_strings_type is array (natural range <>) of text_mode_string_type;
function character_at_x_y(x, y: text_coordinate_type; strings: text_mode_strings_type) return character;
function text_pixel_at_x_y(x, y: pixel_coordinate_type; strings: text_mode_strings_type) return boolean;
end;
package body text_mode_pkg is
function character_at_x_y(x, y: text_coordinate_type; strings: text_mode_strings_type) return character is
begin
for i in strings'range loop
if y = strings(i).y and x >= strings(i).x and x < strings(i).x + strings(i).text'length then
return strings(i).text(x - strings(i).x + 1);
end if;
end loop;
return ' ';
end;
-- Return true when
function text_pixel_at_x_y(x, y: pixel_coordinate_type; strings: text_mode_strings_type) return boolean is
variable char: character;
variable ascii_code: natural range 0 to 127;
variable glyph: glyph_type;
variable x_unsigned: unsigned(10 downto 0);
variable y_unsigned: unsigned(10 downto 0);
variable glyph_x: unsigned(2 downto 0);
variable glyph_y: unsigned(3 downto 0);
begin
char := character_at_x_y(x / FONT_WIDTH, y / FONT_HEIGHT, strings);
ascii_code := character'pos(char);
glyph := FONT_ROM(ascii_code);
x_unsigned := to_unsigned(x, x_unsigned'length);
y_unsigned := to_unsigned(y, y_unsigned'length);
glyph_x := x_unsigned(glyph_x'range);
glyph_y := y_unsigned(glyph_y'range);
return glyph(to_integer(glyph_y))(to_integer(glyph_x)) = '1';
end;
end; |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2351.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b07x00p02n02i02351ent IS
END c07s02b07x00p02n02i02351ent;
ARCHITECTURE c07s02b07x00p02n02i02351arch OF c07s02b07x00p02n02i02351ent IS
BEGIN
TESTING: PROCESS
type MEMORY is array(INTEGER range <>) of BIT;
variable MEMORYV : MEMORY( 0 to 31 );
variable INTV : INTEGER;
BEGIN
INTV := 2 ** MEMORYV ;
assert FALSE
report "***FAILED TEST: c07s02b07x00p02n02i02351 - Exponent can only be of type Integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b07x00p02n02i02351arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2351.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b07x00p02n02i02351ent IS
END c07s02b07x00p02n02i02351ent;
ARCHITECTURE c07s02b07x00p02n02i02351arch OF c07s02b07x00p02n02i02351ent IS
BEGIN
TESTING: PROCESS
type MEMORY is array(INTEGER range <>) of BIT;
variable MEMORYV : MEMORY( 0 to 31 );
variable INTV : INTEGER;
BEGIN
INTV := 2 ** MEMORYV ;
assert FALSE
report "***FAILED TEST: c07s02b07x00p02n02i02351 - Exponent can only be of type Integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b07x00p02n02i02351arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2351.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b07x00p02n02i02351ent IS
END c07s02b07x00p02n02i02351ent;
ARCHITECTURE c07s02b07x00p02n02i02351arch OF c07s02b07x00p02n02i02351ent IS
BEGIN
TESTING: PROCESS
type MEMORY is array(INTEGER range <>) of BIT;
variable MEMORYV : MEMORY( 0 to 31 );
variable INTV : INTEGER;
BEGIN
INTV := 2 ** MEMORYV ;
assert FALSE
report "***FAILED TEST: c07s02b07x00p02n02i02351 - Exponent can only be of type Integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b07x00p02n02i02351arch;
|
entity test_bench is
end test_bench;
architecture only of test_bench is
signal sig : integer := 0;
begin -- only
p: process
begin -- process p
assert sig = 0 report "TEST FAILED" severity FAILURE;
report "TEST PASSED" severity NOTE;
wait;
end process p;
end only;
|
entity test_bench is
end test_bench;
architecture only of test_bench is
signal sig : integer := 0;
begin -- only
p: process
begin -- process p
assert sig = 0 report "TEST FAILED" severity FAILURE;
report "TEST PASSED" severity NOTE;
wait;
end process p;
end only;
|
entity test_bench is
end test_bench;
architecture only of test_bench is
signal sig : integer := 0;
begin -- only
p: process
begin -- process p
assert sig = 0 report "TEST FAILED" severity FAILURE;
report "TEST PASSED" severity NOTE;
wait;
end process p;
end only;
|
entity test_bench is
end test_bench;
architecture only of test_bench is
signal sig : integer := 0;
begin -- only
p: process
begin -- process p
assert sig = 0 report "TEST FAILED" severity FAILURE;
report "TEST PASSED" severity NOTE;
wait;
end process p;
end only;
|
entity test_bench is
end test_bench;
architecture only of test_bench is
signal sig : integer := 0;
begin -- only
p: process
begin -- process p
assert sig = 0 report "TEST FAILED" severity FAILURE;
report "TEST PASSED" severity NOTE;
wait;
end process p;
end only;
|
entity test_bench is
end test_bench;
architecture only of test_bench is
signal sig : integer := 0;
begin -- only
p: process
begin -- process p
assert sig = 0 report "TEST FAILED" severity FAILURE;
report "TEST PASSED" severity NOTE;
wait;
end process p;
end only;
|
-------------------------------------------------------------------------------
--
-- SD/MMC Bootloader
--
-- $Id: chip-sd-c.vhd,v 1.1 2005/02/08 20:41:33 arniml Exp $
--
-------------------------------------------------------------------------------
configuration chip_sd_c0 of chip is
for sd
for spi_boot_b : spi_boot
use configuration work.spi_boot_rtl_c0;
end for;
end for;
end chip_sd_c0;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IJ+MSDOA+ITKUNH7jZT9b2QwkLA+iB97IvV0Vg501l+41Aue2yspZzp/9lTZdXz0Jxna7pAb1ckP
wuMHWztI5g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TqNmYaIVR3czJGcCwl6pOObvDdJ1yA9bkxmG0VtHbbnzXWAhwSwEg2g69fYw50tWqb2ivhTqRQpa
av6oFeTUF4xqgodCCyUTwqcNJyWdZP4LOr3RQi57lm+Q6bdaNlKO1QWHFxZdI17ncPxVYNSDgGc3
sWqG8eUNON+b3RRPQXY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ajY8IYzjBaXJo8dSAw4grWxhXhRlVXtXwToOY5zk8r73O834wXvHxy59VHhKDirCBCAgnSYd0VBr
qp+m5P9pvkLqRqRWnyZu8BY2xHdzZHUi1CcbE3vUYAS15dSCsfrUJ18Wj935m0QKyj3/WTHnYsru
q2U8ZMbdnMJZZiBAibJN/OzywawYksPXu8C5cf6zCLuYUCebB3aEv423g8hFrqxVyhS5eREUF/zv
6rEDVVnbpCH6Q0YBvnFFYpsqetg1qyMjSzeVv9UGY21+bQk8a/QvGiDD65mwWNoVb9e9jsfOswET
L/onoD8G31R/d/3n2jibB6PUuDh5s4Pq56FmHg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VIlE2kv4H4pQwvzmmTyl4chRG3UBicBg78bWOpmKzoZ+kDAdEeiuawI6Vi/Wl6gp5tBmx7gCOR/v
Y6AWTa41md8zbRl0SyUwWQ2rK4XlVlAfHdVKPe5I9NZXPjvCOeSLbYLpA6i5DWsa+9c4bIT8xPAW
Y/mAU4hBT0TLuw24s6s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gRB5YvnQ6gsv+YvhzxKtnp7afyqL3PVgMYvyMWh34IS+RKGVhnqA1eqYYzKULLHB4YyfjN7N0xkw
aDvuhtpMZ9ZqJHlH4EwWZqxxc2Nz+IvxXx0i8L6g0C4WdeluAY1mEO2QGociA1/uMhnhldQIBSZn
VuZp8Kco+jOPdWh9ppe/vuO68MgHEhEJZoaaNfGRuQJEpoL6cUlKgjuOJ0U1ImVG0yiHxTXAn0KU
ANGGG9d/4+mDiYBCwlN09Ry0woYuWRzutZ6G4AYaQ8TKZji3EL2cApjd3u9Kd7OipanAQ9Te539d
z+2Gtn1/ZWv0/IQzSqkCWK0zphsThGyY0a5MMg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10752)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IJ+MSDOA+ITKUNH7jZT9b2QwkLA+iB97IvV0Vg501l+41Aue2yspZzp/9lTZdXz0Jxna7pAb1ckP
wuMHWztI5g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TqNmYaIVR3czJGcCwl6pOObvDdJ1yA9bkxmG0VtHbbnzXWAhwSwEg2g69fYw50tWqb2ivhTqRQpa
av6oFeTUF4xqgodCCyUTwqcNJyWdZP4LOr3RQi57lm+Q6bdaNlKO1QWHFxZdI17ncPxVYNSDgGc3
sWqG8eUNON+b3RRPQXY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ajY8IYzjBaXJo8dSAw4grWxhXhRlVXtXwToOY5zk8r73O834wXvHxy59VHhKDirCBCAgnSYd0VBr
qp+m5P9pvkLqRqRWnyZu8BY2xHdzZHUi1CcbE3vUYAS15dSCsfrUJ18Wj935m0QKyj3/WTHnYsru
q2U8ZMbdnMJZZiBAibJN/OzywawYksPXu8C5cf6zCLuYUCebB3aEv423g8hFrqxVyhS5eREUF/zv
6rEDVVnbpCH6Q0YBvnFFYpsqetg1qyMjSzeVv9UGY21+bQk8a/QvGiDD65mwWNoVb9e9jsfOswET
L/onoD8G31R/d/3n2jibB6PUuDh5s4Pq56FmHg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VIlE2kv4H4pQwvzmmTyl4chRG3UBicBg78bWOpmKzoZ+kDAdEeiuawI6Vi/Wl6gp5tBmx7gCOR/v
Y6AWTa41md8zbRl0SyUwWQ2rK4XlVlAfHdVKPe5I9NZXPjvCOeSLbYLpA6i5DWsa+9c4bIT8xPAW
Y/mAU4hBT0TLuw24s6s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gRB5YvnQ6gsv+YvhzxKtnp7afyqL3PVgMYvyMWh34IS+RKGVhnqA1eqYYzKULLHB4YyfjN7N0xkw
aDvuhtpMZ9ZqJHlH4EwWZqxxc2Nz+IvxXx0i8L6g0C4WdeluAY1mEO2QGociA1/uMhnhldQIBSZn
VuZp8Kco+jOPdWh9ppe/vuO68MgHEhEJZoaaNfGRuQJEpoL6cUlKgjuOJ0U1ImVG0yiHxTXAn0KU
ANGGG9d/4+mDiYBCwlN09Ry0woYuWRzutZ6G4AYaQ8TKZji3EL2cApjd3u9Kd7OipanAQ9Te539d
z+2Gtn1/ZWv0/IQzSqkCWK0zphsThGyY0a5MMg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10752)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IJ+MSDOA+ITKUNH7jZT9b2QwkLA+iB97IvV0Vg501l+41Aue2yspZzp/9lTZdXz0Jxna7pAb1ckP
wuMHWztI5g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TqNmYaIVR3czJGcCwl6pOObvDdJ1yA9bkxmG0VtHbbnzXWAhwSwEg2g69fYw50tWqb2ivhTqRQpa
av6oFeTUF4xqgodCCyUTwqcNJyWdZP4LOr3RQi57lm+Q6bdaNlKO1QWHFxZdI17ncPxVYNSDgGc3
sWqG8eUNON+b3RRPQXY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ajY8IYzjBaXJo8dSAw4grWxhXhRlVXtXwToOY5zk8r73O834wXvHxy59VHhKDirCBCAgnSYd0VBr
qp+m5P9pvkLqRqRWnyZu8BY2xHdzZHUi1CcbE3vUYAS15dSCsfrUJ18Wj935m0QKyj3/WTHnYsru
q2U8ZMbdnMJZZiBAibJN/OzywawYksPXu8C5cf6zCLuYUCebB3aEv423g8hFrqxVyhS5eREUF/zv
6rEDVVnbpCH6Q0YBvnFFYpsqetg1qyMjSzeVv9UGY21+bQk8a/QvGiDD65mwWNoVb9e9jsfOswET
L/onoD8G31R/d/3n2jibB6PUuDh5s4Pq56FmHg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VIlE2kv4H4pQwvzmmTyl4chRG3UBicBg78bWOpmKzoZ+kDAdEeiuawI6Vi/Wl6gp5tBmx7gCOR/v
Y6AWTa41md8zbRl0SyUwWQ2rK4XlVlAfHdVKPe5I9NZXPjvCOeSLbYLpA6i5DWsa+9c4bIT8xPAW
Y/mAU4hBT0TLuw24s6s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gRB5YvnQ6gsv+YvhzxKtnp7afyqL3PVgMYvyMWh34IS+RKGVhnqA1eqYYzKULLHB4YyfjN7N0xkw
aDvuhtpMZ9ZqJHlH4EwWZqxxc2Nz+IvxXx0i8L6g0C4WdeluAY1mEO2QGociA1/uMhnhldQIBSZn
VuZp8Kco+jOPdWh9ppe/vuO68MgHEhEJZoaaNfGRuQJEpoL6cUlKgjuOJ0U1ImVG0yiHxTXAn0KU
ANGGG9d/4+mDiYBCwlN09Ry0woYuWRzutZ6G4AYaQ8TKZji3EL2cApjd3u9Kd7OipanAQ9Te539d
z+2Gtn1/ZWv0/IQzSqkCWK0zphsThGyY0a5MMg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10752)
`protect data_block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`protect end_protected
|
entity add is
generic (
WIDTH : integer := 3;
HEIGHT : integer := 2
);
port (
clk : in std_logic;
in : in std_logic_vector(WIDTH-1 downto 0);
output : out std_logic_vector(WIDTH-1 downto 0)
);
end add;
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_3_5;
USE blk_mem_gen_v8_3_5.blk_mem_gen_v8_3_5;
ENTITY ball_pixel IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END ball_pixel;
ARCHITECTURE ball_pixel_arch OF ball_pixel IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF ball_pixel_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_5 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_5;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF ball_pixel_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_5,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF ball_pixel_arch : ARCHITECTURE IS "ball_pixel,blk_mem_gen_v8_3_5,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF ball_pixel_arch: ARCHITECTURE IS "ball_pixel,blk_mem_gen_v8_3_5,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=ball_pix" &
"el.mif,C_INIT_FILE=ball_pixel.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=12,C_READ_WIDTH_A=12,C_WRITE_DEPTH_A=3600,C_READ_DEPTH_A=3600,C_ADDRA_WIDTH=12,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=12,C_READ_WIDTH_B=12,C_WRIT" &
"E_DEPTH_B=3600,C_READ_DEPTH_B=3600,C_ADDRB_WIDTH=12,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DIS" &
"ABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 3.822999 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_5
GENERIC MAP (
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "ball_pixel.mif",
C_INIT_FILE => "ball_pixel.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 12,
C_READ_WIDTH_A => 12,
C_WRITE_DEPTH_A => 3600,
C_READ_DEPTH_A => 3600,
C_ADDRA_WIDTH => 12,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 12,
C_READ_WIDTH_B => 12,
C_WRITE_DEPTH_B => 3600,
C_READ_DEPTH_B => 3600,
C_ADDRB_WIDTH => 12,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 3.822999 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END ball_pixel_arch;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: various
-- File: mem_ec_gen.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Memory generators for Lattice XP/EC/ECP RAM blocks
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S1_S1 is
port (
DataInA: in std_logic_vector(0 downto 0);
DataInB: in std_logic_vector(0 downto 0);
AddressA: in std_logic_vector(12 downto 0);
AddressB: in std_logic_vector(12 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
QA: out std_logic_vector(0 downto 0);
QB: out std_logic_vector(0 downto 0));
end;
architecture Structure of EC_RAMB8_S1_S1 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL",
GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG",
REGMODE_A=>"NOREG", DATA_WIDTH_B=> 1, DATA_WIDTH_A=> 1)
port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=>gnd,
CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd,
CSB1=>gnd, CSB2=>gnd, RSTB=>gnd,
DIA0=>gnd, DIA1=>gnd, DIA2=>gnd,
DIA3=>gnd, DIA4=>gnd, DIA5=>gnd,
DIA6=>gnd, DIA7=>gnd, DIA8=>gnd,
DIA9=>gnd, DIA10=>gnd, DIA11=>DataInA(0),
DIA12=>gnd, DIA13=>gnd, DIA14=>gnd,
DIA15=>gnd, DIA16=>gnd, DIA17=>gnd,
ADA0=>AddressA(0), ADA1=>AddressA(1), ADA2=>AddressA(2),
ADA3=>AddressA(3), ADA4=>AddressA(4), ADA5=>AddressA(5),
ADA6=>AddressA(6), ADA7=>AddressA(7), ADA8=>AddressA(8),
ADA9=>AddressA(9), ADA10=>AddressA(10), ADA11=>AddressA(11),
ADA12=>AddressA(12), DIB0=>gnd, DIB1=>gnd,
DIB2=>gnd, DIB3=>gnd, DIB4=>gnd,
DIB5=>gnd, DIB6=>gnd, DIB7=>gnd,
DIB8=>gnd, DIB9=>gnd, DIB10=>gnd,
DIB11=>DataInB(0), DIB12=>gnd, DIB13=>gnd,
DIB14=>gnd, DIB15=>gnd, DIB16=>gnd,
DIB17=>gnd, ADB0=>AddressB(0), ADB1=>AddressB(1),
ADB2=>AddressB(2), ADB3=>AddressB(3), ADB4=>AddressB(4),
ADB5=>AddressB(5), ADB6=>AddressB(6), ADB7=>AddressB(7),
ADB8=>AddressB(8), ADB9=>AddressB(9), ADB10=>AddressB(10),
ADB11=>AddressB(11), ADB12=>AddressB(12), DOA0=>QA(0),
DOA1=>open, DOA2=>open, DOA3=>open, DOA4=>open,
DOA5=>open, DOA6=>open, DOA7=>open, DOA8=>open,
DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open,
DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open,
DOA17=>open, DOB0=>QB(0), DOB1=>open, DOB2=>open,
DOB3=>open, DOB4=>open, DOB5=>open, DOB6=>open,
DOB7=>open, DOB8=>open, DOB9=>open, DOB10=>open,
DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open,
DOB15=>open, DOB16=>open, DOB17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S2_S2 is
port (
DataInA: in std_logic_vector(1 downto 0);
DataInB: in std_logic_vector(1 downto 0);
AddressA: in std_logic_vector(11 downto 0);
AddressB: in std_logic_vector(11 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
QA: out std_logic_vector(1 downto 0);
QB: out std_logic_vector(1 downto 0));
end;
architecture Structure of EC_RAMB8_S2_S2 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL",
GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG",
REGMODE_A=>"NOREG", DATA_WIDTH_B=> 2, DATA_WIDTH_A=> 2)
port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=>gnd,
CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd,
CSB1=>gnd, CSB2=>gnd, RSTB=>gnd,
DIA0=>gnd, DIA1=>DataInA(0), DIA2=>gnd,
DIA3=>gnd, DIA4=>gnd, DIA5=>gnd,
DIA6=>gnd, DIA7=>gnd, DIA8=>gnd,
DIA9=>gnd, DIA10=>gnd, DIA11=>DataInA(1),
DIA12=>gnd, DIA13=>gnd, DIA14=>gnd,
DIA15=>gnd, DIA16=>gnd, DIA17=>gnd,
ADA0=>vcc, ADA1=>AddressA(0), ADA2=>AddressA(1),
ADA3=>AddressA(2), ADA4=>AddressA(3), ADA5=>AddressA(4),
ADA6=>AddressA(6), ADA7=>AddressA(6), ADA8=>AddressA(7),
ADA9=>AddressA(8), ADA10=>AddressA(9), ADA11=>AddressA(10),
ADA12=>AddressA(11), DIB0=>gnd, DIB1=>DataInB(0),
DIB2=>gnd, DIB3=>gnd, DIB4=>gnd,
DIB5=>gnd, DIB6=>gnd, DIB7=>gnd,
DIB8=>gnd, DIB9=>gnd, DIB10=>gnd,
DIB11=>DataInB(1), DIB12=>gnd, DIB13=>gnd,
DIB14=>gnd, DIB15=>gnd, DIB16=>gnd,
DIB17=>gnd, ADB0=>vcc, ADB1=>AddressB(0),
ADB2=>AddressB(1), ADB3=>AddressB(2), ADB4=>AddressB(3),
ADB5=>AddressB(4), ADB6=>AddressB(5), ADB7=>AddressB(6),
ADB8=>AddressB(7), ADB9=>AddressB(8), ADB10=>AddressB(9),
ADB11=>AddressB(10), ADB12=>AddressB(11), DOA0=>QA(1),
DOA1=>QA(0), DOA2=>open, DOA3=>open, DOA4=>open,
DOA5=>open, DOA6=>open, DOA7=>open, DOA8=>open,
DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open,
DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open,
DOA17=>open, DOB0=>QB(1), DOB1=>QB(0), DOB2=>open,
DOB3=>open, DOB4=>open, DOB5=>open, DOB6=>open,
DOB7=>open, DOB8=>open, DOB9=>open, DOB10=>open,
DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open,
DOB15=>open, DOB16=>open, DOB17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S4_S4 is
port (
DataInA: in std_logic_vector(3 downto 0);
DataInB: in std_logic_vector(3 downto 0);
AddressA: in std_logic_vector(10 downto 0);
AddressB: in std_logic_vector(10 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
QA: out std_logic_vector(3 downto 0);
QB: out std_logic_vector(3 downto 0));
end;
architecture Structure of EC_RAMB8_S4_S4 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL",
GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG",
REGMODE_A=>"NOREG", DATA_WIDTH_B=> 4, DATA_WIDTH_A=> 4)
port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=>gnd,
CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd,
CSB1=>gnd, CSB2=>gnd, RSTB=>gnd,
DIA0=>DataInA(0), DIA1=>DataInA(1), DIA2=>DataInA(2),
DIA3=>DataInA(3), DIA4=>gnd, DIA5=>gnd,
DIA6=>gnd, DIA7=>gnd, DIA8=>gnd,
DIA9=>gnd, DIA10=>gnd, DIA11=>gnd,
DIA12=>gnd, DIA13=>gnd, DIA14=>gnd,
DIA15=>gnd, DIA16=>gnd, DIA17=>gnd,
ADA0=>vcc, ADA1=>vcc, ADA2=>AddressA(0),
ADA3=>AddressA(1), ADA4=>AddressA(2), ADA5=>AddressA(3),
ADA6=>AddressA(4), ADA7=>AddressA(5), ADA8=>AddressA(6),
ADA9=>AddressA(7), ADA10=>AddressA(8), ADA11=>AddressA(9),
ADA12=>AddressA(10), DIB0=>DataInB(0), DIB1=>DataInB(1),
DIB2=>DataInB(2), DIB3=>DataInB(3), DIB4=>gnd,
DIB5=>gnd, DIB6=>gnd, DIB7=>gnd,
DIB8=>gnd, DIB9=>gnd, DIB10=>gnd,
DIB11=>gnd, DIB12=>gnd, DIB13=>gnd,
DIB14=>gnd, DIB15=>gnd, DIB16=>gnd,
DIB17=>gnd, ADB0=>vcc, ADB1=>vcc,
ADB2=>AddressB(0), ADB3=>AddressB(1), ADB4=>AddressB(2),
ADB5=>AddressB(3), ADB6=>AddressB(4), ADB7=>AddressB(5),
ADB8=>AddressB(6), ADB9=>AddressB(7), ADB10=>AddressB(8),
ADB11=>AddressB(9), ADB12=>AddressB(10), DOA0=>QA(0),
DOA1=>QA(1), DOA2=>QA(2), DOA3=>QA(3), DOA4=>open,
DOA5=>open, DOA6=>open, DOA7=>open, DOA8=>open,
DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open,
DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open,
DOA17=>open, DOB0=>QB(0), DOB1=>QB(1), DOB2=>QB(2),
DOB3=>QB(3), DOB4=>open, DOB5=>open, DOB6=>open,
DOB7=>open, DOB8=>open, DOB9=>open, DOB10=>open,
DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open,
DOB15=>open, DOB16=>open, DOB17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S9_S9 is
port (
DataInA: in std_logic_vector(8 downto 0);
DataInB: in std_logic_vector(8 downto 0);
AddressA: in std_logic_vector(9 downto 0);
AddressB: in std_logic_vector(9 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
QA: out std_logic_vector(8 downto 0);
QB: out std_logic_vector(8 downto 0));
end;
architecture Structure of EC_RAMB8_S9_S9 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL",
GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG",
REGMODE_A=>"NOREG", DATA_WIDTH_B=> 9, DATA_WIDTH_A=> 9)
port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=>gnd,
CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd,
CSB1=>gnd, CSB2=>gnd, RSTB=>gnd,
DIA0=>DataInA(0), DIA1=>DataInA(1), DIA2=>DataInA(2),
DIA3=>DataInA(3), DIA4=>DataInA(4), DIA5=>DataInA(5),
DIA6=>DataInA(6), DIA7=>DataInA(7), DIA8=>DataInA(8),
DIA9=>gnd, DIA10=>gnd, DIA11=>gnd,
DIA12=>gnd, DIA13=>gnd, DIA14=>gnd,
DIA15=>gnd, DIA16=>gnd, DIA17=>gnd,
ADA0=>vcc, ADA1=>vcc, ADA2=>gnd,
ADA3=>AddressA(0), ADA4=>AddressA(1), ADA5=>AddressA(2),
ADA6=>AddressA(3), ADA7=>AddressA(4), ADA8=>AddressA(5),
ADA9=>AddressA(6), ADA10=>AddressA(7), ADA11=>AddressA(8),
ADA12=>AddressA(9), DIB0=>DataInB(0), DIB1=>DataInB(1),
DIB2=>DataInB(2), DIB3=>DataInB(3), DIB4=>DataInB(4),
DIB5=>DataInB(5), DIB6=>DataInB(6), DIB7=>DataInB(7),
DIB8=>DataInB(8), DIB9=>gnd, DIB10=>gnd,
DIB11=>gnd, DIB12=>gnd, DIB13=>gnd,
DIB14=>gnd, DIB15=>gnd, DIB16=>gnd,
DIB17=>gnd, ADB0=>vcc, ADB1=>vcc,
ADB2=>gnd, ADB3=>AddressB(0), ADB4=>AddressB(1),
ADB5=>AddressB(2), ADB6=>AddressB(3), ADB7=>AddressB(4),
ADB8=>AddressB(5), ADB9=>AddressB(6), ADB10=>AddressB(7),
ADB11=>AddressB(8), ADB12=>AddressB(9), DOA0=>QA(0),
DOA1=>QA(1), DOA2=>QA(2), DOA3=>QA(3), DOA4=>QA(4),
DOA5=>QA(5), DOA6=>QA(6), DOA7=>QA(7), DOA8=>QA(8),
DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open,
DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open,
DOA17=>open, DOB0=>QB(0), DOB1=>QB(1), DOB2=>QB(2),
DOB3=>QB(3), DOB4=>QB(4), DOB5=>QB(5), DOB6=>QB(6),
DOB7=>QB(7), DOB8=>QB(8), DOB9=>open, DOB10=>open,
DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open,
DOB15=>open, DOB16=>open, DOB17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S18_S18 is
port (
DataInA: in std_logic_vector(17 downto 0);
DataInB: in std_logic_vector(17 downto 0);
AddressA: in std_logic_vector(8 downto 0);
AddressB: in std_logic_vector(8 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
QA: out std_logic_vector(17 downto 0);
QB: out std_logic_vector(17 downto 0));
end;
architecture Structure of EC_RAMB8_S18_S18 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL",
GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG",
REGMODE_A=>"NOREG", DATA_WIDTH_B=> 18, DATA_WIDTH_A=> 18)
port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=>gnd,
CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd,
CSB1=>gnd, CSB2=>gnd, RSTB=>gnd,
DIA0=>DataInA(0), DIA1=>DataInA(1), DIA2=>DataInA(2),
DIA3=>DataInA(3), DIA4=>DataInA(4), DIA5=>DataInA(5),
DIA6=>DataInA(6), DIA7=>DataInA(7), DIA8=>DataInA(8),
DIA9=>DataInA(9), DIA10=>DataInA(10), DIA11=>DataInA(11),
DIA12=>DataInA(12), DIA13=>DataInA(13), DIA14=>DataInA(14),
DIA15=>DataInA(15), DIA16=>DataInA(16), DIA17=>DataInA(17),
ADA0=>vcc, ADA1=>vcc, ADA2=>gnd,
ADA3=>gnd, ADA4=>AddressA(0), ADA5=>AddressA(1),
ADA6=>AddressA(2), ADA7=>AddressA(3), ADA8=>AddressA(4),
ADA9=>AddressA(5), ADA10=>AddressA(6), ADA11=>AddressA(7),
ADA12=>AddressA(8), DIB0=>DataInB(0), DIB1=>DataInB(1),
DIB2=>DataInB(2), DIB3=>DataInB(3), DIB4=>DataInB(4),
DIB5=>DataInB(5), DIB6=>DataInB(6), DIB7=>DataInB(7),
DIB8=>DataInB(8), DIB9=>DataInB(9), DIB10=>DataInB(10),
DIB11=>DataInB(11), DIB12=>DataInB(12), DIB13=>DataInB(13),
DIB14=>DataInB(14), DIB15=>DataInB(15), DIB16=>DataInB(16),
DIB17=>DataInB(17), ADB0=>vcc, ADB1=>vcc,
ADB2=>gnd, ADB3=>gnd, ADB4=>AddressB(0),
ADB5=>AddressB(1), ADB6=>AddressB(2), ADB7=>AddressB(3),
ADB8=>AddressB(4), ADB9=>AddressB(5), ADB10=>AddressB(6),
ADB11=>AddressB(7), ADB12=>AddressB(8), DOA0=>QA(0),
DOA1=>QA(1), DOA2=>QA(2), DOA3=>QA(3), DOA4=>QA(4),
DOA5=>QA(5), DOA6=>QA(6), DOA7=>QA(7), DOA8=>QA(8),
DOA9=>QA(9), DOA10=>QA(10), DOA11=>QA(11), DOA12=>QA(12),
DOA13=>QA(13), DOA14=>QA(14), DOA15=>QA(15), DOA16=>QA(16),
DOA17=>QA(17), DOB0=>QB(0), DOB1=>QB(1), DOB2=>QB(2),
DOB3=>QB(3), DOB4=>QB(4), DOB5=>QB(5), DOB6=>QB(6),
DOB7=>QB(7), DOB8=>QB(8), DOB9=>QB(9), DOB10=>QB(10),
DOB11=>QB(11), DOB12=>QB(12), DOB13=>QB(13), DOB14=>QB(14),
DOB15=>QB(15), DOB16=>QB(16), DOB17=>QB(17));
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.sp8ka;
-- pragma translate_on
entity EC_RAMB8_S1 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (12 downto 0);
data : in std_logic_vector (0 downto 0);
q : out std_logic_vector (0 downto 0));
end;
architecture behav of EC_RAMB8_S1 is
COMPONENT sp8ka
GENERIC(
DATA_WIDTH : in Integer := 18;
REGMODE : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE : String := "000";
WRITEMODE : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X';
di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X';
ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X';
ad9, ad10, ad11, ad12 : in std_logic := 'X';
ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X';
do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X';
do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: SP8KA
generic map (CSDECODE=>"000", GSR=>"DISABLED",
WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC",
REGMODE=>"NOREG", DATA_WIDTH=> 1)
port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd,
CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>gnd,
DI1=>gnd, DI2=>gnd, DI3=>gnd, DI4=>gnd,
DI5=>gnd, DI6=>gnd, DI7=>gnd, DI8=>gnd,
DI9=>gnd, DI10=>gnd, DI11=>Data(0),
DI12=>gnd, DI13=>gnd, DI14=>gnd,
DI15=>gnd, DI16=>gnd, DI17=>gnd,
AD0=>Address(0), AD1=>Address(1), AD2=>Address(2),
AD3=>Address(3), AD4=>Address(4), AD5=>Address(5),
AD6=>Address(6), AD7=>Address(7), AD8=>Address(8),
AD9=>Address(9), AD10=>Address(10), AD11=>Address(11),
AD12=>Address(12), DO0=>Q(0), DO1=>open, DO2=>open, DO3=>open,
DO4=>open, DO5=>open, DO6=>open, DO7=>open, DO8=>open,
DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open,
DO14=>open, DO15=>open, DO16=>open, DO17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.sp8ka;
-- pragma translate_on
entity EC_RAMB8_S2 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (11 downto 0);
data : in std_logic_vector (1 downto 0);
q : out std_logic_vector (1 downto 0));
end;
architecture behav of EC_RAMB8_S2 is
COMPONENT sp8ka
GENERIC(
DATA_WIDTH : in Integer := 18;
REGMODE : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE : String := "000";
WRITEMODE : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X';
di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X';
ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X';
ad9, ad10, ad11, ad12 : in std_logic := 'X';
ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X';
do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X';
do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: SP8KA
generic map (CSDECODE=>"000", GSR=>"DISABLED",
WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC",
REGMODE=>"NOREG", DATA_WIDTH=> 2)
port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd,
CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>gnd,
DI1=>Data(0), DI2=>gnd, DI3=>gnd, DI4=>gnd,
DI5=>gnd, DI6=>gnd, DI7=>gnd, DI8=>gnd,
DI9=>gnd, DI10=>gnd, DI11=>Data(1),
DI12=>gnd, DI13=>gnd, DI14=>gnd,
DI15=>gnd, DI16=>gnd, DI17=>gnd,
AD0=>gnd, AD1=>Address(0), AD2=>Address(1),
AD3=>Address(2), AD4=>Address(3), AD5=>Address(4),
AD6=>Address(5), AD7=>Address(6), AD8=>Address(7),
AD9=>Address(8), AD10=>Address(9), AD11=>Address(10),
AD12=>Address(11), DO0=>Q(1), DO1=>Q(0), DO2=>open, DO3=>open,
DO4=>open, DO5=>open, DO6=>open, DO7=>open, DO8=>open,
DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open,
DO14=>open, DO15=>open, DO16=>open, DO17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.sp8ka;
-- pragma translate_on
entity EC_RAMB8_S4 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (10 downto 0);
data : in std_logic_vector (3 downto 0);
q : out std_logic_vector (3 downto 0));
end;
architecture behav of EC_RAMB8_S4 is
COMPONENT sp8ka
GENERIC(
DATA_WIDTH : in Integer := 18;
REGMODE : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE : String := "000";
WRITEMODE : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X';
di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X';
ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X';
ad9, ad10, ad11, ad12 : in std_logic := 'X';
ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X';
do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X';
do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: SP8KA
generic map (CSDECODE=>"000", GSR=>"DISABLED",
WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC",
REGMODE=>"NOREG", DATA_WIDTH=> 4)
port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd,
CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>Data(0),
DI1=>Data(1), DI2=>Data(2), DI3=>Data(3), DI4=>gnd,
DI5=>gnd, DI6=>gnd, DI7=>gnd, DI8=>gnd,
DI9=>gnd, DI10=>gnd, DI11=>gnd,
DI12=>gnd, DI13=>gnd, DI14=>gnd,
DI15=>gnd, DI16=>gnd, DI17=>gnd,
AD0=>gnd, AD1=>gnd, AD2=>Address(0),
AD3=>Address(1), AD4=>Address(2), AD5=>Address(3),
AD6=>Address(4), AD7=>Address(5), AD8=>Address(6),
AD9=>Address(7), AD10=>Address(8), AD11=>Address(9),
AD12=>Address(10), DO0=>Q(0), DO1=>Q(1), DO2=>Q(2), DO3=>Q(3),
DO4=>open, DO5=>open, DO6=>open, DO7=>open, DO8=>open,
DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open,
DO14=>open, DO15=>open, DO16=>open, DO17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.sp8ka;
-- pragma translate_on
entity EC_RAMB8_S9 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (9 downto 0);
data : in std_logic_vector (8 downto 0);
q : out std_logic_vector (8 downto 0));
end;
architecture behav of EC_RAMB8_S9 is
COMPONENT sp8ka
GENERIC(
DATA_WIDTH : in Integer := 18;
REGMODE : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE : String := "000";
WRITEMODE : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X';
di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X';
ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X';
ad9, ad10, ad11, ad12 : in std_logic := 'X';
ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X';
do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X';
do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: SP8KA
generic map (CSDECODE=>"000", GSR=>"DISABLED",
WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC",
REGMODE=>"NOREG", DATA_WIDTH=> 9)
port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd,
CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>Data(0),
DI1=>Data(1), DI2=>Data(2), DI3=>Data(3), DI4=>Data(4),
DI5=>Data(5), DI6=>Data(6), DI7=>Data(7), DI8=>Data(8),
DI9=>gnd, DI10=>gnd, DI11=>gnd,
DI12=>gnd, DI13=>gnd, DI14=>gnd,
DI15=>gnd, DI16=>gnd, DI17=>gnd,
AD0=>gnd, AD1=>gnd, AD2=>gnd,
AD3=>Address(0), AD4=>Address(1), AD5=>Address(2),
AD6=>Address(3), AD7=>Address(4), AD8=>Address(5),
AD9=>Address(6), AD10=>Address(7), AD11=>Address(8),
AD12=>Address(9), DO0=>Q(0), DO1=>Q(1), DO2=>Q(2), DO3=>Q(3),
DO4=>Q(4), DO5=>Q(5), DO6=>Q(6), DO7=>Q(7), DO8=>Q(8),
DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open,
DO14=>open, DO15=>open, DO16=>open, DO17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.sp8ka;
-- pragma translate_on
entity EC_RAMB8_S18 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (8 downto 0);
data : in std_logic_vector (17 downto 0);
q : out std_logic_vector (17 downto 0));
end;
architecture behav of EC_RAMB8_S18 is
COMPONENT sp8ka
GENERIC(
DATA_WIDTH : in Integer := 18;
REGMODE : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE : String := "000";
WRITEMODE : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X';
di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X';
ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X';
ad9, ad10, ad11, ad12 : in std_logic := 'X';
ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X';
do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X';
do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: SP8KA
generic map (CSDECODE=>"000", GSR=>"DISABLED",
WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC",
REGMODE=>"NOREG", DATA_WIDTH=> 18)
port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd,
CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>Data(0),
DI1=>Data(1), DI2=>Data(2), DI3=>Data(3), DI4=>Data(4),
DI5=>Data(5), DI6=>Data(6), DI7=>Data(7), DI8=>Data(8),
DI9=>Data(9), DI10=>Data(10), DI11=>Data(11),
DI12=>Data(12), DI13=>Data(13), DI14=>Data(14),
DI15=>Data(15), DI16=>Data(16), DI17=>Data(17),
AD0=>gnd, AD1=>gnd, AD2=>gnd,
AD3=>gnd, AD4=>Address(0), AD5=>Address(1),
AD6=>Address(2), AD7=>Address(3), AD8=>Address(4),
AD9=>Address(5), AD10=>Address(6), AD11=>Address(7),
AD12=>Address(8), DO0=>Q(0), DO1=>Q(1), DO2=>Q(2), DO3=>Q(3),
DO4=>Q(4), DO5=>Q(5), DO6=>Q(6), DO7=>Q(7), DO8=>Q(8),
DO9=>Q(9), DO10=>Q(10), DO11=>Q(11), DO12=>Q(12), DO13=>Q(13),
DO14=>Q(14), DO15=>Q(15), DO16=>Q(16), DO17=>Q(17));
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S36 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (7 downto 0);
data : in std_logic_vector (35 downto 0);
q : out std_logic_vector (35 downto 0));
end;
architecture behav of EC_RAMB8_S36 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL", GSR=>"DISABLED",
RESETMODE=>"ASYNC", REGMODE_B=>"NOREG", REGMODE_A=>"NOREG",
DATA_WIDTH_B=> 18, DATA_WIDTH_A=> 18)
port map (CEA => en, CLKA => clk, WEA => we, CSA0 => gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=> gnd, CEB=> en,
CLKB=> clk, WEB=> we, CSB0=>gnd, CSB1=>gnd,
CSB2=>gnd, RSTB=>gnd, DIA0=>Data(0), DIA1=>Data(1),
DIA2=>Data(2), DIA3=>Data(3), DIA4=>Data(4), DIA5=>Data(5),
DIA6=>Data(6), DIA7=>Data(7), DIA8=>Data(8), DIA9=>Data(9),
DIA10=>Data(10), DIA11=>Data(11), DIA12=>Data(12),
DIA13=>Data(13), DIA14=>Data(14), DIA15=>Data(15),
DIA16=>Data(16), DIA17=>Data(17), ADA0=>vcc,
ADA1=>vcc, ADA2=>vcc, ADA3=>vcc,
ADA4=>Address(0), ADA5=>Address(1), ADA6=>Address(2),
ADA7=>Address(3), ADA8=>Address(4), ADA9=>Address(5),
ADA10=>Address(6), ADA11=>Address(7), ADA12=>gnd,
DIB0=>Data(18), DIB1=>Data(19), DIB2=>Data(20),
DIB3=>Data(21), DIB4=>Data(22), DIB5=>Data(23),
DIB6=>Data(24), DIB7=>Data(25), DIB8=>Data(26),
DIB9=>Data(27), DIB10=>Data(28), DIB11=>Data(29),
DIB12=>Data(30), DIB13=>Data(31), DIB14=>Data(32),
DIB15=>Data(33), DIB16=>Data(34), DIB17=>Data(35),
ADB0=>vcc, ADB1=>vcc, ADB2=>gnd,
ADB3=>gnd, ADB4=>Address(0), ADB5=>Address(1),
ADB6=>Address(2), ADB7=>Address(3), ADB8=>Address(4),
ADB9=>Address(5), ADB10=>Address(6), ADB11=>Address(7),
ADB12=>vcc, DOA0=>Q(0), DOA1=>Q(1), DOA2=>Q(2),
DOA3=>Q(3), DOA4=>Q(4), DOA5=>Q(5), DOA6=>Q(6), DOA7=>Q(7),
DOA8=>Q(8), DOA9=>Q(9), DOA10=>Q(10), DOA11=>Q(11),
DOA12=>Q(12), DOA13=>Q(13), DOA14=>Q(14), DOA15=>Q(15),
DOA16=>Q(16), DOA17=>Q(17), DOB0=>Q(18), DOB1=>Q(19),
DOB2=>Q(20), DOB3=>Q(21), DOB4=>Q(22), DOB5=>Q(23),
DOB6=>Q(24), DOB7=>Q(25), DOB8=>Q(26), DOB9=>Q(27),
DOB10=>Q(28), DOB11=>Q(29), DOB12=>Q(30), DOB13=>Q(31),
DOB14=>Q(32), DOB15=>Q(33), DOB16=>Q(34), DOB17=>Q(35));
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
entity ec_syncram is
generic (abits : integer := 9; dbits : integer := 32);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (dbits -1 downto 0);
dataout : out std_logic_vector (dbits -1 downto 0);
enable : in std_ulogic;
write : in std_ulogic
);
end;
architecture behav of ec_syncram is
component EC_RAMB8_S1 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (12 downto 0);
data : in std_logic_vector (0 downto 0);
q : out std_logic_vector (0 downto 0));
end component;
component EC_RAMB8_S2 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (11 downto 0);
data : in std_logic_vector (1 downto 0);
q : out std_logic_vector (1 downto 0));
end component;
component EC_RAMB8_S4 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (10 downto 0);
data : in std_logic_vector (3 downto 0);
q : out std_logic_vector (3 downto 0));
end component;
component EC_RAMB8_S9 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (9 downto 0);
data : in std_logic_vector (8 downto 0);
q : out std_logic_vector (8 downto 0));
end component;
component EC_RAMB8_S18 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (8 downto 0);
data : in std_logic_vector (17 downto 0);
q : out std_logic_vector (17 downto 0));
end component;
component EC_RAMB8_S36 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (7 downto 0);
data : in std_logic_vector (35 downto 0);
q : out std_logic_vector (35 downto 0));
end component;
constant DMAX : integer := dbits+36;
constant AMAX : integer := 13;
signal gnd : std_ulogic;
signal do, di : std_logic_vector(DMAX downto 0);
signal xa, ya : std_logic_vector(AMAX downto 0);
begin
gnd <= '0'; dataout <= do(dbits-1 downto 0); di(dbits-1 downto 0) <= datain;
di(DMAX downto dbits) <= (others => '0'); xa(abits-1 downto 0) <= address;
xa(AMAX downto abits) <= (others => '0'); ya(abits-1 downto 0) <= address;
ya(AMAX downto abits) <= (others => '1');
a8 : if (abits <= 8) generate
x : for i in 0 to ((dbits-1)/36) generate
r : EC_RAMB8_S36 port map ( clk, enable, write, xa(7 downto 0),
di((i+1)*36-1 downto i*36), do((i+1)*36-1 downto i*36));
end generate;
end generate;
a9 : if (abits = 9) generate
x : for i in 0 to ((dbits-1)/18) generate
r : EC_RAMB8_S18 port map ( clk, enable, write, xa(8 downto 0),
di((i+1)*18-1 downto i*18), do((i+1)*18-1 downto i*18));
end generate;
end generate;
a10 : if (abits = 10) generate
x : for i in 0 to ((dbits-1)/9) generate
r : EC_RAMB8_S9 port map ( clk, enable, write, xa(9 downto 0),
di((i+1)*9-1 downto i*9), do((i+1)*9-1 downto i*9));
end generate;
end generate;
a11 : if (abits = 11) generate
x : for i in 0 to ((dbits-1)/4) generate
r : EC_RAMB8_S4 port map ( clk, enable, write, xa(10 downto 0),
di((i+1)*4-1 downto i*4), do((i+1)*4-1 downto i*4));
end generate;
end generate;
a12 : if (abits = 12) generate
x : for i in 0 to ((dbits-1)/2) generate
r : EC_RAMB8_S2 port map ( clk, enable, write, xa(11 downto 0),
di((i+1)*2-1 downto i*2), do((i+1)*2-1 downto i*2));
end generate;
end generate;
a13 : if (abits = 13) generate
x : for i in 0 to ((dbits-1)/1) generate
r : EC_RAMB8_S1 port map ( clk, enable, write, xa(12 downto 0),
di((i+1)*1-1 downto i*1), do((i+1)*1-1 downto i*1));
end generate;
end generate;
-- pragma translate_off
unsup : if (abits > 13) generate
x : process
begin
assert false
report "Lattice EC syncram mapper: unsupported memory configuration!"
severity failure;
wait;
end process;
end generate;
-- pragma translate_on
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
entity ec_syncram_dp is
generic (
abits : integer := 4; dbits : integer := 32
);
port (
clk1 : in std_ulogic;
address1 : in std_logic_vector((abits -1) downto 0);
datain1 : in std_logic_vector((dbits -1) downto 0);
dataout1 : out std_logic_vector((dbits -1) downto 0);
enable1 : in std_ulogic;
write1 : in std_ulogic;
clk2 : in std_ulogic;
address2 : in std_logic_vector((abits -1) downto 0);
datain2 : in std_logic_vector((dbits -1) downto 0);
dataout2 : out std_logic_vector((dbits -1) downto 0);
enable2 : in std_ulogic;
write2 : in std_ulogic);
end;
architecture behav of ec_syncram_dp is
component EC_RAMB8_S1_S1 is port (
DataInA, DataInB: in std_logic_vector(0 downto 0);
AddressA, AddressB: in std_logic_vector(12 downto 0);
ClockA, ClockB: in std_logic;
ClockEnA, ClockEnB: in std_logic;
WrA, WrB: in std_logic;
QA, QB: out std_logic_vector(0 downto 0));
end component;
component EC_RAMB8_S2_S2 is port (
DataInA, DataInB: in std_logic_vector(1 downto 0);
AddressA, AddressB: in std_logic_vector(11 downto 0);
ClockA, ClockB: in std_logic;
ClockEnA, ClockEnB: in std_logic;
WrA, WrB: in std_logic;
QA, QB: out std_logic_vector(1 downto 0));
end component;
component EC_RAMB8_S4_S4 is port (
DataInA, DataInB: in std_logic_vector(3 downto 0);
AddressA, AddressB: in std_logic_vector(10 downto 0);
ClockA, ClockB: in std_logic;
ClockEnA, ClockEnB: in std_logic;
WrA, WrB: in std_logic;
QA, QB: out std_logic_vector(3 downto 0));
end component;
component EC_RAMB8_S9_S9 is port (
DataInA, DataInB: in std_logic_vector(8 downto 0);
AddressA, AddressB: in std_logic_vector(9 downto 0);
ClockA, ClockB: in std_logic;
ClockEnA, ClockEnB: in std_logic;
WrA, WrB: in std_logic;
QA, QB: out std_logic_vector(8 downto 0));
end component;
component EC_RAMB8_S18_S18 is port (
DataInA, DataInB: in std_logic_vector(17 downto 0);
AddressA, AddressB: in std_logic_vector(8 downto 0);
ClockA, ClockB: in std_logic;
ClockEnA, ClockEnB: in std_logic;
WrA, WrB: in std_logic;
QA, QB: out std_logic_vector(17 downto 0));
end component;
constant DMAX : integer := dbits+18;
constant AMAX : integer := 13;
signal gnd, vcc : std_ulogic;
signal do1, do2, di1, di2 : std_logic_vector(DMAX downto 0);
signal addr1, addr2 : std_logic_vector(AMAX downto 0);
begin
gnd <= '0'; vcc <= '1';
dataout1 <= do1(dbits-1 downto 0); dataout2 <= do2(dbits-1 downto 0);
di1(dbits-1 downto 0) <= datain1; di1(DMAX downto dbits) <= (others => '0');
di2(dbits-1 downto 0) <= datain2; di2(DMAX downto dbits) <= (others => '0');
addr1(abits-1 downto 0) <= address1; addr1(AMAX downto abits) <= (others => '0');
addr2(abits-1 downto 0) <= address2; addr2(AMAX downto abits) <= (others => '0');
a9 : if abits <= 9 generate
x : for i in 0 to ((dbits-1)/18) generate
r0 : EC_RAMB8_S18_S18 port map (
di1((i+1)*18-1 downto i*18), di2((i+1)*18-1 downto i*18),
addr1(8 downto 0), addr2(8 downto 0), clk1, clk2,
enable1, enable2, write1, write2,
do1((i+1)*18-1 downto i*18), do2((i+1)*18-1 downto i*18));
end generate;
end generate;
a10 : if abits = 10 generate
x : for i in 0 to ((dbits-1)/9) generate
r0 : EC_RAMB8_S9_S9 port map (
di1((i+1)*9-1 downto i*9), di2((i+1)*9-1 downto i*9),
addr1(9 downto 0), addr2(9 downto 0), clk1, clk2,
enable1, enable2, write1, write2,
do1((i+1)*9-1 downto i*9), do2((i+1)*9-1 downto i*9));
end generate;
end generate;
a11 : if abits = 11 generate
x : for i in 0 to ((dbits-1)/4) generate
r0 : EC_RAMB8_S4_S4 port map (
di1((i+1)*4-1 downto i*4), di2((i+1)*4-1 downto i*4),
addr1(10 downto 0), addr2(10 downto 0), clk1, clk2,
enable1, enable2, write1, write2,
do1((i+1)*4-1 downto i*4), do2((i+1)*4-1 downto i*4));
end generate;
end generate;
a12 : if abits = 12 generate
x : for i in 0 to ((dbits-1)/2) generate
r0 : EC_RAMB8_S2_S2 port map (
di1((i+1)*2-1 downto i*2), di2((i+1)*2-1 downto i*2),
addr1(11 downto 0), addr2(11 downto 0), clk1, clk2,
enable1, enable2, write1, write2,
do1((i+1)*2-1 downto i*2), do2((i+1)*2-1 downto i*2));
end generate;
end generate;
a13 : if abits = 13 generate
x : for i in 0 to ((dbits-1)/1) generate
r0 : EC_RAMB8_S1_S1 port map (
di1((i+1)*1-1 downto i*1), di2((i+1)*1-1 downto i*1),
addr1(12 downto 0), addr2(12 downto 0), clk1, clk2,
enable1, enable2, write1, write2,
do1((i+1)*1-1 downto i*1), do2((i+1)*1-1 downto i*1));
end generate;
end generate;
-- pragma translate_off
unsup : if (abits > 13) generate
x : process
begin
assert false
report "Lattice EC syncram_dp: unsupported memory configuration!"
severity failure;
wait;
end process;
end generate;
-- pragma translate_on
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: various
-- File: mem_ec_gen.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Memory generators for Lattice XP/EC/ECP RAM blocks
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S1_S1 is
port (
DataInA: in std_logic_vector(0 downto 0);
DataInB: in std_logic_vector(0 downto 0);
AddressA: in std_logic_vector(12 downto 0);
AddressB: in std_logic_vector(12 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
QA: out std_logic_vector(0 downto 0);
QB: out std_logic_vector(0 downto 0));
end;
architecture Structure of EC_RAMB8_S1_S1 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL",
GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG",
REGMODE_A=>"NOREG", DATA_WIDTH_B=> 1, DATA_WIDTH_A=> 1)
port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=>gnd,
CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd,
CSB1=>gnd, CSB2=>gnd, RSTB=>gnd,
DIA0=>gnd, DIA1=>gnd, DIA2=>gnd,
DIA3=>gnd, DIA4=>gnd, DIA5=>gnd,
DIA6=>gnd, DIA7=>gnd, DIA8=>gnd,
DIA9=>gnd, DIA10=>gnd, DIA11=>DataInA(0),
DIA12=>gnd, DIA13=>gnd, DIA14=>gnd,
DIA15=>gnd, DIA16=>gnd, DIA17=>gnd,
ADA0=>AddressA(0), ADA1=>AddressA(1), ADA2=>AddressA(2),
ADA3=>AddressA(3), ADA4=>AddressA(4), ADA5=>AddressA(5),
ADA6=>AddressA(6), ADA7=>AddressA(7), ADA8=>AddressA(8),
ADA9=>AddressA(9), ADA10=>AddressA(10), ADA11=>AddressA(11),
ADA12=>AddressA(12), DIB0=>gnd, DIB1=>gnd,
DIB2=>gnd, DIB3=>gnd, DIB4=>gnd,
DIB5=>gnd, DIB6=>gnd, DIB7=>gnd,
DIB8=>gnd, DIB9=>gnd, DIB10=>gnd,
DIB11=>DataInB(0), DIB12=>gnd, DIB13=>gnd,
DIB14=>gnd, DIB15=>gnd, DIB16=>gnd,
DIB17=>gnd, ADB0=>AddressB(0), ADB1=>AddressB(1),
ADB2=>AddressB(2), ADB3=>AddressB(3), ADB4=>AddressB(4),
ADB5=>AddressB(5), ADB6=>AddressB(6), ADB7=>AddressB(7),
ADB8=>AddressB(8), ADB9=>AddressB(9), ADB10=>AddressB(10),
ADB11=>AddressB(11), ADB12=>AddressB(12), DOA0=>QA(0),
DOA1=>open, DOA2=>open, DOA3=>open, DOA4=>open,
DOA5=>open, DOA6=>open, DOA7=>open, DOA8=>open,
DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open,
DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open,
DOA17=>open, DOB0=>QB(0), DOB1=>open, DOB2=>open,
DOB3=>open, DOB4=>open, DOB5=>open, DOB6=>open,
DOB7=>open, DOB8=>open, DOB9=>open, DOB10=>open,
DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open,
DOB15=>open, DOB16=>open, DOB17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S2_S2 is
port (
DataInA: in std_logic_vector(1 downto 0);
DataInB: in std_logic_vector(1 downto 0);
AddressA: in std_logic_vector(11 downto 0);
AddressB: in std_logic_vector(11 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
QA: out std_logic_vector(1 downto 0);
QB: out std_logic_vector(1 downto 0));
end;
architecture Structure of EC_RAMB8_S2_S2 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL",
GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG",
REGMODE_A=>"NOREG", DATA_WIDTH_B=> 2, DATA_WIDTH_A=> 2)
port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=>gnd,
CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd,
CSB1=>gnd, CSB2=>gnd, RSTB=>gnd,
DIA0=>gnd, DIA1=>DataInA(0), DIA2=>gnd,
DIA3=>gnd, DIA4=>gnd, DIA5=>gnd,
DIA6=>gnd, DIA7=>gnd, DIA8=>gnd,
DIA9=>gnd, DIA10=>gnd, DIA11=>DataInA(1),
DIA12=>gnd, DIA13=>gnd, DIA14=>gnd,
DIA15=>gnd, DIA16=>gnd, DIA17=>gnd,
ADA0=>vcc, ADA1=>AddressA(0), ADA2=>AddressA(1),
ADA3=>AddressA(2), ADA4=>AddressA(3), ADA5=>AddressA(4),
ADA6=>AddressA(6), ADA7=>AddressA(6), ADA8=>AddressA(7),
ADA9=>AddressA(8), ADA10=>AddressA(9), ADA11=>AddressA(10),
ADA12=>AddressA(11), DIB0=>gnd, DIB1=>DataInB(0),
DIB2=>gnd, DIB3=>gnd, DIB4=>gnd,
DIB5=>gnd, DIB6=>gnd, DIB7=>gnd,
DIB8=>gnd, DIB9=>gnd, DIB10=>gnd,
DIB11=>DataInB(1), DIB12=>gnd, DIB13=>gnd,
DIB14=>gnd, DIB15=>gnd, DIB16=>gnd,
DIB17=>gnd, ADB0=>vcc, ADB1=>AddressB(0),
ADB2=>AddressB(1), ADB3=>AddressB(2), ADB4=>AddressB(3),
ADB5=>AddressB(4), ADB6=>AddressB(5), ADB7=>AddressB(6),
ADB8=>AddressB(7), ADB9=>AddressB(8), ADB10=>AddressB(9),
ADB11=>AddressB(10), ADB12=>AddressB(11), DOA0=>QA(1),
DOA1=>QA(0), DOA2=>open, DOA3=>open, DOA4=>open,
DOA5=>open, DOA6=>open, DOA7=>open, DOA8=>open,
DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open,
DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open,
DOA17=>open, DOB0=>QB(1), DOB1=>QB(0), DOB2=>open,
DOB3=>open, DOB4=>open, DOB5=>open, DOB6=>open,
DOB7=>open, DOB8=>open, DOB9=>open, DOB10=>open,
DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open,
DOB15=>open, DOB16=>open, DOB17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S4_S4 is
port (
DataInA: in std_logic_vector(3 downto 0);
DataInB: in std_logic_vector(3 downto 0);
AddressA: in std_logic_vector(10 downto 0);
AddressB: in std_logic_vector(10 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
QA: out std_logic_vector(3 downto 0);
QB: out std_logic_vector(3 downto 0));
end;
architecture Structure of EC_RAMB8_S4_S4 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL",
GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG",
REGMODE_A=>"NOREG", DATA_WIDTH_B=> 4, DATA_WIDTH_A=> 4)
port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=>gnd,
CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd,
CSB1=>gnd, CSB2=>gnd, RSTB=>gnd,
DIA0=>DataInA(0), DIA1=>DataInA(1), DIA2=>DataInA(2),
DIA3=>DataInA(3), DIA4=>gnd, DIA5=>gnd,
DIA6=>gnd, DIA7=>gnd, DIA8=>gnd,
DIA9=>gnd, DIA10=>gnd, DIA11=>gnd,
DIA12=>gnd, DIA13=>gnd, DIA14=>gnd,
DIA15=>gnd, DIA16=>gnd, DIA17=>gnd,
ADA0=>vcc, ADA1=>vcc, ADA2=>AddressA(0),
ADA3=>AddressA(1), ADA4=>AddressA(2), ADA5=>AddressA(3),
ADA6=>AddressA(4), ADA7=>AddressA(5), ADA8=>AddressA(6),
ADA9=>AddressA(7), ADA10=>AddressA(8), ADA11=>AddressA(9),
ADA12=>AddressA(10), DIB0=>DataInB(0), DIB1=>DataInB(1),
DIB2=>DataInB(2), DIB3=>DataInB(3), DIB4=>gnd,
DIB5=>gnd, DIB6=>gnd, DIB7=>gnd,
DIB8=>gnd, DIB9=>gnd, DIB10=>gnd,
DIB11=>gnd, DIB12=>gnd, DIB13=>gnd,
DIB14=>gnd, DIB15=>gnd, DIB16=>gnd,
DIB17=>gnd, ADB0=>vcc, ADB1=>vcc,
ADB2=>AddressB(0), ADB3=>AddressB(1), ADB4=>AddressB(2),
ADB5=>AddressB(3), ADB6=>AddressB(4), ADB7=>AddressB(5),
ADB8=>AddressB(6), ADB9=>AddressB(7), ADB10=>AddressB(8),
ADB11=>AddressB(9), ADB12=>AddressB(10), DOA0=>QA(0),
DOA1=>QA(1), DOA2=>QA(2), DOA3=>QA(3), DOA4=>open,
DOA5=>open, DOA6=>open, DOA7=>open, DOA8=>open,
DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open,
DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open,
DOA17=>open, DOB0=>QB(0), DOB1=>QB(1), DOB2=>QB(2),
DOB3=>QB(3), DOB4=>open, DOB5=>open, DOB6=>open,
DOB7=>open, DOB8=>open, DOB9=>open, DOB10=>open,
DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open,
DOB15=>open, DOB16=>open, DOB17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S9_S9 is
port (
DataInA: in std_logic_vector(8 downto 0);
DataInB: in std_logic_vector(8 downto 0);
AddressA: in std_logic_vector(9 downto 0);
AddressB: in std_logic_vector(9 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
QA: out std_logic_vector(8 downto 0);
QB: out std_logic_vector(8 downto 0));
end;
architecture Structure of EC_RAMB8_S9_S9 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL",
GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG",
REGMODE_A=>"NOREG", DATA_WIDTH_B=> 9, DATA_WIDTH_A=> 9)
port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=>gnd,
CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd,
CSB1=>gnd, CSB2=>gnd, RSTB=>gnd,
DIA0=>DataInA(0), DIA1=>DataInA(1), DIA2=>DataInA(2),
DIA3=>DataInA(3), DIA4=>DataInA(4), DIA5=>DataInA(5),
DIA6=>DataInA(6), DIA7=>DataInA(7), DIA8=>DataInA(8),
DIA9=>gnd, DIA10=>gnd, DIA11=>gnd,
DIA12=>gnd, DIA13=>gnd, DIA14=>gnd,
DIA15=>gnd, DIA16=>gnd, DIA17=>gnd,
ADA0=>vcc, ADA1=>vcc, ADA2=>gnd,
ADA3=>AddressA(0), ADA4=>AddressA(1), ADA5=>AddressA(2),
ADA6=>AddressA(3), ADA7=>AddressA(4), ADA8=>AddressA(5),
ADA9=>AddressA(6), ADA10=>AddressA(7), ADA11=>AddressA(8),
ADA12=>AddressA(9), DIB0=>DataInB(0), DIB1=>DataInB(1),
DIB2=>DataInB(2), DIB3=>DataInB(3), DIB4=>DataInB(4),
DIB5=>DataInB(5), DIB6=>DataInB(6), DIB7=>DataInB(7),
DIB8=>DataInB(8), DIB9=>gnd, DIB10=>gnd,
DIB11=>gnd, DIB12=>gnd, DIB13=>gnd,
DIB14=>gnd, DIB15=>gnd, DIB16=>gnd,
DIB17=>gnd, ADB0=>vcc, ADB1=>vcc,
ADB2=>gnd, ADB3=>AddressB(0), ADB4=>AddressB(1),
ADB5=>AddressB(2), ADB6=>AddressB(3), ADB7=>AddressB(4),
ADB8=>AddressB(5), ADB9=>AddressB(6), ADB10=>AddressB(7),
ADB11=>AddressB(8), ADB12=>AddressB(9), DOA0=>QA(0),
DOA1=>QA(1), DOA2=>QA(2), DOA3=>QA(3), DOA4=>QA(4),
DOA5=>QA(5), DOA6=>QA(6), DOA7=>QA(7), DOA8=>QA(8),
DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open,
DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open,
DOA17=>open, DOB0=>QB(0), DOB1=>QB(1), DOB2=>QB(2),
DOB3=>QB(3), DOB4=>QB(4), DOB5=>QB(5), DOB6=>QB(6),
DOB7=>QB(7), DOB8=>QB(8), DOB9=>open, DOB10=>open,
DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open,
DOB15=>open, DOB16=>open, DOB17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S18_S18 is
port (
DataInA: in std_logic_vector(17 downto 0);
DataInB: in std_logic_vector(17 downto 0);
AddressA: in std_logic_vector(8 downto 0);
AddressB: in std_logic_vector(8 downto 0);
ClockA: in std_logic;
ClockB: in std_logic;
ClockEnA: in std_logic;
ClockEnB: in std_logic;
WrA: in std_logic;
WrB: in std_logic;
QA: out std_logic_vector(17 downto 0);
QB: out std_logic_vector(17 downto 0));
end;
architecture Structure of EC_RAMB8_S18_S18 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL",
GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG",
REGMODE_A=>"NOREG", DATA_WIDTH_B=> 18, DATA_WIDTH_A=> 18)
port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=>gnd,
CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd,
CSB1=>gnd, CSB2=>gnd, RSTB=>gnd,
DIA0=>DataInA(0), DIA1=>DataInA(1), DIA2=>DataInA(2),
DIA3=>DataInA(3), DIA4=>DataInA(4), DIA5=>DataInA(5),
DIA6=>DataInA(6), DIA7=>DataInA(7), DIA8=>DataInA(8),
DIA9=>DataInA(9), DIA10=>DataInA(10), DIA11=>DataInA(11),
DIA12=>DataInA(12), DIA13=>DataInA(13), DIA14=>DataInA(14),
DIA15=>DataInA(15), DIA16=>DataInA(16), DIA17=>DataInA(17),
ADA0=>vcc, ADA1=>vcc, ADA2=>gnd,
ADA3=>gnd, ADA4=>AddressA(0), ADA5=>AddressA(1),
ADA6=>AddressA(2), ADA7=>AddressA(3), ADA8=>AddressA(4),
ADA9=>AddressA(5), ADA10=>AddressA(6), ADA11=>AddressA(7),
ADA12=>AddressA(8), DIB0=>DataInB(0), DIB1=>DataInB(1),
DIB2=>DataInB(2), DIB3=>DataInB(3), DIB4=>DataInB(4),
DIB5=>DataInB(5), DIB6=>DataInB(6), DIB7=>DataInB(7),
DIB8=>DataInB(8), DIB9=>DataInB(9), DIB10=>DataInB(10),
DIB11=>DataInB(11), DIB12=>DataInB(12), DIB13=>DataInB(13),
DIB14=>DataInB(14), DIB15=>DataInB(15), DIB16=>DataInB(16),
DIB17=>DataInB(17), ADB0=>vcc, ADB1=>vcc,
ADB2=>gnd, ADB3=>gnd, ADB4=>AddressB(0),
ADB5=>AddressB(1), ADB6=>AddressB(2), ADB7=>AddressB(3),
ADB8=>AddressB(4), ADB9=>AddressB(5), ADB10=>AddressB(6),
ADB11=>AddressB(7), ADB12=>AddressB(8), DOA0=>QA(0),
DOA1=>QA(1), DOA2=>QA(2), DOA3=>QA(3), DOA4=>QA(4),
DOA5=>QA(5), DOA6=>QA(6), DOA7=>QA(7), DOA8=>QA(8),
DOA9=>QA(9), DOA10=>QA(10), DOA11=>QA(11), DOA12=>QA(12),
DOA13=>QA(13), DOA14=>QA(14), DOA15=>QA(15), DOA16=>QA(16),
DOA17=>QA(17), DOB0=>QB(0), DOB1=>QB(1), DOB2=>QB(2),
DOB3=>QB(3), DOB4=>QB(4), DOB5=>QB(5), DOB6=>QB(6),
DOB7=>QB(7), DOB8=>QB(8), DOB9=>QB(9), DOB10=>QB(10),
DOB11=>QB(11), DOB12=>QB(12), DOB13=>QB(13), DOB14=>QB(14),
DOB15=>QB(15), DOB16=>QB(16), DOB17=>QB(17));
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.sp8ka;
-- pragma translate_on
entity EC_RAMB8_S1 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (12 downto 0);
data : in std_logic_vector (0 downto 0);
q : out std_logic_vector (0 downto 0));
end;
architecture behav of EC_RAMB8_S1 is
COMPONENT sp8ka
GENERIC(
DATA_WIDTH : in Integer := 18;
REGMODE : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE : String := "000";
WRITEMODE : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X';
di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X';
ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X';
ad9, ad10, ad11, ad12 : in std_logic := 'X';
ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X';
do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X';
do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: SP8KA
generic map (CSDECODE=>"000", GSR=>"DISABLED",
WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC",
REGMODE=>"NOREG", DATA_WIDTH=> 1)
port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd,
CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>gnd,
DI1=>gnd, DI2=>gnd, DI3=>gnd, DI4=>gnd,
DI5=>gnd, DI6=>gnd, DI7=>gnd, DI8=>gnd,
DI9=>gnd, DI10=>gnd, DI11=>Data(0),
DI12=>gnd, DI13=>gnd, DI14=>gnd,
DI15=>gnd, DI16=>gnd, DI17=>gnd,
AD0=>Address(0), AD1=>Address(1), AD2=>Address(2),
AD3=>Address(3), AD4=>Address(4), AD5=>Address(5),
AD6=>Address(6), AD7=>Address(7), AD8=>Address(8),
AD9=>Address(9), AD10=>Address(10), AD11=>Address(11),
AD12=>Address(12), DO0=>Q(0), DO1=>open, DO2=>open, DO3=>open,
DO4=>open, DO5=>open, DO6=>open, DO7=>open, DO8=>open,
DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open,
DO14=>open, DO15=>open, DO16=>open, DO17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.sp8ka;
-- pragma translate_on
entity EC_RAMB8_S2 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (11 downto 0);
data : in std_logic_vector (1 downto 0);
q : out std_logic_vector (1 downto 0));
end;
architecture behav of EC_RAMB8_S2 is
COMPONENT sp8ka
GENERIC(
DATA_WIDTH : in Integer := 18;
REGMODE : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE : String := "000";
WRITEMODE : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X';
di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X';
ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X';
ad9, ad10, ad11, ad12 : in std_logic := 'X';
ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X';
do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X';
do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: SP8KA
generic map (CSDECODE=>"000", GSR=>"DISABLED",
WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC",
REGMODE=>"NOREG", DATA_WIDTH=> 2)
port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd,
CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>gnd,
DI1=>Data(0), DI2=>gnd, DI3=>gnd, DI4=>gnd,
DI5=>gnd, DI6=>gnd, DI7=>gnd, DI8=>gnd,
DI9=>gnd, DI10=>gnd, DI11=>Data(1),
DI12=>gnd, DI13=>gnd, DI14=>gnd,
DI15=>gnd, DI16=>gnd, DI17=>gnd,
AD0=>gnd, AD1=>Address(0), AD2=>Address(1),
AD3=>Address(2), AD4=>Address(3), AD5=>Address(4),
AD6=>Address(5), AD7=>Address(6), AD8=>Address(7),
AD9=>Address(8), AD10=>Address(9), AD11=>Address(10),
AD12=>Address(11), DO0=>Q(1), DO1=>Q(0), DO2=>open, DO3=>open,
DO4=>open, DO5=>open, DO6=>open, DO7=>open, DO8=>open,
DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open,
DO14=>open, DO15=>open, DO16=>open, DO17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.sp8ka;
-- pragma translate_on
entity EC_RAMB8_S4 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (10 downto 0);
data : in std_logic_vector (3 downto 0);
q : out std_logic_vector (3 downto 0));
end;
architecture behav of EC_RAMB8_S4 is
COMPONENT sp8ka
GENERIC(
DATA_WIDTH : in Integer := 18;
REGMODE : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE : String := "000";
WRITEMODE : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X';
di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X';
ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X';
ad9, ad10, ad11, ad12 : in std_logic := 'X';
ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X';
do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X';
do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: SP8KA
generic map (CSDECODE=>"000", GSR=>"DISABLED",
WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC",
REGMODE=>"NOREG", DATA_WIDTH=> 4)
port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd,
CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>Data(0),
DI1=>Data(1), DI2=>Data(2), DI3=>Data(3), DI4=>gnd,
DI5=>gnd, DI6=>gnd, DI7=>gnd, DI8=>gnd,
DI9=>gnd, DI10=>gnd, DI11=>gnd,
DI12=>gnd, DI13=>gnd, DI14=>gnd,
DI15=>gnd, DI16=>gnd, DI17=>gnd,
AD0=>gnd, AD1=>gnd, AD2=>Address(0),
AD3=>Address(1), AD4=>Address(2), AD5=>Address(3),
AD6=>Address(4), AD7=>Address(5), AD8=>Address(6),
AD9=>Address(7), AD10=>Address(8), AD11=>Address(9),
AD12=>Address(10), DO0=>Q(0), DO1=>Q(1), DO2=>Q(2), DO3=>Q(3),
DO4=>open, DO5=>open, DO6=>open, DO7=>open, DO8=>open,
DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open,
DO14=>open, DO15=>open, DO16=>open, DO17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.sp8ka;
-- pragma translate_on
entity EC_RAMB8_S9 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (9 downto 0);
data : in std_logic_vector (8 downto 0);
q : out std_logic_vector (8 downto 0));
end;
architecture behav of EC_RAMB8_S9 is
COMPONENT sp8ka
GENERIC(
DATA_WIDTH : in Integer := 18;
REGMODE : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE : String := "000";
WRITEMODE : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X';
di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X';
ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X';
ad9, ad10, ad11, ad12 : in std_logic := 'X';
ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X';
do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X';
do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: SP8KA
generic map (CSDECODE=>"000", GSR=>"DISABLED",
WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC",
REGMODE=>"NOREG", DATA_WIDTH=> 9)
port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd,
CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>Data(0),
DI1=>Data(1), DI2=>Data(2), DI3=>Data(3), DI4=>Data(4),
DI5=>Data(5), DI6=>Data(6), DI7=>Data(7), DI8=>Data(8),
DI9=>gnd, DI10=>gnd, DI11=>gnd,
DI12=>gnd, DI13=>gnd, DI14=>gnd,
DI15=>gnd, DI16=>gnd, DI17=>gnd,
AD0=>gnd, AD1=>gnd, AD2=>gnd,
AD3=>Address(0), AD4=>Address(1), AD5=>Address(2),
AD6=>Address(3), AD7=>Address(4), AD8=>Address(5),
AD9=>Address(6), AD10=>Address(7), AD11=>Address(8),
AD12=>Address(9), DO0=>Q(0), DO1=>Q(1), DO2=>Q(2), DO3=>Q(3),
DO4=>Q(4), DO5=>Q(5), DO6=>Q(6), DO7=>Q(7), DO8=>Q(8),
DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open,
DO14=>open, DO15=>open, DO16=>open, DO17=>open);
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.sp8ka;
-- pragma translate_on
entity EC_RAMB8_S18 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (8 downto 0);
data : in std_logic_vector (17 downto 0);
q : out std_logic_vector (17 downto 0));
end;
architecture behav of EC_RAMB8_S18 is
COMPONENT sp8ka
GENERIC(
DATA_WIDTH : in Integer := 18;
REGMODE : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE : String := "000";
WRITEMODE : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X';
di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X';
ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X';
ad9, ad10, ad11, ad12 : in std_logic := 'X';
ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X';
do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X';
do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: SP8KA
generic map (CSDECODE=>"000", GSR=>"DISABLED",
WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC",
REGMODE=>"NOREG", DATA_WIDTH=> 18)
port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd,
CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>Data(0),
DI1=>Data(1), DI2=>Data(2), DI3=>Data(3), DI4=>Data(4),
DI5=>Data(5), DI6=>Data(6), DI7=>Data(7), DI8=>Data(8),
DI9=>Data(9), DI10=>Data(10), DI11=>Data(11),
DI12=>Data(12), DI13=>Data(13), DI14=>Data(14),
DI15=>Data(15), DI16=>Data(16), DI17=>Data(17),
AD0=>gnd, AD1=>gnd, AD2=>gnd,
AD3=>gnd, AD4=>Address(0), AD5=>Address(1),
AD6=>Address(2), AD7=>Address(3), AD8=>Address(4),
AD9=>Address(5), AD10=>Address(6), AD11=>Address(7),
AD12=>Address(8), DO0=>Q(0), DO1=>Q(1), DO2=>Q(2), DO3=>Q(3),
DO4=>Q(4), DO5=>Q(5), DO6=>Q(6), DO7=>Q(7), DO8=>Q(8),
DO9=>Q(9), DO10=>Q(10), DO11=>Q(11), DO12=>Q(12), DO13=>Q(13),
DO14=>Q(14), DO15=>Q(15), DO16=>Q(16), DO17=>Q(17));
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.dp8ka;
-- pragma translate_on
entity EC_RAMB8_S36 is
port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (7 downto 0);
data : in std_logic_vector (35 downto 0);
q : out std_logic_vector (35 downto 0));
end;
architecture behav of EC_RAMB8_S36 is
COMPONENT dp8ka
GENERIC(
DATA_WIDTH_A : in Integer := 18;
DATA_WIDTH_B : in Integer := 18;
REGMODE_A : String := "NOREG";
REGMODE_B : String := "NOREG";
RESETMODE : String := "ASYNC";
CSDECODE_A : String := "000";
CSDECODE_B : String := "000";
WRITEMODE_A : String := "NORMAL";
WRITEMODE_B : String := "NORMAL";
GSR : String := "ENABLED";
initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"
);
PORT(
dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X';
dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X';
ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X';
ada9, ada10, ada11, ada12 : in std_logic := 'X';
cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X';
dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X';
dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X';
adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X';
adb9, adb10, adb11, adb12 : in std_logic := 'X';
ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X';
doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X';
doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X';
dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X';
dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X'
);
END COMPONENT;
signal vcc, gnd : std_ulogic;
begin
vcc <= '1'; gnd <= '0';
u0: DP8KA
generic map (CSDECODE_B=>"000", CSDECODE_A=>"000",
WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL", GSR=>"DISABLED",
RESETMODE=>"ASYNC", REGMODE_B=>"NOREG", REGMODE_A=>"NOREG",
DATA_WIDTH_B=> 18, DATA_WIDTH_A=> 18)
port map (CEA => en, CLKA => clk, WEA => we, CSA0 => gnd,
CSA1=>gnd, CSA2=>gnd, RSTA=> gnd, CEB=> en,
CLKB=> clk, WEB=> we, CSB0=>gnd, CSB1=>gnd,
CSB2=>gnd, RSTB=>gnd, DIA0=>Data(0), DIA1=>Data(1),
DIA2=>Data(2), DIA3=>Data(3), DIA4=>Data(4), DIA5=>Data(5),
DIA6=>Data(6), DIA7=>Data(7), DIA8=>Data(8), DIA9=>Data(9),
DIA10=>Data(10), DIA11=>Data(11), DIA12=>Data(12),
DIA13=>Data(13), DIA14=>Data(14), DIA15=>Data(15),
DIA16=>Data(16), DIA17=>Data(17), ADA0=>vcc,
ADA1=>vcc, ADA2=>vcc, ADA3=>vcc,
ADA4=>Address(0), ADA5=>Address(1), ADA6=>Address(2),
ADA7=>Address(3), ADA8=>Address(4), ADA9=>Address(5),
ADA10=>Address(6), ADA11=>Address(7), ADA12=>gnd,
DIB0=>Data(18), DIB1=>Data(19), DIB2=>Data(20),
DIB3=>Data(21), DIB4=>Data(22), DIB5=>Data(23),
DIB6=>Data(24), DIB7=>Data(25), DIB8=>Data(26),
DIB9=>Data(27), DIB10=>Data(28), DIB11=>Data(29),
DIB12=>Data(30), DIB13=>Data(31), DIB14=>Data(32),
DIB15=>Data(33), DIB16=>Data(34), DIB17=>Data(35),
ADB0=>vcc, ADB1=>vcc, ADB2=>gnd,
ADB3=>gnd, ADB4=>Address(0), ADB5=>Address(1),
ADB6=>Address(2), ADB7=>Address(3), ADB8=>Address(4),
ADB9=>Address(5), ADB10=>Address(6), ADB11=>Address(7),
ADB12=>vcc, DOA0=>Q(0), DOA1=>Q(1), DOA2=>Q(2),
DOA3=>Q(3), DOA4=>Q(4), DOA5=>Q(5), DOA6=>Q(6), DOA7=>Q(7),
DOA8=>Q(8), DOA9=>Q(9), DOA10=>Q(10), DOA11=>Q(11),
DOA12=>Q(12), DOA13=>Q(13), DOA14=>Q(14), DOA15=>Q(15),
DOA16=>Q(16), DOA17=>Q(17), DOB0=>Q(18), DOB1=>Q(19),
DOB2=>Q(20), DOB3=>Q(21), DOB4=>Q(22), DOB5=>Q(23),
DOB6=>Q(24), DOB7=>Q(25), DOB8=>Q(26), DOB9=>Q(27),
DOB10=>Q(28), DOB11=>Q(29), DOB12=>Q(30), DOB13=>Q(31),
DOB14=>Q(32), DOB15=>Q(33), DOB16=>Q(34), DOB17=>Q(35));
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
entity ec_syncram is
generic (abits : integer := 9; dbits : integer := 32);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (dbits -1 downto 0);
dataout : out std_logic_vector (dbits -1 downto 0);
enable : in std_ulogic;
write : in std_ulogic
);
end;
architecture behav of ec_syncram is
component EC_RAMB8_S1 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (12 downto 0);
data : in std_logic_vector (0 downto 0);
q : out std_logic_vector (0 downto 0));
end component;
component EC_RAMB8_S2 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (11 downto 0);
data : in std_logic_vector (1 downto 0);
q : out std_logic_vector (1 downto 0));
end component;
component EC_RAMB8_S4 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (10 downto 0);
data : in std_logic_vector (3 downto 0);
q : out std_logic_vector (3 downto 0));
end component;
component EC_RAMB8_S9 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (9 downto 0);
data : in std_logic_vector (8 downto 0);
q : out std_logic_vector (8 downto 0));
end component;
component EC_RAMB8_S18 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (8 downto 0);
data : in std_logic_vector (17 downto 0);
q : out std_logic_vector (17 downto 0));
end component;
component EC_RAMB8_S36 port (
clk, en, we : in std_ulogic;
address : in std_logic_vector (7 downto 0);
data : in std_logic_vector (35 downto 0);
q : out std_logic_vector (35 downto 0));
end component;
constant DMAX : integer := dbits+36;
constant AMAX : integer := 13;
signal gnd : std_ulogic;
signal do, di : std_logic_vector(DMAX downto 0);
signal xa, ya : std_logic_vector(AMAX downto 0);
begin
gnd <= '0'; dataout <= do(dbits-1 downto 0); di(dbits-1 downto 0) <= datain;
di(DMAX downto dbits) <= (others => '0'); xa(abits-1 downto 0) <= address;
xa(AMAX downto abits) <= (others => '0'); ya(abits-1 downto 0) <= address;
ya(AMAX downto abits) <= (others => '1');
a8 : if (abits <= 8) generate
x : for i in 0 to ((dbits-1)/36) generate
r : EC_RAMB8_S36 port map ( clk, enable, write, xa(7 downto 0),
di((i+1)*36-1 downto i*36), do((i+1)*36-1 downto i*36));
end generate;
end generate;
a9 : if (abits = 9) generate
x : for i in 0 to ((dbits-1)/18) generate
r : EC_RAMB8_S18 port map ( clk, enable, write, xa(8 downto 0),
di((i+1)*18-1 downto i*18), do((i+1)*18-1 downto i*18));
end generate;
end generate;
a10 : if (abits = 10) generate
x : for i in 0 to ((dbits-1)/9) generate
r : EC_RAMB8_S9 port map ( clk, enable, write, xa(9 downto 0),
di((i+1)*9-1 downto i*9), do((i+1)*9-1 downto i*9));
end generate;
end generate;
a11 : if (abits = 11) generate
x : for i in 0 to ((dbits-1)/4) generate
r : EC_RAMB8_S4 port map ( clk, enable, write, xa(10 downto 0),
di((i+1)*4-1 downto i*4), do((i+1)*4-1 downto i*4));
end generate;
end generate;
a12 : if (abits = 12) generate
x : for i in 0 to ((dbits-1)/2) generate
r : EC_RAMB8_S2 port map ( clk, enable, write, xa(11 downto 0),
di((i+1)*2-1 downto i*2), do((i+1)*2-1 downto i*2));
end generate;
end generate;
a13 : if (abits = 13) generate
x : for i in 0 to ((dbits-1)/1) generate
r : EC_RAMB8_S1 port map ( clk, enable, write, xa(12 downto 0),
di((i+1)*1-1 downto i*1), do((i+1)*1-1 downto i*1));
end generate;
end generate;
-- pragma translate_off
unsup : if (abits > 13) generate
x : process
begin
assert false
report "Lattice EC syncram mapper: unsupported memory configuration!"
severity failure;
wait;
end process;
end generate;
-- pragma translate_on
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
entity ec_syncram_dp is
generic (
abits : integer := 4; dbits : integer := 32
);
port (
clk1 : in std_ulogic;
address1 : in std_logic_vector((abits -1) downto 0);
datain1 : in std_logic_vector((dbits -1) downto 0);
dataout1 : out std_logic_vector((dbits -1) downto 0);
enable1 : in std_ulogic;
write1 : in std_ulogic;
clk2 : in std_ulogic;
address2 : in std_logic_vector((abits -1) downto 0);
datain2 : in std_logic_vector((dbits -1) downto 0);
dataout2 : out std_logic_vector((dbits -1) downto 0);
enable2 : in std_ulogic;
write2 : in std_ulogic);
end;
architecture behav of ec_syncram_dp is
component EC_RAMB8_S1_S1 is port (
DataInA, DataInB: in std_logic_vector(0 downto 0);
AddressA, AddressB: in std_logic_vector(12 downto 0);
ClockA, ClockB: in std_logic;
ClockEnA, ClockEnB: in std_logic;
WrA, WrB: in std_logic;
QA, QB: out std_logic_vector(0 downto 0));
end component;
component EC_RAMB8_S2_S2 is port (
DataInA, DataInB: in std_logic_vector(1 downto 0);
AddressA, AddressB: in std_logic_vector(11 downto 0);
ClockA, ClockB: in std_logic;
ClockEnA, ClockEnB: in std_logic;
WrA, WrB: in std_logic;
QA, QB: out std_logic_vector(1 downto 0));
end component;
component EC_RAMB8_S4_S4 is port (
DataInA, DataInB: in std_logic_vector(3 downto 0);
AddressA, AddressB: in std_logic_vector(10 downto 0);
ClockA, ClockB: in std_logic;
ClockEnA, ClockEnB: in std_logic;
WrA, WrB: in std_logic;
QA, QB: out std_logic_vector(3 downto 0));
end component;
component EC_RAMB8_S9_S9 is port (
DataInA, DataInB: in std_logic_vector(8 downto 0);
AddressA, AddressB: in std_logic_vector(9 downto 0);
ClockA, ClockB: in std_logic;
ClockEnA, ClockEnB: in std_logic;
WrA, WrB: in std_logic;
QA, QB: out std_logic_vector(8 downto 0));
end component;
component EC_RAMB8_S18_S18 is port (
DataInA, DataInB: in std_logic_vector(17 downto 0);
AddressA, AddressB: in std_logic_vector(8 downto 0);
ClockA, ClockB: in std_logic;
ClockEnA, ClockEnB: in std_logic;
WrA, WrB: in std_logic;
QA, QB: out std_logic_vector(17 downto 0));
end component;
constant DMAX : integer := dbits+18;
constant AMAX : integer := 13;
signal gnd, vcc : std_ulogic;
signal do1, do2, di1, di2 : std_logic_vector(DMAX downto 0);
signal addr1, addr2 : std_logic_vector(AMAX downto 0);
begin
gnd <= '0'; vcc <= '1';
dataout1 <= do1(dbits-1 downto 0); dataout2 <= do2(dbits-1 downto 0);
di1(dbits-1 downto 0) <= datain1; di1(DMAX downto dbits) <= (others => '0');
di2(dbits-1 downto 0) <= datain2; di2(DMAX downto dbits) <= (others => '0');
addr1(abits-1 downto 0) <= address1; addr1(AMAX downto abits) <= (others => '0');
addr2(abits-1 downto 0) <= address2; addr2(AMAX downto abits) <= (others => '0');
a9 : if abits <= 9 generate
x : for i in 0 to ((dbits-1)/18) generate
r0 : EC_RAMB8_S18_S18 port map (
di1((i+1)*18-1 downto i*18), di2((i+1)*18-1 downto i*18),
addr1(8 downto 0), addr2(8 downto 0), clk1, clk2,
enable1, enable2, write1, write2,
do1((i+1)*18-1 downto i*18), do2((i+1)*18-1 downto i*18));
end generate;
end generate;
a10 : if abits = 10 generate
x : for i in 0 to ((dbits-1)/9) generate
r0 : EC_RAMB8_S9_S9 port map (
di1((i+1)*9-1 downto i*9), di2((i+1)*9-1 downto i*9),
addr1(9 downto 0), addr2(9 downto 0), clk1, clk2,
enable1, enable2, write1, write2,
do1((i+1)*9-1 downto i*9), do2((i+1)*9-1 downto i*9));
end generate;
end generate;
a11 : if abits = 11 generate
x : for i in 0 to ((dbits-1)/4) generate
r0 : EC_RAMB8_S4_S4 port map (
di1((i+1)*4-1 downto i*4), di2((i+1)*4-1 downto i*4),
addr1(10 downto 0), addr2(10 downto 0), clk1, clk2,
enable1, enable2, write1, write2,
do1((i+1)*4-1 downto i*4), do2((i+1)*4-1 downto i*4));
end generate;
end generate;
a12 : if abits = 12 generate
x : for i in 0 to ((dbits-1)/2) generate
r0 : EC_RAMB8_S2_S2 port map (
di1((i+1)*2-1 downto i*2), di2((i+1)*2-1 downto i*2),
addr1(11 downto 0), addr2(11 downto 0), clk1, clk2,
enable1, enable2, write1, write2,
do1((i+1)*2-1 downto i*2), do2((i+1)*2-1 downto i*2));
end generate;
end generate;
a13 : if abits = 13 generate
x : for i in 0 to ((dbits-1)/1) generate
r0 : EC_RAMB8_S1_S1 port map (
di1((i+1)*1-1 downto i*1), di2((i+1)*1-1 downto i*1),
addr1(12 downto 0), addr2(12 downto 0), clk1, clk2,
enable1, enable2, write1, write2,
do1((i+1)*1-1 downto i*1), do2((i+1)*1-1 downto i*1));
end generate;
end generate;
-- pragma translate_off
unsup : if (abits > 13) generate
x : process
begin
assert false
report "Lattice EC syncram_dp: unsupported memory configuration!"
severity failure;
wait;
end process;
end generate;
-- pragma translate_on
end;
|
-------------------------------------------------------------------------------
--! @file pf.vhd
--! @author Johannes Walter <[email protected]>
--! @copyright CERN TE-EPC-CCE
--! @date 2015-01-19
--! @brief Power FPGA communication.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
--! @brief Entity declaration of pf
--! @details
--! This component handles the Power FPGA communication and takes action in
--! case field-bus communication is broken.
entity pf is
port (
--! @name Clock and resets
--! @{
--! System clock
clk_i : in std_ulogic;
--! Asynchronous active-low reset
rst_asy_n_i : in std_ulogic;
--! Synchronous active-high reset
rst_syn_i : in std_ulogic;
--! @}
--! @name PF interface
--! @{
--! Send power cycle request to PF
pf_req_n_o : out std_ulogic;
--! Enable power down on PF
pf_pwr_dwn_en_o : out std_ulogic;
--! Power down signal from PF
pf_pwr_dwn_i : in std_ulogic;
--! @}
--! @name Internal interface
--! @{
--! Start of cycle
ms_0_strobe_i : in std_ulogic;
--! Millisecond strobe
ms_9_strobe_i : in std_ulogic;
--! Millisecond strobe
ms_11_strobe_i : in std_ulogic;
--! Voltage reference input
v_ref_i : in std_ulogic_vector(15 downto 0);
--! Voltage reference output
v_ref_o : out std_ulogic_vector(15 downto 0);
--! Voltage reference output enable
v_ref_en_o : out std_ulogic;
--! Flag indicating voltage reference override
v_ref_override_o : out std_ulogic;
--! Backplane type
backplane_i : in std_ulogic_vector(7 downto 0);
--! Flags indicating which commands have been received
command_received_i : in std_ulogic_vector(3 downto 0));
--! @}
end entity pf;
--! RTL implementation of pf
architecture rtl of pf is
---------------------------------------------------------------------------
--! @name Internal Registers
---------------------------------------------------------------------------
--! @{
signal pf_req_n : std_ulogic;
signal pf_pwr_dwn_en : std_ulogic;
signal pwr_cyc_chk : std_ulogic_vector(1 downto 0);
signal v_ref : unsigned(15 downto 0);
signal v_ref_en : std_ulogic;
signal v_ref_ovr : std_ulogic;
--! @}
---------------------------------------------------------------------------
--! @name Internal Wires
---------------------------------------------------------------------------
--! @{
signal rmp_dwn_strb_rst : std_ulogic;
signal rmp_dwn_strb_en : std_ulogic;
signal rmp_dwn_strb : std_ulogic;
signal pf_pwr_dwn_redge : std_ulogic;
--! @}
begin -- architecture rtl
---------------------------------------------------------------------------
-- Outputs
---------------------------------------------------------------------------
pf_req_n_o <= pf_req_n;
pf_pwr_dwn_en_o <= pf_pwr_dwn_en;
v_ref_o <= std_ulogic_vector(v_ref);
v_ref_en_o <= v_ref_en;
v_ref_override_o <= v_ref_ovr;
---------------------------------------------------------------------------
-- Signal Assignments
---------------------------------------------------------------------------
rmp_dwn_strb_rst <= not rmp_dwn_strb_en;
rmp_dwn_strb_en <= v_ref_ovr;
---------------------------------------------------------------------------
-- Instances
---------------------------------------------------------------------------
strobe_inst : entity work.lfsr_strobe_generator
generic map (
period_g => 3051, -- 3051 * 25 ns = 76.275 us
preset_value_g => 0)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
en_i => rmp_dwn_strb_en,
pre_i => rmp_dwn_strb_rst,
strobe_o => rmp_dwn_strb);
pwr_dwn_edge_inst : entity work.edge_detector
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
en_i => '1',
ack_i => '1',
sig_i => pf_pwr_dwn_i,
edge_o => pf_pwr_dwn_redge);
---------------------------------------------------------------------------
-- Registers
---------------------------------------------------------------------------
regs : process (clk_i, rst_asy_n_i) is
procedure reset is
begin
pf_req_n <= '1';
pf_pwr_dwn_en <= '0';
pwr_cyc_chk <= "00";
v_ref <= to_unsigned(0, v_ref'length);
v_ref_en <= '0';
v_ref_ovr <= '0';
end procedure reset;
begin -- process regs
if rst_asy_n_i = '0' then
reset;
elsif rising_edge(clk_i) then
if rst_syn_i = '1' then
reset;
else
if ms_0_strobe_i = '1' then
if command_received_i = "0000" then
pwr_cyc_chk <= pwr_cyc_chk(0) & '1';
else
pwr_cyc_chk <= "00";
pf_pwr_dwn_en <= '1';
end if;
end if;
if ms_9_strobe_i = '1' and pwr_cyc_chk(1) = '1' then
pf_req_n <= '0';
elsif ms_11_strobe_i = '1' then
if pf_req_n = '0' then
pf_pwr_dwn_en <= '0';
end if;
pf_req_n <= '1';
end if;
if pf_pwr_dwn_redge = '1' and pwr_cyc_chk(1) = '1' then
v_ref <= unsigned(v_ref_i);
v_ref_ovr <= '1';
end if;
v_ref_en <= '0';
if v_ref_ovr = '1' and rmp_dwn_strb = '1' then
if to_integer(v_ref) > 0 then
v_ref <= v_ref - 1;
v_ref_en <= '1';
end if;
end if;
-- Don't do anything when backplane type is x00
if backplane_i = x"00" then
reset;
end if;
end if;
end if;
end process regs;
end architecture rtl;
|
-- reverbBuffer.vhd
-- This file was auto-generated as a prototype implementation of a module
-- created in component editor. It ties off all outputs to ground and
-- ignores all inputs. It needs to be edited to make it do something
-- useful.
--
-- This file will not be automatically regenerated. You should check it in
-- to your version control system if you want to keep it.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity sdramBuffer is
generic (
AUTO_CLOCK_CLOCK_RATE : string := "-1";
base_addr : std_logic_vector(31 downto 0) := X"00000000";
buffersize : std_logic_vector(31 downto 0) := X"00002948"-- X"000014A4" X"00000A52"
);
port (
avm_m0_address : out std_logic_vector(31 downto 0); -- m0.address
avm_m0_read : out std_logic; -- .read
avm_m0_waitrequest : in std_logic := '0'; -- .waitrequest
avm_m0_readdata : in std_logic_vector(15 downto 0) := (others => '0'); -- .readdata
avm_m0_write : out std_logic; -- .write
avm_m0_writedata : out std_logic_vector(15 downto 0); -- .writedata
avm_m0_readdatavalid : in std_logic := '0'; -- .readdatavalid
buffer_in_valid : in std_logic;
buffer_in : in std_logic_vector(15 downto 0);
buffer_out_valid : out std_logic;
buffer_out : out std_logic_vector(15 downto 0);
clk : in std_logic := '0';
reset : in std_logic := '0' -- reset.reset_n
);
end entity sdramBuffer;
architecture rtl of sdramBuffer is
type state is (idle, reading, writing);
signal current_state: state;
signal read_addr, write_addr: std_logic_vector(31 downto 0) := base_addr;
begin
fsm: process(clk,reset)
begin
if reset = '0' then
write_addr <= base_addr;
read_addr <= base_addr;
current_state <= idle;
elsif rising_edge(clk) then
case current_state is
when idle =>
if buffer_in_valid = '1' then -- I have data avail.
avm_m0_write <= '1'; -- Telling the SDRAM we're writing to it.
current_state <= writing;
else
avm_m0_write <= '0';
end if;
when reading => -- Reading the SDRAM
if avm_m0_waitrequest = '0' then
avm_m0_address <= read_addr;
buffer_out <= avm_m0_readdata;
if read_addr = std_logic_vector(signed(buffersize) - 1) then
read_addr <= base_addr; -- To the beginning
elsif read_addr > write_addr then
read_addr <= std_logic_vector(signed(read_addr)+2);
else
read_addr <= std_logic_vector(signed(write_addr) - 2);
end if;
current_state <= idle;
buffer_out_valid <= '1';
else
buffer_out_valid <= '0';
end if;
when writing =>
if avm_m0_waitrequest = '0' then
avm_m0_address <= write_addr; -- can only write when waitrequest = 0
avm_m0_writedata <= buffer_in; -- Writes to SDRAM
if write_addr = std_logic_vector(signed(buffersize)-1) then
write_addr <= base_addr; -- Reset the write addr
else
write_addr <= std_logic_vector(signed(write_addr) + 2);
end if;
avm_m0_write <= '0'; -- Telling SDRAM we've stopped writing
avm_m0_read <= '1'; -- Read SDRAM (next cycle)
current_state <= reading;
end if;
when others =>
current_state <= idle;
end case;
end if;
end process;
end architecture rtl; -- of reverbBuffer
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ngLgWxRf0hh2B8HgksvdWvL3imxJuzopHQnUhizXseIRgfYbaERF45R8htrY1+5Hu90BvuMLidX3
qGeAOj9I6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KptRSvmKx3UNcrUwlIIRFcXlbYH6l607vnYJQk+Id7yAK746KeojAMwHo6iiQ+yMU0nMBTLz4dak
DY5QTvZhtUvhVW91cfynajlyxKZ1N5+ub6kvnzaz5V0xrhow4tN106ATTEt9oC5cgrvvzpJjKSv3
S6/+uJxuNvTVyYxGs6s=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vLuagx1QqNh+v4pMeMGp6QMwzdUHIRSS5wl5djbqJp8MEsf6bN0Z+DP0iuGYBxsc4U5EF5COm7+3
DwZu+xMDlbFA4hBDlz5kEaqJ4gHAp8VF/KmjEHeOkhLwNdg13Z1YET5+/4gIKfpU087u8DomDote
fGmQFM0I6SDL18iM2O3LIUclZtXM/FsZZNFDCFChr0Lq/fNf/0iGnowT7oukOThZnKB4dlR/H/Pf
C2h2uV69P1QKV9COWj3GyFOvTHORT0YUK2GxO1vEVpVeAQjPdxv8EfRhjFSQxUkqMYB3g7Mr2jUo
lZAibx0PH7q0T8Se79wOT148tx2d4Unw0ZooVw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KXFxsEXN/I0Di0aalHzUNgcjVaV2ZPRyIqPTanYwA0qUugeJ6Yg653RytBh1YkVedM981IVmfj1L
PN/eGPzfduD5M/0x2zH9wPZ2RYQy7gYb7aSMCgQOXJrCuB6ju6exnX6N0LqAbDiCPumqKO12aMoS
I6vTZ2lCAxBcvskr2S8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
e2hH0/5XGr63Hij0P6Ckn6GD1Pj8zH8edf+RySpe3mM1GoPxc+3acz8t2wuW5n51FqWelv30jf2s
7cMFsQPpOu5TI/DSnX7iI/ohkHBjPHq2SVlbfgXPAmrCGvFMl7j1l4SwYaT21Yg7UymcFW2BZR8d
jmHxbj6Kd3zgXgJ+pHjkyiTC1L4QMQCy6bjT23/dpdA5uXTRQn1Tslm6n3HMa/oXOl8gUnnMM4Zo
Er1Lng8rbepPoVzcMcdCBIrv6rTmcXca5DXVM4c29tGd6CWyHMgBHTRdm9zbyXrQxtwJIdtCVxzs
osN2XDvm9VYpXV/yBeRnKHQ/LBuGjxAlmj9CBw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 446592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ngLgWxRf0hh2B8HgksvdWvL3imxJuzopHQnUhizXseIRgfYbaERF45R8htrY1+5Hu90BvuMLidX3
qGeAOj9I6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KptRSvmKx3UNcrUwlIIRFcXlbYH6l607vnYJQk+Id7yAK746KeojAMwHo6iiQ+yMU0nMBTLz4dak
DY5QTvZhtUvhVW91cfynajlyxKZ1N5+ub6kvnzaz5V0xrhow4tN106ATTEt9oC5cgrvvzpJjKSv3
S6/+uJxuNvTVyYxGs6s=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vLuagx1QqNh+v4pMeMGp6QMwzdUHIRSS5wl5djbqJp8MEsf6bN0Z+DP0iuGYBxsc4U5EF5COm7+3
DwZu+xMDlbFA4hBDlz5kEaqJ4gHAp8VF/KmjEHeOkhLwNdg13Z1YET5+/4gIKfpU087u8DomDote
fGmQFM0I6SDL18iM2O3LIUclZtXM/FsZZNFDCFChr0Lq/fNf/0iGnowT7oukOThZnKB4dlR/H/Pf
C2h2uV69P1QKV9COWj3GyFOvTHORT0YUK2GxO1vEVpVeAQjPdxv8EfRhjFSQxUkqMYB3g7Mr2jUo
lZAibx0PH7q0T8Se79wOT148tx2d4Unw0ZooVw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KXFxsEXN/I0Di0aalHzUNgcjVaV2ZPRyIqPTanYwA0qUugeJ6Yg653RytBh1YkVedM981IVmfj1L
PN/eGPzfduD5M/0x2zH9wPZ2RYQy7gYb7aSMCgQOXJrCuB6ju6exnX6N0LqAbDiCPumqKO12aMoS
I6vTZ2lCAxBcvskr2S8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
e2hH0/5XGr63Hij0P6Ckn6GD1Pj8zH8edf+RySpe3mM1GoPxc+3acz8t2wuW5n51FqWelv30jf2s
7cMFsQPpOu5TI/DSnX7iI/ohkHBjPHq2SVlbfgXPAmrCGvFMl7j1l4SwYaT21Yg7UymcFW2BZR8d
jmHxbj6Kd3zgXgJ+pHjkyiTC1L4QMQCy6bjT23/dpdA5uXTRQn1Tslm6n3HMa/oXOl8gUnnMM4Zo
Er1Lng8rbepPoVzcMcdCBIrv6rTmcXca5DXVM4c29tGd6CWyHMgBHTRdm9zbyXrQxtwJIdtCVxzs
osN2XDvm9VYpXV/yBeRnKHQ/LBuGjxAlmj9CBw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 446592)
`protect data_block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`protect end_protected
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Package: components
-- File: components.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: Component declaration of Micron SDRAM
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package components is
component mt48lc16m16a2
GENERIC (
-- Timing Parameters for -75 (PC133) and CAS Latency = 2
tAC : TIME := 6.0 ns;
tHZ : TIME := 7.0 ns;
tOH : TIME := 2.7 ns;
tMRD : INTEGER := 2; -- 2 Clk Cycles
tRAS : TIME := 44.0 ns;
tRC : TIME := 66.0 ns;
tRCD : TIME := 20.0 ns;
tRP : TIME := 20.0 ns;
tRRD : TIME := 15.0 ns;
tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns)
tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns)
tAH : TIME := 0.8 ns;
tAS : TIME := 1.5 ns;
tCH : TIME := 2.5 ns;
tCL : TIME := 2.5 ns;
tCK : TIME := 10.0 ns;
tDH : TIME := 0.8 ns;
tDS : TIME := 1.5 ns;
tCKH : TIME := 0.8 ns;
tCKS : TIME := 1.5 ns;
tCMH : TIME := 0.8 ns;
tCMS : TIME := 1.5 ns;
addr_bits : INTEGER := 13;
data_bits : INTEGER := 16;
col_bits : INTEGER := 9;
index : INTEGER := 0;
fname : string := "ram.srec" -- File to read from
);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0');
Ba : IN STD_LOGIC_VECTOR := "00";
Clk : IN STD_LOGIC := '0';
Cke : IN STD_LOGIC := '1';
Cs_n : IN STD_LOGIC := '1';
Ras_n : IN STD_LOGIC := '1';
Cas_n : IN STD_LOGIC := '1';
We_n : IN STD_LOGIC := '1';
Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00"
);
end component;
component mt46v16m16
GENERIC ( -- Timing for -75Z CL2
tCK : TIME := 7.500 ns;
tCH : TIME := 3.375 ns; -- 0.45*tCK
tCL : TIME := 3.375 ns; -- 0.45*tCK
tDH : TIME := 0.500 ns;
tDS : TIME := 0.500 ns;
tIH : TIME := 0.900 ns;
tIS : TIME := 0.900 ns;
tMRD : TIME := 15.000 ns;
tRAS : TIME := 40.000 ns;
tRAP : TIME := 20.000 ns;
tRC : TIME := 65.000 ns;
tRFC : TIME := 75.000 ns;
tRCD : TIME := 20.000 ns;
tRP : TIME := 20.000 ns;
tRRD : TIME := 15.000 ns;
tWR : TIME := 15.000 ns;
addr_bits : INTEGER := 13;
data_bits : INTEGER := 16;
cols_bits : INTEGER := 9;
index : INTEGER := 0;
fname : string := "ram.srec"; -- File to read from
bbits : INTEGER := 16;
fdelay : INTEGER := 0;
chktiming : boolean := true
);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z');
Dqs : INOUT STD_LOGIC_VECTOR (1 DOWNTO 0) := "ZZ";
Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0);
Ba : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
Clk : IN STD_LOGIC;
Clk_n : IN STD_LOGIC;
Cke : IN STD_LOGIC;
Cs_n : IN STD_LOGIC;
Ras_n : IN STD_LOGIC;
Cas_n : IN STD_LOGIC;
We_n : IN STD_LOGIC;
Dm : IN STD_LOGIC_VECTOR (1 DOWNTO 0)
);
END component;
component ftmt48lc16m16a2
GENERIC (
-- Timing Parameters for -75 (PC133) and CAS Latency = 2
tAC : TIME := 6.0 ns;
tHZ : TIME := 7.0 ns;
tOH : TIME := 2.7 ns;
tMRD : INTEGER := 2; -- 2 Clk Cycles
tRAS : TIME := 44.0 ns;
tRC : TIME := 66.0 ns;
tRCD : TIME := 20.0 ns;
tRP : TIME := 20.0 ns;
tRRD : TIME := 15.0 ns;
tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns)
tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns)
tAH : TIME := 0.8 ns;
tAS : TIME := 1.5 ns;
tCH : TIME := 2.5 ns;
tCL : TIME := 2.5 ns;
tCK : TIME := 10.0 ns;
tDH : TIME := 0.8 ns;
tDS : TIME := 1.5 ns;
tCKH : TIME := 0.8 ns;
tCKS : TIME := 1.5 ns;
tCMH : TIME := 0.8 ns;
tCMS : TIME := 1.5 ns;
addr_bits : INTEGER := 13;
data_bits : INTEGER := 16;
col_bits : INTEGER := 9;
index : INTEGER := 0;
fname : string := "ram.srec"; -- File to read from
err : INTEGER := 0
);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0');
Ba : IN STD_LOGIC_VECTOR := "00";
Clk : IN STD_LOGIC := '0';
Cke : IN STD_LOGIC := '1';
Cs_n : IN STD_LOGIC := '1';
Ras_n : IN STD_LOGIC := '1';
Cas_n : IN STD_LOGIC := '1';
We_n : IN STD_LOGIC := '1';
Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00"
);
end component;
component ddr2 is
generic(
DM_BITS : integer := 2;
ADDR_BITS : integer := 13;
ROW_BITS : integer := 13;
COL_BITS : integer := 9;
DQ_BITS : integer := 16;
DQS_BITS : integer := 2;
TRRD : integer := 10000;
TFAW : integer := 50000;
DEBUG : integer := 0
);
port (
ck : in std_ulogic;
ck_n : in std_ulogic;
cke : in std_ulogic;
cs_n : in std_ulogic;
ras_n : in std_ulogic;
cas_n : in std_ulogic;
we_n : in std_ulogic;
dm_rdqs : inout std_logic_vector(DQS_BITS-1 downto 0);
ba : in std_logic_vector(1 downto 0);
addr : in std_logic_vector(ADDR_BITS-1 downto 0);
dq : inout std_logic_vector(DQ_BITS-1 downto 0);
dqs : inout std_logic_vector(DQS_BITS-1 downto 0);
dqs_n : inout std_logic_vector(DQS_BITS-1 downto 0);
rdqs_n : out std_logic_vector(DQS_BITS-1 downto 0);
odt : in std_ulogic
);
end component;
component mobile_ddr
--GENERIC ( -- Timing for -75Z CL2
-- tCK : TIME := 7.500 ns;
-- tCH : TIME := 3.375 ns; -- 0.45*tCK
-- tCL : TIME := 3.375 ns; -- 0.45*tCK
-- tDH : TIME := 0.500 ns;
-- tDS : TIME := 0.500 ns;
-- tIH : TIME := 0.900 ns;
-- tIS : TIME := 0.900 ns;
-- tMRD : TIME := 15.000 ns;
-- tRAS : TIME := 40.000 ns;
-- tRAP : TIME := 20.000 ns;
-- tRC : TIME := 65.000 ns;
-- tRFC : TIME := 75.000 ns;
-- tRCD : TIME := 20.000 ns;
-- tRP : TIME := 20.000 ns;
-- tRRD : TIME := 15.000 ns;
-- tWR : TIME := 15.000 ns;
-- addr_bits : INTEGER := 13;
-- data_bits : INTEGER := 16;
-- cols_bits : INTEGER := 9;
-- index : INTEGER := 0;
-- fname : string := "ram.srec"; -- File to read from
-- bbits : INTEGER := 32
--);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (15 DOWNTO 0) := (OTHERS => 'Z');
----Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z');
Dqs : INOUT STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => 'Z');
----Dqs : INOUT STD_LOGIC_VECTOR (data_bits/8 - 1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
----Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0);
Ba : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
Clk : IN STD_LOGIC;
Clk_n : IN STD_LOGIC;
Cke : IN STD_LOGIC;
Cs_n : IN STD_LOGIC;
Ras_n : IN STD_LOGIC;
Cas_n : IN STD_LOGIC;
We_n : IN STD_LOGIC;
Dm : IN STD_LOGIC_VECTOR (1 DOWNTO 0)
----Dm : IN STD_LOGIC_VECTOR (data_bits/8 - 1 DOWNTO 0)
);
END component;
component mobile_ddr_fe
port (
Dq : INOUT STD_LOGIC_VECTOR (15 DOWNTO 0) := (OTHERS => 'Z');
Dqs : INOUT STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
Ba : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
Clk : IN STD_LOGIC;
Clk_n : IN STD_LOGIC;
Cke : IN STD_LOGIC;
Cs_n : IN STD_LOGIC;
Ras_n : IN STD_LOGIC;
Cas_n : IN STD_LOGIC;
We_n : IN STD_LOGIC;
Dm : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
BEaddr: out std_logic_vector (24 downto 0);
BEwr : out std_logic_vector(1 downto 0);
BEdin : out std_logic_vector(15 downto 0);
BEdout: in std_logic_vector(15 downto 0);
BEclear: out std_logic;
BEclrpart: out std_logic;
BEsynco: out std_logic;
BEsynci: in std_logic
);
end component;
component mobile_ddr_febe
generic (
dbits: integer := 32;
rampad: integer := 0;
fname: string := "dummy";
autoload: integer := 1;
rstmode: integer := 0;
rstdatah: integer := 16#DEAD#;
rstdatal: integer := 16#BEEF#
);
port (
Dq : INOUT STD_LOGIC_VECTOR (dbits-1 DOWNTO 0) := (OTHERS => 'Z');
Dqs : INOUT STD_LOGIC_VECTOR (dbits/8-1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
Ba : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
Clk : IN STD_LOGIC;
Clk_n : IN STD_LOGIC;
Cke : IN STD_LOGIC;
Cs_n : IN STD_LOGIC;
Ras_n : IN STD_LOGIC;
Cas_n : IN STD_LOGIC;
We_n : IN STD_LOGIC;
Dm : IN STD_LOGIC_VECTOR (dbits/8-1 DOWNTO 0)
);
end component;
component mobile_ddr2_fe
port (
ck : in std_logic;
ck_n : in std_logic;
cke : in std_logic;
cs_n : in std_logic;
ca : in std_logic_vector( 9 downto 0);
dm : in std_logic_vector( 1 downto 0);
dq : inout std_logic_vector(15 downto 0) := (OTHERS => 'Z');
dqs : inout std_logic_vector( 1 downto 0) := (OTHERS => 'Z');
dqs_n : inout std_logic_vector( 1 downto 0) := (OTHERS => 'Z');
BEaddr : out std_logic_vector(27 downto 0);
BEwr_h : out std_logic_vector( 1 downto 0);
BEwr_l : out std_logic_vector( 1 downto 0);
BEdin_h : out std_logic_vector(15 downto 0);
BEdin_l : out std_logic_vector(15 downto 0);
BEdout_h: in std_logic_vector(15 downto 0);
BEdout_l: in std_logic_vector(15 downto 0);
BEclear : out std_logic;
BEreload: out std_logic;
BEsynco : out std_logic;
BEsynci : in std_logic
);
end component;
component mobile_ddr2_febe
generic (
dbits: integer := 32;
rampad: integer := 0;
fname: string := "dummy";
autoload: integer := 1;
rstmode: integer := 0;
rstdatah: integer := 16#DEAD#;
rstdatal: integer := 16#BEEF#
);
port (
ck : in std_logic;
ck_n : in std_logic;
cke : in std_logic;
cs_n : in std_logic;
ca : in std_logic_vector( 9 downto 0);
dm : in std_logic_vector(dbits/8-1 downto 0);
dq : inout std_logic_vector( dbits-1 downto 0) := (OTHERS => 'Z');
dqs : inout std_logic_vector(dbits/8-1 downto 0) := (OTHERS => 'Z');
dqs_n : inout std_logic_vector(dbits/8-1 downto 0) := (OTHERS => 'Z')
);
end component;
component mobile_sdr
--GENERIC (
-- DEBUG : INTEGER := 1;
-- addr_bits : INTEGER := 13;
-- data_bits : INTEGER := 16
--);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (15 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (12 DOWNTO 0) := (OTHERS => '0');
Ba : IN STD_LOGIC_VECTOR := "00";
Clk : IN STD_LOGIC := '0';
Cke : IN STD_LOGIC := '1';
Cs_n : IN STD_LOGIC := '1';
Ras_n : IN STD_LOGIC := '1';
Cas_n : IN STD_LOGIC := '1';
We_n : IN STD_LOGIC := '1';
Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00"
);
end component;
end;
-- pragma translate_on
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Package: components
-- File: components.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: Component declaration of Micron SDRAM
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package components is
component mt48lc16m16a2
GENERIC (
-- Timing Parameters for -75 (PC133) and CAS Latency = 2
tAC : TIME := 6.0 ns;
tHZ : TIME := 7.0 ns;
tOH : TIME := 2.7 ns;
tMRD : INTEGER := 2; -- 2 Clk Cycles
tRAS : TIME := 44.0 ns;
tRC : TIME := 66.0 ns;
tRCD : TIME := 20.0 ns;
tRP : TIME := 20.0 ns;
tRRD : TIME := 15.0 ns;
tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns)
tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns)
tAH : TIME := 0.8 ns;
tAS : TIME := 1.5 ns;
tCH : TIME := 2.5 ns;
tCL : TIME := 2.5 ns;
tCK : TIME := 10.0 ns;
tDH : TIME := 0.8 ns;
tDS : TIME := 1.5 ns;
tCKH : TIME := 0.8 ns;
tCKS : TIME := 1.5 ns;
tCMH : TIME := 0.8 ns;
tCMS : TIME := 1.5 ns;
addr_bits : INTEGER := 13;
data_bits : INTEGER := 16;
col_bits : INTEGER := 9;
index : INTEGER := 0;
fname : string := "ram.srec" -- File to read from
);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0');
Ba : IN STD_LOGIC_VECTOR := "00";
Clk : IN STD_LOGIC := '0';
Cke : IN STD_LOGIC := '1';
Cs_n : IN STD_LOGIC := '1';
Ras_n : IN STD_LOGIC := '1';
Cas_n : IN STD_LOGIC := '1';
We_n : IN STD_LOGIC := '1';
Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00"
);
end component;
component mt46v16m16
GENERIC ( -- Timing for -75Z CL2
tCK : TIME := 7.500 ns;
tCH : TIME := 3.375 ns; -- 0.45*tCK
tCL : TIME := 3.375 ns; -- 0.45*tCK
tDH : TIME := 0.500 ns;
tDS : TIME := 0.500 ns;
tIH : TIME := 0.900 ns;
tIS : TIME := 0.900 ns;
tMRD : TIME := 15.000 ns;
tRAS : TIME := 40.000 ns;
tRAP : TIME := 20.000 ns;
tRC : TIME := 65.000 ns;
tRFC : TIME := 75.000 ns;
tRCD : TIME := 20.000 ns;
tRP : TIME := 20.000 ns;
tRRD : TIME := 15.000 ns;
tWR : TIME := 15.000 ns;
addr_bits : INTEGER := 13;
data_bits : INTEGER := 16;
cols_bits : INTEGER := 9;
index : INTEGER := 0;
fname : string := "ram.srec"; -- File to read from
bbits : INTEGER := 16;
fdelay : INTEGER := 0;
chktiming : boolean := true
);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z');
Dqs : INOUT STD_LOGIC_VECTOR (1 DOWNTO 0) := "ZZ";
Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0);
Ba : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
Clk : IN STD_LOGIC;
Clk_n : IN STD_LOGIC;
Cke : IN STD_LOGIC;
Cs_n : IN STD_LOGIC;
Ras_n : IN STD_LOGIC;
Cas_n : IN STD_LOGIC;
We_n : IN STD_LOGIC;
Dm : IN STD_LOGIC_VECTOR (1 DOWNTO 0)
);
END component;
component ftmt48lc16m16a2
GENERIC (
-- Timing Parameters for -75 (PC133) and CAS Latency = 2
tAC : TIME := 6.0 ns;
tHZ : TIME := 7.0 ns;
tOH : TIME := 2.7 ns;
tMRD : INTEGER := 2; -- 2 Clk Cycles
tRAS : TIME := 44.0 ns;
tRC : TIME := 66.0 ns;
tRCD : TIME := 20.0 ns;
tRP : TIME := 20.0 ns;
tRRD : TIME := 15.0 ns;
tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns)
tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns)
tAH : TIME := 0.8 ns;
tAS : TIME := 1.5 ns;
tCH : TIME := 2.5 ns;
tCL : TIME := 2.5 ns;
tCK : TIME := 10.0 ns;
tDH : TIME := 0.8 ns;
tDS : TIME := 1.5 ns;
tCKH : TIME := 0.8 ns;
tCKS : TIME := 1.5 ns;
tCMH : TIME := 0.8 ns;
tCMS : TIME := 1.5 ns;
addr_bits : INTEGER := 13;
data_bits : INTEGER := 16;
col_bits : INTEGER := 9;
index : INTEGER := 0;
fname : string := "ram.srec"; -- File to read from
err : INTEGER := 0
);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0');
Ba : IN STD_LOGIC_VECTOR := "00";
Clk : IN STD_LOGIC := '0';
Cke : IN STD_LOGIC := '1';
Cs_n : IN STD_LOGIC := '1';
Ras_n : IN STD_LOGIC := '1';
Cas_n : IN STD_LOGIC := '1';
We_n : IN STD_LOGIC := '1';
Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00"
);
end component;
component ddr2 is
generic(
DM_BITS : integer := 2;
ADDR_BITS : integer := 13;
ROW_BITS : integer := 13;
COL_BITS : integer := 9;
DQ_BITS : integer := 16;
DQS_BITS : integer := 2;
TRRD : integer := 10000;
TFAW : integer := 50000;
DEBUG : integer := 0
);
port (
ck : in std_ulogic;
ck_n : in std_ulogic;
cke : in std_ulogic;
cs_n : in std_ulogic;
ras_n : in std_ulogic;
cas_n : in std_ulogic;
we_n : in std_ulogic;
dm_rdqs : inout std_logic_vector(DQS_BITS-1 downto 0);
ba : in std_logic_vector(1 downto 0);
addr : in std_logic_vector(ADDR_BITS-1 downto 0);
dq : inout std_logic_vector(DQ_BITS-1 downto 0);
dqs : inout std_logic_vector(DQS_BITS-1 downto 0);
dqs_n : inout std_logic_vector(DQS_BITS-1 downto 0);
rdqs_n : out std_logic_vector(DQS_BITS-1 downto 0);
odt : in std_ulogic
);
end component;
component mobile_ddr
--GENERIC ( -- Timing for -75Z CL2
-- tCK : TIME := 7.500 ns;
-- tCH : TIME := 3.375 ns; -- 0.45*tCK
-- tCL : TIME := 3.375 ns; -- 0.45*tCK
-- tDH : TIME := 0.500 ns;
-- tDS : TIME := 0.500 ns;
-- tIH : TIME := 0.900 ns;
-- tIS : TIME := 0.900 ns;
-- tMRD : TIME := 15.000 ns;
-- tRAS : TIME := 40.000 ns;
-- tRAP : TIME := 20.000 ns;
-- tRC : TIME := 65.000 ns;
-- tRFC : TIME := 75.000 ns;
-- tRCD : TIME := 20.000 ns;
-- tRP : TIME := 20.000 ns;
-- tRRD : TIME := 15.000 ns;
-- tWR : TIME := 15.000 ns;
-- addr_bits : INTEGER := 13;
-- data_bits : INTEGER := 16;
-- cols_bits : INTEGER := 9;
-- index : INTEGER := 0;
-- fname : string := "ram.srec"; -- File to read from
-- bbits : INTEGER := 32
--);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (15 DOWNTO 0) := (OTHERS => 'Z');
----Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z');
Dqs : INOUT STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => 'Z');
----Dqs : INOUT STD_LOGIC_VECTOR (data_bits/8 - 1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
----Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0);
Ba : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
Clk : IN STD_LOGIC;
Clk_n : IN STD_LOGIC;
Cke : IN STD_LOGIC;
Cs_n : IN STD_LOGIC;
Ras_n : IN STD_LOGIC;
Cas_n : IN STD_LOGIC;
We_n : IN STD_LOGIC;
Dm : IN STD_LOGIC_VECTOR (1 DOWNTO 0)
----Dm : IN STD_LOGIC_VECTOR (data_bits/8 - 1 DOWNTO 0)
);
END component;
component mobile_ddr_fe
port (
Dq : INOUT STD_LOGIC_VECTOR (15 DOWNTO 0) := (OTHERS => 'Z');
Dqs : INOUT STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
Ba : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
Clk : IN STD_LOGIC;
Clk_n : IN STD_LOGIC;
Cke : IN STD_LOGIC;
Cs_n : IN STD_LOGIC;
Ras_n : IN STD_LOGIC;
Cas_n : IN STD_LOGIC;
We_n : IN STD_LOGIC;
Dm : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
BEaddr: out std_logic_vector (24 downto 0);
BEwr : out std_logic_vector(1 downto 0);
BEdin : out std_logic_vector(15 downto 0);
BEdout: in std_logic_vector(15 downto 0);
BEclear: out std_logic;
BEclrpart: out std_logic;
BEsynco: out std_logic;
BEsynci: in std_logic
);
end component;
component mobile_ddr_febe
generic (
dbits: integer := 32;
rampad: integer := 0;
fname: string := "dummy";
autoload: integer := 1;
rstmode: integer := 0;
rstdatah: integer := 16#DEAD#;
rstdatal: integer := 16#BEEF#
);
port (
Dq : INOUT STD_LOGIC_VECTOR (dbits-1 DOWNTO 0) := (OTHERS => 'Z');
Dqs : INOUT STD_LOGIC_VECTOR (dbits/8-1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
Ba : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
Clk : IN STD_LOGIC;
Clk_n : IN STD_LOGIC;
Cke : IN STD_LOGIC;
Cs_n : IN STD_LOGIC;
Ras_n : IN STD_LOGIC;
Cas_n : IN STD_LOGIC;
We_n : IN STD_LOGIC;
Dm : IN STD_LOGIC_VECTOR (dbits/8-1 DOWNTO 0)
);
end component;
component mobile_ddr2_fe
port (
ck : in std_logic;
ck_n : in std_logic;
cke : in std_logic;
cs_n : in std_logic;
ca : in std_logic_vector( 9 downto 0);
dm : in std_logic_vector( 1 downto 0);
dq : inout std_logic_vector(15 downto 0) := (OTHERS => 'Z');
dqs : inout std_logic_vector( 1 downto 0) := (OTHERS => 'Z');
dqs_n : inout std_logic_vector( 1 downto 0) := (OTHERS => 'Z');
BEaddr : out std_logic_vector(27 downto 0);
BEwr_h : out std_logic_vector( 1 downto 0);
BEwr_l : out std_logic_vector( 1 downto 0);
BEdin_h : out std_logic_vector(15 downto 0);
BEdin_l : out std_logic_vector(15 downto 0);
BEdout_h: in std_logic_vector(15 downto 0);
BEdout_l: in std_logic_vector(15 downto 0);
BEclear : out std_logic;
BEreload: out std_logic;
BEsynco : out std_logic;
BEsynci : in std_logic
);
end component;
component mobile_ddr2_febe
generic (
dbits: integer := 32;
rampad: integer := 0;
fname: string := "dummy";
autoload: integer := 1;
rstmode: integer := 0;
rstdatah: integer := 16#DEAD#;
rstdatal: integer := 16#BEEF#
);
port (
ck : in std_logic;
ck_n : in std_logic;
cke : in std_logic;
cs_n : in std_logic;
ca : in std_logic_vector( 9 downto 0);
dm : in std_logic_vector(dbits/8-1 downto 0);
dq : inout std_logic_vector( dbits-1 downto 0) := (OTHERS => 'Z');
dqs : inout std_logic_vector(dbits/8-1 downto 0) := (OTHERS => 'Z');
dqs_n : inout std_logic_vector(dbits/8-1 downto 0) := (OTHERS => 'Z')
);
end component;
component mobile_sdr
--GENERIC (
-- DEBUG : INTEGER := 1;
-- addr_bits : INTEGER := 13;
-- data_bits : INTEGER := 16
--);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (15 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (12 DOWNTO 0) := (OTHERS => '0');
Ba : IN STD_LOGIC_VECTOR := "00";
Clk : IN STD_LOGIC := '0';
Cke : IN STD_LOGIC := '1';
Cs_n : IN STD_LOGIC := '1';
Ras_n : IN STD_LOGIC := '1';
Cas_n : IN STD_LOGIC := '1';
We_n : IN STD_LOGIC := '1';
Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00"
);
end component;
end;
-- pragma translate_on
|
-- nios_dut.vhd
-- Generated using ACDS version 15.1 185
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity nios_dut is
port (
audio_in_data : in std_logic_vector(31 downto 0) := (others => '0'); -- audio_in.data
audio_in_valid : in std_logic := '0'; -- .valid
audio_in_ready : out std_logic; -- .ready
audio_out_data : out std_logic_vector(31 downto 0); -- audio_out.data
audio_out_valid : out std_logic; -- .valid
audio_out_ready : in std_logic := '0'; -- .ready
dummy_export : in std_logic := '0'; -- dummy.export
io_ack : in std_logic := '0'; -- io.ack
io_rdata : in std_logic_vector(7 downto 0) := (others => '0'); -- .rdata
io_read : out std_logic; -- .read
io_wdata : out std_logic_vector(7 downto 0); -- .wdata
io_write : out std_logic; -- .write
io_address : out std_logic_vector(19 downto 0); -- .address
io_irq : in std_logic := '0'; -- .irq
io_u2p_ack : in std_logic := '0'; -- io_u2p.ack
io_u2p_rdata : in std_logic_vector(7 downto 0) := (others => '0'); -- .rdata
io_u2p_read : out std_logic; -- .read
io_u2p_wdata : out std_logic_vector(7 downto 0); -- .wdata
io_u2p_write : out std_logic; -- .write
io_u2p_address : out std_logic_vector(19 downto 0); -- .address
io_u2p_irq : in std_logic := '0'; -- .irq
jtag_io_input_vector : in std_logic_vector(47 downto 0) := (others => '0'); -- jtag_io.input_vector
jtag_io_output_vector : out std_logic_vector(7 downto 0); -- .output_vector
jtag_test_clocks_clock_1 : in std_logic := '0'; -- jtag_test_clocks.clock_1
jtag_test_clocks_clock_2 : in std_logic := '0'; -- .clock_2
mem_mem_req_address : out std_logic_vector(25 downto 0); -- mem.mem_req_address
mem_mem_req_byte_en : out std_logic_vector(3 downto 0); -- .mem_req_byte_en
mem_mem_req_read_writen : out std_logic; -- .mem_req_read_writen
mem_mem_req_request : out std_logic; -- .mem_req_request
mem_mem_req_tag : out std_logic_vector(7 downto 0); -- .mem_req_tag
mem_mem_req_wdata : out std_logic_vector(31 downto 0); -- .mem_req_wdata
mem_mem_resp_dack_tag : in std_logic_vector(7 downto 0) := (others => '0'); -- .mem_resp_dack_tag
mem_mem_resp_data : in std_logic_vector(31 downto 0) := (others => '0'); -- .mem_resp_data
mem_mem_resp_rack_tag : in std_logic_vector(7 downto 0) := (others => '0'); -- .mem_resp_rack_tag
pio1_export : in std_logic_vector(31 downto 0) := (others => '0'); -- pio1.export
pio2_export : in std_logic_vector(19 downto 0) := (others => '0'); -- pio2.export
pio3_export : out std_logic_vector(7 downto 0); -- pio3.export
sys_clock_clk : in std_logic := '0'; -- sys_clock.clk
sys_reset_reset_n : in std_logic := '0'; -- sys_reset.reset_n
uart_rxd : in std_logic := '0'; -- uart.rxd
uart_txd : out std_logic; -- .txd
uart_cts_n : in std_logic := '0'; -- .cts_n
uart_rts_n : out std_logic -- .rts_n
);
end entity nios_dut;
architecture rtl of nios_dut is
component nios_dut_audio_in_dma is
port (
mm_write_address : out std_logic_vector(25 downto 0); -- address
mm_write_write : out std_logic; -- write
mm_write_byteenable : out std_logic_vector(3 downto 0); -- byteenable
mm_write_writedata : out std_logic_vector(31 downto 0); -- writedata
mm_write_waitrequest : in std_logic := 'X'; -- waitrequest
clock_clk : in std_logic := 'X'; -- clk
reset_n_reset_n : in std_logic := 'X'; -- reset_n
csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
csr_write : in std_logic := 'X'; -- write
csr_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
csr_readdata : out std_logic_vector(31 downto 0); -- readdata
csr_read : in std_logic := 'X'; -- read
csr_address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
descriptor_slave_write : in std_logic := 'X'; -- write
descriptor_slave_waitrequest : out std_logic; -- waitrequest
descriptor_slave_writedata : in std_logic_vector(127 downto 0) := (others => 'X'); -- writedata
descriptor_slave_byteenable : in std_logic_vector(15 downto 0) := (others => 'X'); -- byteenable
csr_irq_irq : out std_logic; -- irq
st_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data
st_sink_valid : in std_logic := 'X'; -- valid
st_sink_ready : out std_logic -- ready
);
end component nios_dut_audio_in_dma;
component nios_dut_audio_out_dma is
port (
mm_read_address : out std_logic_vector(25 downto 0); -- address
mm_read_read : out std_logic; -- read
mm_read_byteenable : out std_logic_vector(3 downto 0); -- byteenable
mm_read_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
mm_read_waitrequest : in std_logic := 'X'; -- waitrequest
mm_read_readdatavalid : in std_logic := 'X'; -- readdatavalid
clock_clk : in std_logic := 'X'; -- clk
reset_n_reset_n : in std_logic := 'X'; -- reset_n
csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
csr_write : in std_logic := 'X'; -- write
csr_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
csr_readdata : out std_logic_vector(31 downto 0); -- readdata
csr_read : in std_logic := 'X'; -- read
csr_address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
descriptor_slave_write : in std_logic := 'X'; -- write
descriptor_slave_waitrequest : out std_logic; -- waitrequest
descriptor_slave_writedata : in std_logic_vector(127 downto 0) := (others => 'X'); -- writedata
descriptor_slave_byteenable : in std_logic_vector(15 downto 0) := (others => 'X'); -- byteenable
csr_irq_irq : out std_logic; -- irq
st_source_data : out std_logic_vector(31 downto 0); -- data
st_source_valid : out std_logic; -- valid
st_source_ready : in std_logic := 'X' -- ready
);
end component nios_dut_audio_out_dma;
component avalon_to_mem32_bridge is
generic (
g_tag : std_logic_vector(7 downto 0) := "01011011"
);
port (
reset : in std_logic := 'X'; -- reset
avs_read : in std_logic := 'X'; -- read
avs_write : in std_logic := 'X'; -- write
avs_address : in std_logic_vector(25 downto 0) := (others => 'X'); -- address
avs_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
avs_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
avs_waitrequest : out std_logic; -- waitrequest
avs_readdata : out std_logic_vector(31 downto 0); -- readdata
avs_readdatavalid : out std_logic; -- readdatavalid
clock : in std_logic := 'X'; -- clk
mem_req_address : out std_logic_vector(25 downto 0); -- mem_req_address
mem_req_byte_en : out std_logic_vector(3 downto 0); -- mem_req_byte_en
mem_req_read_writen : out std_logic; -- mem_req_read_writen
mem_req_request : out std_logic; -- mem_req_request
mem_req_tag : out std_logic_vector(7 downto 0); -- mem_req_tag
mem_req_wdata : out std_logic_vector(31 downto 0); -- mem_req_wdata
mem_resp_dack_tag : in std_logic_vector(7 downto 0) := (others => 'X'); -- mem_resp_dack_tag
mem_resp_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- mem_resp_data
mem_resp_rack_tag : in std_logic_vector(7 downto 0) := (others => 'X') -- mem_resp_rack_tag
);
end component avalon_to_mem32_bridge;
component avalon_to_io_bridge is
port (
reset : in std_logic := 'X'; -- reset
avs_read : in std_logic := 'X'; -- read
avs_write : in std_logic := 'X'; -- write
avs_address : in std_logic_vector(19 downto 0) := (others => 'X'); -- address
avs_writedata : in std_logic_vector(7 downto 0) := (others => 'X'); -- writedata
avs_ready : out std_logic; -- waitrequest_n
avs_readdata : out std_logic_vector(7 downto 0); -- readdata
avs_readdatavalid : out std_logic; -- readdatavalid
clock : in std_logic := 'X'; -- clk
io_ack : in std_logic := 'X'; -- ack
io_rdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- rdata
io_read : out std_logic; -- read
io_wdata : out std_logic_vector(7 downto 0); -- wdata
io_write : out std_logic; -- write
io_address : out std_logic_vector(19 downto 0); -- address
io_irq : in std_logic := 'X'; -- irq
avs_irq : out std_logic -- irq
);
end component avalon_to_io_bridge;
component jtag_client is
port (
avm_clock : in std_logic := 'X'; -- clk
avm_reset : in std_logic := 'X'; -- reset
sample_vector : in std_logic_vector(47 downto 0) := (others => 'X'); -- input_vector
write_vector : out std_logic_vector(7 downto 0); -- output_vector
avm_read : out std_logic; -- read
avm_write : out std_logic; -- write
avm_byteenable : out std_logic_vector(3 downto 0); -- byteenable
avm_address : out std_logic_vector(31 downto 0); -- address
avm_writedata : out std_logic_vector(31 downto 0); -- writedata
avm_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
avm_readdatavalid : in std_logic := 'X'; -- readdatavalid
avm_waitrequest : in std_logic := 'X'; -- waitrequest
clock_1 : in std_logic := 'X'; -- clock_1
clock_2 : in std_logic := 'X' -- clock_2
);
end component jtag_client;
component nios_dut_nios2_gen2_0 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
reset_req : in std_logic := 'X'; -- reset_req
d_address : out std_logic_vector(31 downto 0); -- address
d_byteenable : out std_logic_vector(3 downto 0); -- byteenable
d_read : out std_logic; -- read
d_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
d_waitrequest : in std_logic := 'X'; -- waitrequest
d_write : out std_logic; -- write
d_writedata : out std_logic_vector(31 downto 0); -- writedata
debug_mem_slave_debugaccess_to_roms : out std_logic; -- debugaccess
i_address : out std_logic_vector(29 downto 0); -- address
i_read : out std_logic; -- read
i_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
i_waitrequest : in std_logic := 'X'; -- waitrequest
irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq
debug_reset_request : out std_logic; -- reset
debug_mem_slave_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address
debug_mem_slave_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
debug_mem_slave_debugaccess : in std_logic := 'X'; -- debugaccess
debug_mem_slave_read : in std_logic := 'X'; -- read
debug_mem_slave_readdata : out std_logic_vector(31 downto 0); -- readdata
debug_mem_slave_waitrequest : out std_logic; -- waitrequest
debug_mem_slave_write : in std_logic := 'X'; -- write
debug_mem_slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
dummy_ci_port : out std_logic -- readra
);
end component nios_dut_nios2_gen2_0;
component nios_dut_onchip_memory2_0 is
port (
clk : in std_logic := 'X'; -- clk
address : in std_logic_vector(10 downto 0) := (others => 'X'); -- address
clken : in std_logic := 'X'; -- clken
chipselect : in std_logic := 'X'; -- chipselect
write : in std_logic := 'X'; -- write
readdata : out std_logic_vector(31 downto 0); -- readdata
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
reset : in std_logic := 'X'; -- reset
reset_req : in std_logic := 'X' -- reset_req
);
end component nios_dut_onchip_memory2_0;
component nios_dut_pio_0 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
write_n : in std_logic := 'X'; -- write_n
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
chipselect : in std_logic := 'X'; -- chipselect
readdata : out std_logic_vector(31 downto 0); -- readdata
in_port : in std_logic := 'X'; -- export
irq : out std_logic -- irq
);
end component nios_dut_pio_0;
component nios_dut_pio_1 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
readdata : out std_logic_vector(31 downto 0); -- readdata
in_port : in std_logic_vector(31 downto 0) := (others => 'X') -- export
);
end component nios_dut_pio_1;
component nios_dut_pio_2 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
readdata : out std_logic_vector(31 downto 0); -- readdata
in_port : in std_logic_vector(19 downto 0) := (others => 'X') -- export
);
end component nios_dut_pio_2;
component nios_dut_pio_3 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
write_n : in std_logic := 'X'; -- write_n
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
chipselect : in std_logic := 'X'; -- chipselect
readdata : out std_logic_vector(31 downto 0); -- readdata
out_port : out std_logic_vector(7 downto 0) -- export
);
end component nios_dut_pio_3;
component nios_dut_uart_0 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
begintransfer : in std_logic := 'X'; -- begintransfer
chipselect : in std_logic := 'X'; -- chipselect
read_n : in std_logic := 'X'; -- read_n
write_n : in std_logic := 'X'; -- write_n
writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
readdata : out std_logic_vector(15 downto 0); -- readdata
dataavailable : out std_logic; -- dataavailable
readyfordata : out std_logic; -- readyfordata
rxd : in std_logic := 'X'; -- export
txd : out std_logic; -- export
cts_n : in std_logic := 'X'; -- export
rts_n : out std_logic; -- export
irq : out std_logic -- irq
);
end component nios_dut_uart_0;
component nios_dut_mm_interconnect_0 is
port (
clk_0_clk_clk : in std_logic := 'X'; -- clk
jtag_dut_0_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset
audio_in_dma_mm_write_address : in std_logic_vector(25 downto 0) := (others => 'X'); -- address
audio_in_dma_mm_write_waitrequest : out std_logic; -- waitrequest
audio_in_dma_mm_write_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
audio_in_dma_mm_write_write : in std_logic := 'X'; -- write
audio_in_dma_mm_write_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
audio_out_dma_mm_read_address : in std_logic_vector(25 downto 0) := (others => 'X'); -- address
audio_out_dma_mm_read_waitrequest : out std_logic; -- waitrequest
audio_out_dma_mm_read_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
audio_out_dma_mm_read_read : in std_logic := 'X'; -- read
audio_out_dma_mm_read_readdata : out std_logic_vector(31 downto 0); -- readdata
audio_out_dma_mm_read_readdatavalid : out std_logic; -- readdatavalid
jtag_dut_0_avalon_master_address : in std_logic_vector(31 downto 0) := (others => 'X'); -- address
jtag_dut_0_avalon_master_waitrequest : out std_logic; -- waitrequest
jtag_dut_0_avalon_master_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
jtag_dut_0_avalon_master_read : in std_logic := 'X'; -- read
jtag_dut_0_avalon_master_readdata : out std_logic_vector(31 downto 0); -- readdata
jtag_dut_0_avalon_master_readdatavalid : out std_logic; -- readdatavalid
jtag_dut_0_avalon_master_write : in std_logic := 'X'; -- write
jtag_dut_0_avalon_master_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
nios2_gen2_0_data_master_address : in std_logic_vector(31 downto 0) := (others => 'X'); -- address
nios2_gen2_0_data_master_waitrequest : out std_logic; -- waitrequest
nios2_gen2_0_data_master_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
nios2_gen2_0_data_master_read : in std_logic := 'X'; -- read
nios2_gen2_0_data_master_readdata : out std_logic_vector(31 downto 0); -- readdata
nios2_gen2_0_data_master_write : in std_logic := 'X'; -- write
nios2_gen2_0_data_master_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
nios2_gen2_0_data_master_debugaccess : in std_logic := 'X'; -- debugaccess
nios2_gen2_0_instruction_master_address : in std_logic_vector(29 downto 0) := (others => 'X'); -- address
nios2_gen2_0_instruction_master_waitrequest : out std_logic; -- waitrequest
nios2_gen2_0_instruction_master_read : in std_logic := 'X'; -- read
nios2_gen2_0_instruction_master_readdata : out std_logic_vector(31 downto 0); -- readdata
audio_in_dma_csr_address : out std_logic_vector(2 downto 0); -- address
audio_in_dma_csr_write : out std_logic; -- write
audio_in_dma_csr_read : out std_logic; -- read
audio_in_dma_csr_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
audio_in_dma_csr_writedata : out std_logic_vector(31 downto 0); -- writedata
audio_in_dma_csr_byteenable : out std_logic_vector(3 downto 0); -- byteenable
audio_in_dma_descriptor_slave_write : out std_logic; -- write
audio_in_dma_descriptor_slave_writedata : out std_logic_vector(127 downto 0); -- writedata
audio_in_dma_descriptor_slave_byteenable : out std_logic_vector(15 downto 0); -- byteenable
audio_in_dma_descriptor_slave_waitrequest : in std_logic := 'X'; -- waitrequest
audio_out_dma_csr_address : out std_logic_vector(2 downto 0); -- address
audio_out_dma_csr_write : out std_logic; -- write
audio_out_dma_csr_read : out std_logic; -- read
audio_out_dma_csr_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
audio_out_dma_csr_writedata : out std_logic_vector(31 downto 0); -- writedata
audio_out_dma_csr_byteenable : out std_logic_vector(3 downto 0); -- byteenable
audio_out_dma_descriptor_slave_write : out std_logic; -- write
audio_out_dma_descriptor_slave_writedata : out std_logic_vector(127 downto 0); -- writedata
audio_out_dma_descriptor_slave_byteenable : out std_logic_vector(15 downto 0); -- byteenable
audio_out_dma_descriptor_slave_waitrequest : in std_logic := 'X'; -- waitrequest
avalon2mem_0_avalon_slave_0_address : out std_logic_vector(25 downto 0); -- address
avalon2mem_0_avalon_slave_0_write : out std_logic; -- write
avalon2mem_0_avalon_slave_0_read : out std_logic; -- read
avalon2mem_0_avalon_slave_0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
avalon2mem_0_avalon_slave_0_writedata : out std_logic_vector(31 downto 0); -- writedata
avalon2mem_0_avalon_slave_0_byteenable : out std_logic_vector(3 downto 0); -- byteenable
avalon2mem_0_avalon_slave_0_readdatavalid : in std_logic := 'X'; -- readdatavalid
avalon2mem_0_avalon_slave_0_waitrequest : in std_logic := 'X'; -- waitrequest
io_bridge_0_avalon_slave_0_address : out std_logic_vector(19 downto 0); -- address
io_bridge_0_avalon_slave_0_write : out std_logic; -- write
io_bridge_0_avalon_slave_0_read : out std_logic; -- read
io_bridge_0_avalon_slave_0_readdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- readdata
io_bridge_0_avalon_slave_0_writedata : out std_logic_vector(7 downto 0); -- writedata
io_bridge_0_avalon_slave_0_readdatavalid : in std_logic := 'X'; -- readdatavalid
io_bridge_0_avalon_slave_0_waitrequest : in std_logic := 'X'; -- waitrequest
io_bridge_1_avalon_slave_0_address : out std_logic_vector(19 downto 0); -- address
io_bridge_1_avalon_slave_0_write : out std_logic; -- write
io_bridge_1_avalon_slave_0_read : out std_logic; -- read
io_bridge_1_avalon_slave_0_readdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- readdata
io_bridge_1_avalon_slave_0_writedata : out std_logic_vector(7 downto 0); -- writedata
io_bridge_1_avalon_slave_0_readdatavalid : in std_logic := 'X'; -- readdatavalid
io_bridge_1_avalon_slave_0_waitrequest : in std_logic := 'X'; -- waitrequest
nios2_gen2_0_debug_mem_slave_address : out std_logic_vector(8 downto 0); -- address
nios2_gen2_0_debug_mem_slave_write : out std_logic; -- write
nios2_gen2_0_debug_mem_slave_read : out std_logic; -- read
nios2_gen2_0_debug_mem_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
nios2_gen2_0_debug_mem_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
nios2_gen2_0_debug_mem_slave_byteenable : out std_logic_vector(3 downto 0); -- byteenable
nios2_gen2_0_debug_mem_slave_waitrequest : in std_logic := 'X'; -- waitrequest
nios2_gen2_0_debug_mem_slave_debugaccess : out std_logic; -- debugaccess
onchip_memory2_0_s1_address : out std_logic_vector(10 downto 0); -- address
onchip_memory2_0_s1_write : out std_logic; -- write
onchip_memory2_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
onchip_memory2_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata
onchip_memory2_0_s1_byteenable : out std_logic_vector(3 downto 0); -- byteenable
onchip_memory2_0_s1_chipselect : out std_logic; -- chipselect
onchip_memory2_0_s1_clken : out std_logic; -- clken
pio_0_s1_address : out std_logic_vector(1 downto 0); -- address
pio_0_s1_write : out std_logic; -- write
pio_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
pio_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata
pio_0_s1_chipselect : out std_logic; -- chipselect
pio_1_s1_address : out std_logic_vector(2 downto 0); -- address
pio_1_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
pio_2_s1_address : out std_logic_vector(1 downto 0); -- address
pio_2_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
pio_3_s1_address : out std_logic_vector(2 downto 0); -- address
pio_3_s1_write : out std_logic; -- write
pio_3_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
pio_3_s1_writedata : out std_logic_vector(31 downto 0); -- writedata
pio_3_s1_chipselect : out std_logic; -- chipselect
uart_0_s1_address : out std_logic_vector(2 downto 0); -- address
uart_0_s1_write : out std_logic; -- write
uart_0_s1_read : out std_logic; -- read
uart_0_s1_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
uart_0_s1_writedata : out std_logic_vector(15 downto 0); -- writedata
uart_0_s1_begintransfer : out std_logic; -- begintransfer
uart_0_s1_chipselect : out std_logic -- chipselect
);
end component nios_dut_mm_interconnect_0;
component nios_dut_irq_mapper is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
receiver0_irq : in std_logic := 'X'; -- irq
receiver1_irq : in std_logic := 'X'; -- irq
receiver2_irq : in std_logic := 'X'; -- irq
receiver3_irq : in std_logic := 'X'; -- irq
receiver4_irq : in std_logic := 'X'; -- irq
receiver5_irq : in std_logic := 'X'; -- irq
sender_irq : out std_logic_vector(31 downto 0) -- irq
);
end component nios_dut_irq_mapper;
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
signal jtag_dut_0_avalon_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:jtag_dut_0_avalon_master_readdata -> jtag_dut_0:avm_readdata
signal jtag_dut_0_avalon_master_waitrequest : std_logic; -- mm_interconnect_0:jtag_dut_0_avalon_master_waitrequest -> jtag_dut_0:avm_waitrequest
signal jtag_dut_0_avalon_master_read : std_logic; -- jtag_dut_0:avm_read -> mm_interconnect_0:jtag_dut_0_avalon_master_read
signal jtag_dut_0_avalon_master_byteenable : std_logic_vector(3 downto 0); -- jtag_dut_0:avm_byteenable -> mm_interconnect_0:jtag_dut_0_avalon_master_byteenable
signal jtag_dut_0_avalon_master_address : std_logic_vector(31 downto 0); -- jtag_dut_0:avm_address -> mm_interconnect_0:jtag_dut_0_avalon_master_address
signal jtag_dut_0_avalon_master_readdatavalid : std_logic; -- mm_interconnect_0:jtag_dut_0_avalon_master_readdatavalid -> jtag_dut_0:avm_readdatavalid
signal jtag_dut_0_avalon_master_write : std_logic; -- jtag_dut_0:avm_write -> mm_interconnect_0:jtag_dut_0_avalon_master_write
signal jtag_dut_0_avalon_master_writedata : std_logic_vector(31 downto 0); -- jtag_dut_0:avm_writedata -> mm_interconnect_0:jtag_dut_0_avalon_master_writedata
signal nios2_gen2_0_data_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_data_master_readdata -> nios2_gen2_0:d_readdata
signal nios2_gen2_0_data_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_data_master_waitrequest -> nios2_gen2_0:d_waitrequest
signal nios2_gen2_0_data_master_debugaccess : std_logic; -- nios2_gen2_0:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_gen2_0_data_master_debugaccess
signal nios2_gen2_0_data_master_address : std_logic_vector(31 downto 0); -- nios2_gen2_0:d_address -> mm_interconnect_0:nios2_gen2_0_data_master_address
signal nios2_gen2_0_data_master_byteenable : std_logic_vector(3 downto 0); -- nios2_gen2_0:d_byteenable -> mm_interconnect_0:nios2_gen2_0_data_master_byteenable
signal nios2_gen2_0_data_master_read : std_logic; -- nios2_gen2_0:d_read -> mm_interconnect_0:nios2_gen2_0_data_master_read
signal nios2_gen2_0_data_master_write : std_logic; -- nios2_gen2_0:d_write -> mm_interconnect_0:nios2_gen2_0_data_master_write
signal nios2_gen2_0_data_master_writedata : std_logic_vector(31 downto 0); -- nios2_gen2_0:d_writedata -> mm_interconnect_0:nios2_gen2_0_data_master_writedata
signal nios2_gen2_0_instruction_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_instruction_master_readdata -> nios2_gen2_0:i_readdata
signal nios2_gen2_0_instruction_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_instruction_master_waitrequest -> nios2_gen2_0:i_waitrequest
signal nios2_gen2_0_instruction_master_address : std_logic_vector(29 downto 0); -- nios2_gen2_0:i_address -> mm_interconnect_0:nios2_gen2_0_instruction_master_address
signal nios2_gen2_0_instruction_master_read : std_logic; -- nios2_gen2_0:i_read -> mm_interconnect_0:nios2_gen2_0_instruction_master_read
signal audio_out_dma_mm_read_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:audio_out_dma_mm_read_readdata -> audio_out_dma:mm_read_readdata
signal audio_out_dma_mm_read_waitrequest : std_logic; -- mm_interconnect_0:audio_out_dma_mm_read_waitrequest -> audio_out_dma:mm_read_waitrequest
signal audio_out_dma_mm_read_address : std_logic_vector(25 downto 0); -- audio_out_dma:mm_read_address -> mm_interconnect_0:audio_out_dma_mm_read_address
signal audio_out_dma_mm_read_read : std_logic; -- audio_out_dma:mm_read_read -> mm_interconnect_0:audio_out_dma_mm_read_read
signal audio_out_dma_mm_read_byteenable : std_logic_vector(3 downto 0); -- audio_out_dma:mm_read_byteenable -> mm_interconnect_0:audio_out_dma_mm_read_byteenable
signal audio_out_dma_mm_read_readdatavalid : std_logic; -- mm_interconnect_0:audio_out_dma_mm_read_readdatavalid -> audio_out_dma:mm_read_readdatavalid
signal audio_in_dma_mm_write_waitrequest : std_logic; -- mm_interconnect_0:audio_in_dma_mm_write_waitrequest -> audio_in_dma:mm_write_waitrequest
signal audio_in_dma_mm_write_address : std_logic_vector(25 downto 0); -- audio_in_dma:mm_write_address -> mm_interconnect_0:audio_in_dma_mm_write_address
signal audio_in_dma_mm_write_byteenable : std_logic_vector(3 downto 0); -- audio_in_dma:mm_write_byteenable -> mm_interconnect_0:audio_in_dma_mm_write_byteenable
signal audio_in_dma_mm_write_write : std_logic; -- audio_in_dma:mm_write_write -> mm_interconnect_0:audio_in_dma_mm_write_write
signal audio_in_dma_mm_write_writedata : std_logic_vector(31 downto 0); -- audio_in_dma:mm_write_writedata -> mm_interconnect_0:audio_in_dma_mm_write_writedata
signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdata : std_logic_vector(31 downto 0); -- avalon2mem_0:avs_readdata -> mm_interconnect_0:avalon2mem_0_avalon_slave_0_readdata
signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_waitrequest : std_logic; -- avalon2mem_0:avs_waitrequest -> mm_interconnect_0:avalon2mem_0_avalon_slave_0_waitrequest
signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_address : std_logic_vector(25 downto 0); -- mm_interconnect_0:avalon2mem_0_avalon_slave_0_address -> avalon2mem_0:avs_address
signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_read : std_logic; -- mm_interconnect_0:avalon2mem_0_avalon_slave_0_read -> avalon2mem_0:avs_read
signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:avalon2mem_0_avalon_slave_0_byteenable -> avalon2mem_0:avs_byteenable
signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdatavalid : std_logic; -- avalon2mem_0:avs_readdatavalid -> mm_interconnect_0:avalon2mem_0_avalon_slave_0_readdatavalid
signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_write : std_logic; -- mm_interconnect_0:avalon2mem_0_avalon_slave_0_write -> avalon2mem_0:avs_write
signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:avalon2mem_0_avalon_slave_0_writedata -> avalon2mem_0:avs_writedata
signal mm_interconnect_0_onchip_memory2_0_s1_chipselect : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_chipselect -> onchip_memory2_0:chipselect
signal mm_interconnect_0_onchip_memory2_0_s1_readdata : std_logic_vector(31 downto 0); -- onchip_memory2_0:readdata -> mm_interconnect_0:onchip_memory2_0_s1_readdata
signal mm_interconnect_0_onchip_memory2_0_s1_address : std_logic_vector(10 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_address -> onchip_memory2_0:address
signal mm_interconnect_0_onchip_memory2_0_s1_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_byteenable -> onchip_memory2_0:byteenable
signal mm_interconnect_0_onchip_memory2_0_s1_write : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_write -> onchip_memory2_0:write
signal mm_interconnect_0_onchip_memory2_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_writedata -> onchip_memory2_0:writedata
signal mm_interconnect_0_onchip_memory2_0_s1_clken : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_clken -> onchip_memory2_0:clken
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata : std_logic_vector(31 downto 0); -- nios2_gen2_0:debug_mem_slave_readdata -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_readdata
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest : std_logic; -- nios2_gen2_0:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_waitrequest
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_debugaccess -> nios2_gen2_0:debug_mem_slave_debugaccess
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address : std_logic_vector(8 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_address -> nios2_gen2_0:debug_mem_slave_address
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_read -> nios2_gen2_0:debug_mem_slave_read
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_byteenable -> nios2_gen2_0:debug_mem_slave_byteenable
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_write -> nios2_gen2_0:debug_mem_slave_write
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_writedata -> nios2_gen2_0:debug_mem_slave_writedata
signal mm_interconnect_0_io_bridge_1_avalon_slave_0_readdata : std_logic_vector(7 downto 0); -- io_bridge_1:avs_readdata -> mm_interconnect_0:io_bridge_1_avalon_slave_0_readdata
signal io_bridge_1_avalon_slave_0_waitrequest : std_logic; -- io_bridge_1:avs_ready -> io_bridge_1_avalon_slave_0_waitrequest:in
signal mm_interconnect_0_io_bridge_1_avalon_slave_0_address : std_logic_vector(19 downto 0); -- mm_interconnect_0:io_bridge_1_avalon_slave_0_address -> io_bridge_1:avs_address
signal mm_interconnect_0_io_bridge_1_avalon_slave_0_read : std_logic; -- mm_interconnect_0:io_bridge_1_avalon_slave_0_read -> io_bridge_1:avs_read
signal mm_interconnect_0_io_bridge_1_avalon_slave_0_readdatavalid : std_logic; -- io_bridge_1:avs_readdatavalid -> mm_interconnect_0:io_bridge_1_avalon_slave_0_readdatavalid
signal mm_interconnect_0_io_bridge_1_avalon_slave_0_write : std_logic; -- mm_interconnect_0:io_bridge_1_avalon_slave_0_write -> io_bridge_1:avs_write
signal mm_interconnect_0_io_bridge_1_avalon_slave_0_writedata : std_logic_vector(7 downto 0); -- mm_interconnect_0:io_bridge_1_avalon_slave_0_writedata -> io_bridge_1:avs_writedata
signal mm_interconnect_0_io_bridge_0_avalon_slave_0_readdata : std_logic_vector(7 downto 0); -- io_bridge_0:avs_readdata -> mm_interconnect_0:io_bridge_0_avalon_slave_0_readdata
signal io_bridge_0_avalon_slave_0_waitrequest : std_logic; -- io_bridge_0:avs_ready -> io_bridge_0_avalon_slave_0_waitrequest:in
signal mm_interconnect_0_io_bridge_0_avalon_slave_0_address : std_logic_vector(19 downto 0); -- mm_interconnect_0:io_bridge_0_avalon_slave_0_address -> io_bridge_0:avs_address
signal mm_interconnect_0_io_bridge_0_avalon_slave_0_read : std_logic; -- mm_interconnect_0:io_bridge_0_avalon_slave_0_read -> io_bridge_0:avs_read
signal mm_interconnect_0_io_bridge_0_avalon_slave_0_readdatavalid : std_logic; -- io_bridge_0:avs_readdatavalid -> mm_interconnect_0:io_bridge_0_avalon_slave_0_readdatavalid
signal mm_interconnect_0_io_bridge_0_avalon_slave_0_write : std_logic; -- mm_interconnect_0:io_bridge_0_avalon_slave_0_write -> io_bridge_0:avs_write
signal mm_interconnect_0_io_bridge_0_avalon_slave_0_writedata : std_logic_vector(7 downto 0); -- mm_interconnect_0:io_bridge_0_avalon_slave_0_writedata -> io_bridge_0:avs_writedata
signal mm_interconnect_0_audio_out_dma_csr_readdata : std_logic_vector(31 downto 0); -- audio_out_dma:csr_readdata -> mm_interconnect_0:audio_out_dma_csr_readdata
signal mm_interconnect_0_audio_out_dma_csr_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:audio_out_dma_csr_address -> audio_out_dma:csr_address
signal mm_interconnect_0_audio_out_dma_csr_read : std_logic; -- mm_interconnect_0:audio_out_dma_csr_read -> audio_out_dma:csr_read
signal mm_interconnect_0_audio_out_dma_csr_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:audio_out_dma_csr_byteenable -> audio_out_dma:csr_byteenable
signal mm_interconnect_0_audio_out_dma_csr_write : std_logic; -- mm_interconnect_0:audio_out_dma_csr_write -> audio_out_dma:csr_write
signal mm_interconnect_0_audio_out_dma_csr_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:audio_out_dma_csr_writedata -> audio_out_dma:csr_writedata
signal mm_interconnect_0_audio_in_dma_csr_readdata : std_logic_vector(31 downto 0); -- audio_in_dma:csr_readdata -> mm_interconnect_0:audio_in_dma_csr_readdata
signal mm_interconnect_0_audio_in_dma_csr_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:audio_in_dma_csr_address -> audio_in_dma:csr_address
signal mm_interconnect_0_audio_in_dma_csr_read : std_logic; -- mm_interconnect_0:audio_in_dma_csr_read -> audio_in_dma:csr_read
signal mm_interconnect_0_audio_in_dma_csr_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:audio_in_dma_csr_byteenable -> audio_in_dma:csr_byteenable
signal mm_interconnect_0_audio_in_dma_csr_write : std_logic; -- mm_interconnect_0:audio_in_dma_csr_write -> audio_in_dma:csr_write
signal mm_interconnect_0_audio_in_dma_csr_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:audio_in_dma_csr_writedata -> audio_in_dma:csr_writedata
signal mm_interconnect_0_audio_out_dma_descriptor_slave_waitrequest : std_logic; -- audio_out_dma:descriptor_slave_waitrequest -> mm_interconnect_0:audio_out_dma_descriptor_slave_waitrequest
signal mm_interconnect_0_audio_out_dma_descriptor_slave_byteenable : std_logic_vector(15 downto 0); -- mm_interconnect_0:audio_out_dma_descriptor_slave_byteenable -> audio_out_dma:descriptor_slave_byteenable
signal mm_interconnect_0_audio_out_dma_descriptor_slave_write : std_logic; -- mm_interconnect_0:audio_out_dma_descriptor_slave_write -> audio_out_dma:descriptor_slave_write
signal mm_interconnect_0_audio_out_dma_descriptor_slave_writedata : std_logic_vector(127 downto 0); -- mm_interconnect_0:audio_out_dma_descriptor_slave_writedata -> audio_out_dma:descriptor_slave_writedata
signal mm_interconnect_0_audio_in_dma_descriptor_slave_waitrequest : std_logic; -- audio_in_dma:descriptor_slave_waitrequest -> mm_interconnect_0:audio_in_dma_descriptor_slave_waitrequest
signal mm_interconnect_0_audio_in_dma_descriptor_slave_byteenable : std_logic_vector(15 downto 0); -- mm_interconnect_0:audio_in_dma_descriptor_slave_byteenable -> audio_in_dma:descriptor_slave_byteenable
signal mm_interconnect_0_audio_in_dma_descriptor_slave_write : std_logic; -- mm_interconnect_0:audio_in_dma_descriptor_slave_write -> audio_in_dma:descriptor_slave_write
signal mm_interconnect_0_audio_in_dma_descriptor_slave_writedata : std_logic_vector(127 downto 0); -- mm_interconnect_0:audio_in_dma_descriptor_slave_writedata -> audio_in_dma:descriptor_slave_writedata
signal mm_interconnect_0_pio_0_s1_chipselect : std_logic; -- mm_interconnect_0:pio_0_s1_chipselect -> pio_0:chipselect
signal mm_interconnect_0_pio_0_s1_readdata : std_logic_vector(31 downto 0); -- pio_0:readdata -> mm_interconnect_0:pio_0_s1_readdata
signal mm_interconnect_0_pio_0_s1_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:pio_0_s1_address -> pio_0:address
signal mm_interconnect_0_pio_0_s1_write : std_logic; -- mm_interconnect_0:pio_0_s1_write -> mm_interconnect_0_pio_0_s1_write:in
signal mm_interconnect_0_pio_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_0_s1_writedata -> pio_0:writedata
signal mm_interconnect_0_uart_0_s1_chipselect : std_logic; -- mm_interconnect_0:uart_0_s1_chipselect -> uart_0:chipselect
signal mm_interconnect_0_uart_0_s1_readdata : std_logic_vector(15 downto 0); -- uart_0:readdata -> mm_interconnect_0:uart_0_s1_readdata
signal mm_interconnect_0_uart_0_s1_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:uart_0_s1_address -> uart_0:address
signal mm_interconnect_0_uart_0_s1_read : std_logic; -- mm_interconnect_0:uart_0_s1_read -> mm_interconnect_0_uart_0_s1_read:in
signal mm_interconnect_0_uart_0_s1_begintransfer : std_logic; -- mm_interconnect_0:uart_0_s1_begintransfer -> uart_0:begintransfer
signal mm_interconnect_0_uart_0_s1_write : std_logic; -- mm_interconnect_0:uart_0_s1_write -> mm_interconnect_0_uart_0_s1_write:in
signal mm_interconnect_0_uart_0_s1_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:uart_0_s1_writedata -> uart_0:writedata
signal mm_interconnect_0_pio_1_s1_readdata : std_logic_vector(31 downto 0); -- pio_1:readdata -> mm_interconnect_0:pio_1_s1_readdata
signal mm_interconnect_0_pio_1_s1_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:pio_1_s1_address -> pio_1:address
signal mm_interconnect_0_pio_2_s1_readdata : std_logic_vector(31 downto 0); -- pio_2:readdata -> mm_interconnect_0:pio_2_s1_readdata
signal mm_interconnect_0_pio_2_s1_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:pio_2_s1_address -> pio_2:address
signal mm_interconnect_0_pio_3_s1_chipselect : std_logic; -- mm_interconnect_0:pio_3_s1_chipselect -> pio_3:chipselect
signal mm_interconnect_0_pio_3_s1_readdata : std_logic_vector(31 downto 0); -- pio_3:readdata -> mm_interconnect_0:pio_3_s1_readdata
signal mm_interconnect_0_pio_3_s1_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:pio_3_s1_address -> pio_3:address
signal mm_interconnect_0_pio_3_s1_write : std_logic; -- mm_interconnect_0:pio_3_s1_write -> mm_interconnect_0_pio_3_s1_write:in
signal mm_interconnect_0_pio_3_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_3_s1_writedata -> pio_3:writedata
signal irq_mapper_receiver0_irq : std_logic; -- audio_out_dma:csr_irq_irq -> irq_mapper:receiver0_irq
signal irq_mapper_receiver1_irq : std_logic; -- audio_in_dma:csr_irq_irq -> irq_mapper:receiver1_irq
signal irq_mapper_receiver2_irq : std_logic; -- io_bridge_1:avs_irq -> irq_mapper:receiver2_irq
signal irq_mapper_receiver3_irq : std_logic; -- pio_0:irq -> irq_mapper:receiver3_irq
signal irq_mapper_receiver4_irq : std_logic; -- uart_0:irq -> irq_mapper:receiver4_irq
signal irq_mapper_receiver5_irq : std_logic; -- io_bridge_0:avs_irq -> irq_mapper:receiver5_irq
signal nios2_gen2_0_irq_irq : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2_gen2_0:irq
signal rst_controller_reset_out_reset : std_logic; -- rst_controller:reset_out -> [avalon2mem_0:reset, io_bridge_0:reset, io_bridge_1:reset, irq_mapper:reset, jtag_dut_0:avm_reset, mm_interconnect_0:jtag_dut_0_reset_reset_bridge_in_reset_reset, onchip_memory2_0:reset, rst_controller_reset_out_reset:in, rst_translator:in_reset]
signal rst_controller_reset_out_reset_req : std_logic; -- rst_controller:reset_req -> [nios2_gen2_0:reset_req, onchip_memory2_0:reset_req, rst_translator:reset_req_in]
signal sys_reset_reset_n_ports_inv : std_logic; -- sys_reset_reset_n:inv -> rst_controller:reset_in0
signal mm_interconnect_0_io_bridge_1_avalon_slave_0_inv : std_logic; -- io_bridge_1_avalon_slave_0_waitrequest:inv -> mm_interconnect_0:io_bridge_1_avalon_slave_0_waitrequest
signal mm_interconnect_0_io_bridge_0_avalon_slave_0_inv : std_logic; -- io_bridge_0_avalon_slave_0_waitrequest:inv -> mm_interconnect_0:io_bridge_0_avalon_slave_0_waitrequest
signal mm_interconnect_0_pio_0_s1_write_ports_inv : std_logic; -- mm_interconnect_0_pio_0_s1_write:inv -> pio_0:write_n
signal mm_interconnect_0_uart_0_s1_read_ports_inv : std_logic; -- mm_interconnect_0_uart_0_s1_read:inv -> uart_0:read_n
signal mm_interconnect_0_uart_0_s1_write_ports_inv : std_logic; -- mm_interconnect_0_uart_0_s1_write:inv -> uart_0:write_n
signal mm_interconnect_0_pio_3_s1_write_ports_inv : std_logic; -- mm_interconnect_0_pio_3_s1_write:inv -> pio_3:write_n
signal rst_controller_reset_out_reset_ports_inv : std_logic; -- rst_controller_reset_out_reset:inv -> [audio_in_dma:reset_n_reset_n, audio_out_dma:reset_n_reset_n, nios2_gen2_0:reset_n, pio_0:reset_n, pio_1:reset_n, pio_2:reset_n, pio_3:reset_n, uart_0:reset_n]
begin
audio_in_dma : component nios_dut_audio_in_dma
port map (
mm_write_address => audio_in_dma_mm_write_address, -- mm_write.address
mm_write_write => audio_in_dma_mm_write_write, -- .write
mm_write_byteenable => audio_in_dma_mm_write_byteenable, -- .byteenable
mm_write_writedata => audio_in_dma_mm_write_writedata, -- .writedata
mm_write_waitrequest => audio_in_dma_mm_write_waitrequest, -- .waitrequest
clock_clk => sys_clock_clk, -- clock.clk
reset_n_reset_n => rst_controller_reset_out_reset_ports_inv, -- reset_n.reset_n
csr_writedata => mm_interconnect_0_audio_in_dma_csr_writedata, -- csr.writedata
csr_write => mm_interconnect_0_audio_in_dma_csr_write, -- .write
csr_byteenable => mm_interconnect_0_audio_in_dma_csr_byteenable, -- .byteenable
csr_readdata => mm_interconnect_0_audio_in_dma_csr_readdata, -- .readdata
csr_read => mm_interconnect_0_audio_in_dma_csr_read, -- .read
csr_address => mm_interconnect_0_audio_in_dma_csr_address, -- .address
descriptor_slave_write => mm_interconnect_0_audio_in_dma_descriptor_slave_write, -- descriptor_slave.write
descriptor_slave_waitrequest => mm_interconnect_0_audio_in_dma_descriptor_slave_waitrequest, -- .waitrequest
descriptor_slave_writedata => mm_interconnect_0_audio_in_dma_descriptor_slave_writedata, -- .writedata
descriptor_slave_byteenable => mm_interconnect_0_audio_in_dma_descriptor_slave_byteenable, -- .byteenable
csr_irq_irq => irq_mapper_receiver1_irq, -- csr_irq.irq
st_sink_data => audio_in_data, -- st_sink.data
st_sink_valid => audio_in_valid, -- .valid
st_sink_ready => audio_in_ready -- .ready
);
audio_out_dma : component nios_dut_audio_out_dma
port map (
mm_read_address => audio_out_dma_mm_read_address, -- mm_read.address
mm_read_read => audio_out_dma_mm_read_read, -- .read
mm_read_byteenable => audio_out_dma_mm_read_byteenable, -- .byteenable
mm_read_readdata => audio_out_dma_mm_read_readdata, -- .readdata
mm_read_waitrequest => audio_out_dma_mm_read_waitrequest, -- .waitrequest
mm_read_readdatavalid => audio_out_dma_mm_read_readdatavalid, -- .readdatavalid
clock_clk => sys_clock_clk, -- clock.clk
reset_n_reset_n => rst_controller_reset_out_reset_ports_inv, -- reset_n.reset_n
csr_writedata => mm_interconnect_0_audio_out_dma_csr_writedata, -- csr.writedata
csr_write => mm_interconnect_0_audio_out_dma_csr_write, -- .write
csr_byteenable => mm_interconnect_0_audio_out_dma_csr_byteenable, -- .byteenable
csr_readdata => mm_interconnect_0_audio_out_dma_csr_readdata, -- .readdata
csr_read => mm_interconnect_0_audio_out_dma_csr_read, -- .read
csr_address => mm_interconnect_0_audio_out_dma_csr_address, -- .address
descriptor_slave_write => mm_interconnect_0_audio_out_dma_descriptor_slave_write, -- descriptor_slave.write
descriptor_slave_waitrequest => mm_interconnect_0_audio_out_dma_descriptor_slave_waitrequest, -- .waitrequest
descriptor_slave_writedata => mm_interconnect_0_audio_out_dma_descriptor_slave_writedata, -- .writedata
descriptor_slave_byteenable => mm_interconnect_0_audio_out_dma_descriptor_slave_byteenable, -- .byteenable
csr_irq_irq => irq_mapper_receiver0_irq, -- csr_irq.irq
st_source_data => audio_out_data, -- st_source.data
st_source_valid => audio_out_valid, -- .valid
st_source_ready => audio_out_ready -- .ready
);
avalon2mem_0 : component avalon_to_mem32_bridge
generic map (
g_tag => "01011011"
)
port map (
reset => rst_controller_reset_out_reset, -- reset.reset
avs_read => mm_interconnect_0_avalon2mem_0_avalon_slave_0_read, -- avalon_slave_0.read
avs_write => mm_interconnect_0_avalon2mem_0_avalon_slave_0_write, -- .write
avs_address => mm_interconnect_0_avalon2mem_0_avalon_slave_0_address, -- .address
avs_writedata => mm_interconnect_0_avalon2mem_0_avalon_slave_0_writedata, -- .writedata
avs_byteenable => mm_interconnect_0_avalon2mem_0_avalon_slave_0_byteenable, -- .byteenable
avs_waitrequest => mm_interconnect_0_avalon2mem_0_avalon_slave_0_waitrequest, -- .waitrequest
avs_readdata => mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdata, -- .readdata
avs_readdatavalid => mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdatavalid, -- .readdatavalid
clock => sys_clock_clk, -- clock.clk
mem_req_address => mem_mem_req_address, -- mem.mem_req_address
mem_req_byte_en => mem_mem_req_byte_en, -- .mem_req_byte_en
mem_req_read_writen => mem_mem_req_read_writen, -- .mem_req_read_writen
mem_req_request => mem_mem_req_request, -- .mem_req_request
mem_req_tag => mem_mem_req_tag, -- .mem_req_tag
mem_req_wdata => mem_mem_req_wdata, -- .mem_req_wdata
mem_resp_dack_tag => mem_mem_resp_dack_tag, -- .mem_resp_dack_tag
mem_resp_data => mem_mem_resp_data, -- .mem_resp_data
mem_resp_rack_tag => mem_mem_resp_rack_tag -- .mem_resp_rack_tag
);
io_bridge_0 : component avalon_to_io_bridge
port map (
reset => rst_controller_reset_out_reset, -- reset.reset
avs_read => mm_interconnect_0_io_bridge_0_avalon_slave_0_read, -- avalon_slave_0.read
avs_write => mm_interconnect_0_io_bridge_0_avalon_slave_0_write, -- .write
avs_address => mm_interconnect_0_io_bridge_0_avalon_slave_0_address, -- .address
avs_writedata => mm_interconnect_0_io_bridge_0_avalon_slave_0_writedata, -- .writedata
avs_ready => io_bridge_0_avalon_slave_0_waitrequest, -- .waitrequest_n
avs_readdata => mm_interconnect_0_io_bridge_0_avalon_slave_0_readdata, -- .readdata
avs_readdatavalid => mm_interconnect_0_io_bridge_0_avalon_slave_0_readdatavalid, -- .readdatavalid
clock => sys_clock_clk, -- clock.clk
io_ack => io_ack, -- io.ack
io_rdata => io_rdata, -- .rdata
io_read => io_read, -- .read
io_wdata => io_wdata, -- .wdata
io_write => io_write, -- .write
io_address => io_address, -- .address
io_irq => io_irq, -- .irq
avs_irq => irq_mapper_receiver5_irq -- irq.irq
);
io_bridge_1 : component avalon_to_io_bridge
port map (
reset => rst_controller_reset_out_reset, -- reset.reset
avs_read => mm_interconnect_0_io_bridge_1_avalon_slave_0_read, -- avalon_slave_0.read
avs_write => mm_interconnect_0_io_bridge_1_avalon_slave_0_write, -- .write
avs_address => mm_interconnect_0_io_bridge_1_avalon_slave_0_address, -- .address
avs_writedata => mm_interconnect_0_io_bridge_1_avalon_slave_0_writedata, -- .writedata
avs_ready => io_bridge_1_avalon_slave_0_waitrequest, -- .waitrequest_n
avs_readdata => mm_interconnect_0_io_bridge_1_avalon_slave_0_readdata, -- .readdata
avs_readdatavalid => mm_interconnect_0_io_bridge_1_avalon_slave_0_readdatavalid, -- .readdatavalid
clock => sys_clock_clk, -- clock.clk
io_ack => io_u2p_ack, -- io.ack
io_rdata => io_u2p_rdata, -- .rdata
io_read => io_u2p_read, -- .read
io_wdata => io_u2p_wdata, -- .wdata
io_write => io_u2p_write, -- .write
io_address => io_u2p_address, -- .address
io_irq => io_u2p_irq, -- .irq
avs_irq => irq_mapper_receiver2_irq -- irq.irq
);
jtag_dut_0 : component jtag_client
port map (
avm_clock => sys_clock_clk, -- clock.clk
avm_reset => rst_controller_reset_out_reset, -- reset.reset
sample_vector => jtag_io_input_vector, -- io.input_vector
write_vector => jtag_io_output_vector, -- .output_vector
avm_read => jtag_dut_0_avalon_master_read, -- avalon_master.read
avm_write => jtag_dut_0_avalon_master_write, -- .write
avm_byteenable => jtag_dut_0_avalon_master_byteenable, -- .byteenable
avm_address => jtag_dut_0_avalon_master_address, -- .address
avm_writedata => jtag_dut_0_avalon_master_writedata, -- .writedata
avm_readdata => jtag_dut_0_avalon_master_readdata, -- .readdata
avm_readdatavalid => jtag_dut_0_avalon_master_readdatavalid, -- .readdatavalid
avm_waitrequest => jtag_dut_0_avalon_master_waitrequest, -- .waitrequest
clock_1 => jtag_test_clocks_clock_1, -- test_clocks.clock_1
clock_2 => jtag_test_clocks_clock_2 -- .clock_2
);
nios2_gen2_0 : component nios_dut_nios2_gen2_0
port map (
clk => sys_clock_clk, -- clk.clk
reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
reset_req => rst_controller_reset_out_reset_req, -- .reset_req
d_address => nios2_gen2_0_data_master_address, -- data_master.address
d_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable
d_read => nios2_gen2_0_data_master_read, -- .read
d_readdata => nios2_gen2_0_data_master_readdata, -- .readdata
d_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest
d_write => nios2_gen2_0_data_master_write, -- .write
d_writedata => nios2_gen2_0_data_master_writedata, -- .writedata
debug_mem_slave_debugaccess_to_roms => nios2_gen2_0_data_master_debugaccess, -- .debugaccess
i_address => nios2_gen2_0_instruction_master_address, -- instruction_master.address
i_read => nios2_gen2_0_instruction_master_read, -- .read
i_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata
i_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest
irq => nios2_gen2_0_irq_irq, -- irq.irq
debug_reset_request => open, -- debug_reset_request.reset
debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- debug_mem_slave.address
debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable
debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess
debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read
debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata
debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest
debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write
debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata
dummy_ci_port => open -- custom_instruction_master.readra
);
onchip_memory2_0 : component nios_dut_onchip_memory2_0
port map (
clk => sys_clock_clk, -- clk1.clk
address => mm_interconnect_0_onchip_memory2_0_s1_address, -- s1.address
clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken
chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect
write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write
readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata
writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata
byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable
reset => rst_controller_reset_out_reset, -- reset1.reset
reset_req => rst_controller_reset_out_reset_req -- .reset_req
);
pio_0 : component nios_dut_pio_0
port map (
clk => sys_clock_clk, -- clk.clk
reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
address => mm_interconnect_0_pio_0_s1_address, -- s1.address
write_n => mm_interconnect_0_pio_0_s1_write_ports_inv, -- .write_n
writedata => mm_interconnect_0_pio_0_s1_writedata, -- .writedata
chipselect => mm_interconnect_0_pio_0_s1_chipselect, -- .chipselect
readdata => mm_interconnect_0_pio_0_s1_readdata, -- .readdata
in_port => dummy_export, -- external_connection.export
irq => irq_mapper_receiver3_irq -- irq.irq
);
pio_1 : component nios_dut_pio_1
port map (
clk => sys_clock_clk, -- clk.clk
reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
address => mm_interconnect_0_pio_1_s1_address, -- s1.address
readdata => mm_interconnect_0_pio_1_s1_readdata, -- .readdata
in_port => pio1_export -- external_connection.export
);
pio_2 : component nios_dut_pio_2
port map (
clk => sys_clock_clk, -- clk.clk
reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
address => mm_interconnect_0_pio_2_s1_address, -- s1.address
readdata => mm_interconnect_0_pio_2_s1_readdata, -- .readdata
in_port => pio2_export -- external_connection.export
);
pio_3 : component nios_dut_pio_3
port map (
clk => sys_clock_clk, -- clk.clk
reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
address => mm_interconnect_0_pio_3_s1_address, -- s1.address
write_n => mm_interconnect_0_pio_3_s1_write_ports_inv, -- .write_n
writedata => mm_interconnect_0_pio_3_s1_writedata, -- .writedata
chipselect => mm_interconnect_0_pio_3_s1_chipselect, -- .chipselect
readdata => mm_interconnect_0_pio_3_s1_readdata, -- .readdata
out_port => pio3_export -- external_connection.export
);
uart_0 : component nios_dut_uart_0
port map (
clk => sys_clock_clk, -- clk.clk
reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
address => mm_interconnect_0_uart_0_s1_address, -- s1.address
begintransfer => mm_interconnect_0_uart_0_s1_begintransfer, -- .begintransfer
chipselect => mm_interconnect_0_uart_0_s1_chipselect, -- .chipselect
read_n => mm_interconnect_0_uart_0_s1_read_ports_inv, -- .read_n
write_n => mm_interconnect_0_uart_0_s1_write_ports_inv, -- .write_n
writedata => mm_interconnect_0_uart_0_s1_writedata, -- .writedata
readdata => mm_interconnect_0_uart_0_s1_readdata, -- .readdata
dataavailable => open, -- .dataavailable
readyfordata => open, -- .readyfordata
rxd => uart_rxd, -- external_connection.export
txd => uart_txd, -- .export
cts_n => uart_cts_n, -- .export
rts_n => uart_rts_n, -- .export
irq => irq_mapper_receiver4_irq -- irq.irq
);
mm_interconnect_0 : component nios_dut_mm_interconnect_0
port map (
clk_0_clk_clk => sys_clock_clk, -- clk_0_clk.clk
jtag_dut_0_reset_reset_bridge_in_reset_reset => rst_controller_reset_out_reset, -- jtag_dut_0_reset_reset_bridge_in_reset.reset
audio_in_dma_mm_write_address => audio_in_dma_mm_write_address, -- audio_in_dma_mm_write.address
audio_in_dma_mm_write_waitrequest => audio_in_dma_mm_write_waitrequest, -- .waitrequest
audio_in_dma_mm_write_byteenable => audio_in_dma_mm_write_byteenable, -- .byteenable
audio_in_dma_mm_write_write => audio_in_dma_mm_write_write, -- .write
audio_in_dma_mm_write_writedata => audio_in_dma_mm_write_writedata, -- .writedata
audio_out_dma_mm_read_address => audio_out_dma_mm_read_address, -- audio_out_dma_mm_read.address
audio_out_dma_mm_read_waitrequest => audio_out_dma_mm_read_waitrequest, -- .waitrequest
audio_out_dma_mm_read_byteenable => audio_out_dma_mm_read_byteenable, -- .byteenable
audio_out_dma_mm_read_read => audio_out_dma_mm_read_read, -- .read
audio_out_dma_mm_read_readdata => audio_out_dma_mm_read_readdata, -- .readdata
audio_out_dma_mm_read_readdatavalid => audio_out_dma_mm_read_readdatavalid, -- .readdatavalid
jtag_dut_0_avalon_master_address => jtag_dut_0_avalon_master_address, -- jtag_dut_0_avalon_master.address
jtag_dut_0_avalon_master_waitrequest => jtag_dut_0_avalon_master_waitrequest, -- .waitrequest
jtag_dut_0_avalon_master_byteenable => jtag_dut_0_avalon_master_byteenable, -- .byteenable
jtag_dut_0_avalon_master_read => jtag_dut_0_avalon_master_read, -- .read
jtag_dut_0_avalon_master_readdata => jtag_dut_0_avalon_master_readdata, -- .readdata
jtag_dut_0_avalon_master_readdatavalid => jtag_dut_0_avalon_master_readdatavalid, -- .readdatavalid
jtag_dut_0_avalon_master_write => jtag_dut_0_avalon_master_write, -- .write
jtag_dut_0_avalon_master_writedata => jtag_dut_0_avalon_master_writedata, -- .writedata
nios2_gen2_0_data_master_address => nios2_gen2_0_data_master_address, -- nios2_gen2_0_data_master.address
nios2_gen2_0_data_master_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest
nios2_gen2_0_data_master_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable
nios2_gen2_0_data_master_read => nios2_gen2_0_data_master_read, -- .read
nios2_gen2_0_data_master_readdata => nios2_gen2_0_data_master_readdata, -- .readdata
nios2_gen2_0_data_master_write => nios2_gen2_0_data_master_write, -- .write
nios2_gen2_0_data_master_writedata => nios2_gen2_0_data_master_writedata, -- .writedata
nios2_gen2_0_data_master_debugaccess => nios2_gen2_0_data_master_debugaccess, -- .debugaccess
nios2_gen2_0_instruction_master_address => nios2_gen2_0_instruction_master_address, -- nios2_gen2_0_instruction_master.address
nios2_gen2_0_instruction_master_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest
nios2_gen2_0_instruction_master_read => nios2_gen2_0_instruction_master_read, -- .read
nios2_gen2_0_instruction_master_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata
audio_in_dma_csr_address => mm_interconnect_0_audio_in_dma_csr_address, -- audio_in_dma_csr.address
audio_in_dma_csr_write => mm_interconnect_0_audio_in_dma_csr_write, -- .write
audio_in_dma_csr_read => mm_interconnect_0_audio_in_dma_csr_read, -- .read
audio_in_dma_csr_readdata => mm_interconnect_0_audio_in_dma_csr_readdata, -- .readdata
audio_in_dma_csr_writedata => mm_interconnect_0_audio_in_dma_csr_writedata, -- .writedata
audio_in_dma_csr_byteenable => mm_interconnect_0_audio_in_dma_csr_byteenable, -- .byteenable
audio_in_dma_descriptor_slave_write => mm_interconnect_0_audio_in_dma_descriptor_slave_write, -- audio_in_dma_descriptor_slave.write
audio_in_dma_descriptor_slave_writedata => mm_interconnect_0_audio_in_dma_descriptor_slave_writedata, -- .writedata
audio_in_dma_descriptor_slave_byteenable => mm_interconnect_0_audio_in_dma_descriptor_slave_byteenable, -- .byteenable
audio_in_dma_descriptor_slave_waitrequest => mm_interconnect_0_audio_in_dma_descriptor_slave_waitrequest, -- .waitrequest
audio_out_dma_csr_address => mm_interconnect_0_audio_out_dma_csr_address, -- audio_out_dma_csr.address
audio_out_dma_csr_write => mm_interconnect_0_audio_out_dma_csr_write, -- .write
audio_out_dma_csr_read => mm_interconnect_0_audio_out_dma_csr_read, -- .read
audio_out_dma_csr_readdata => mm_interconnect_0_audio_out_dma_csr_readdata, -- .readdata
audio_out_dma_csr_writedata => mm_interconnect_0_audio_out_dma_csr_writedata, -- .writedata
audio_out_dma_csr_byteenable => mm_interconnect_0_audio_out_dma_csr_byteenable, -- .byteenable
audio_out_dma_descriptor_slave_write => mm_interconnect_0_audio_out_dma_descriptor_slave_write, -- audio_out_dma_descriptor_slave.write
audio_out_dma_descriptor_slave_writedata => mm_interconnect_0_audio_out_dma_descriptor_slave_writedata, -- .writedata
audio_out_dma_descriptor_slave_byteenable => mm_interconnect_0_audio_out_dma_descriptor_slave_byteenable, -- .byteenable
audio_out_dma_descriptor_slave_waitrequest => mm_interconnect_0_audio_out_dma_descriptor_slave_waitrequest, -- .waitrequest
avalon2mem_0_avalon_slave_0_address => mm_interconnect_0_avalon2mem_0_avalon_slave_0_address, -- avalon2mem_0_avalon_slave_0.address
avalon2mem_0_avalon_slave_0_write => mm_interconnect_0_avalon2mem_0_avalon_slave_0_write, -- .write
avalon2mem_0_avalon_slave_0_read => mm_interconnect_0_avalon2mem_0_avalon_slave_0_read, -- .read
avalon2mem_0_avalon_slave_0_readdata => mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdata, -- .readdata
avalon2mem_0_avalon_slave_0_writedata => mm_interconnect_0_avalon2mem_0_avalon_slave_0_writedata, -- .writedata
avalon2mem_0_avalon_slave_0_byteenable => mm_interconnect_0_avalon2mem_0_avalon_slave_0_byteenable, -- .byteenable
avalon2mem_0_avalon_slave_0_readdatavalid => mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdatavalid, -- .readdatavalid
avalon2mem_0_avalon_slave_0_waitrequest => mm_interconnect_0_avalon2mem_0_avalon_slave_0_waitrequest, -- .waitrequest
io_bridge_0_avalon_slave_0_address => mm_interconnect_0_io_bridge_0_avalon_slave_0_address, -- io_bridge_0_avalon_slave_0.address
io_bridge_0_avalon_slave_0_write => mm_interconnect_0_io_bridge_0_avalon_slave_0_write, -- .write
io_bridge_0_avalon_slave_0_read => mm_interconnect_0_io_bridge_0_avalon_slave_0_read, -- .read
io_bridge_0_avalon_slave_0_readdata => mm_interconnect_0_io_bridge_0_avalon_slave_0_readdata, -- .readdata
io_bridge_0_avalon_slave_0_writedata => mm_interconnect_0_io_bridge_0_avalon_slave_0_writedata, -- .writedata
io_bridge_0_avalon_slave_0_readdatavalid => mm_interconnect_0_io_bridge_0_avalon_slave_0_readdatavalid, -- .readdatavalid
io_bridge_0_avalon_slave_0_waitrequest => mm_interconnect_0_io_bridge_0_avalon_slave_0_inv, -- .waitrequest
io_bridge_1_avalon_slave_0_address => mm_interconnect_0_io_bridge_1_avalon_slave_0_address, -- io_bridge_1_avalon_slave_0.address
io_bridge_1_avalon_slave_0_write => mm_interconnect_0_io_bridge_1_avalon_slave_0_write, -- .write
io_bridge_1_avalon_slave_0_read => mm_interconnect_0_io_bridge_1_avalon_slave_0_read, -- .read
io_bridge_1_avalon_slave_0_readdata => mm_interconnect_0_io_bridge_1_avalon_slave_0_readdata, -- .readdata
io_bridge_1_avalon_slave_0_writedata => mm_interconnect_0_io_bridge_1_avalon_slave_0_writedata, -- .writedata
io_bridge_1_avalon_slave_0_readdatavalid => mm_interconnect_0_io_bridge_1_avalon_slave_0_readdatavalid, -- .readdatavalid
io_bridge_1_avalon_slave_0_waitrequest => mm_interconnect_0_io_bridge_1_avalon_slave_0_inv, -- .waitrequest
nios2_gen2_0_debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- nios2_gen2_0_debug_mem_slave.address
nios2_gen2_0_debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write
nios2_gen2_0_debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read
nios2_gen2_0_debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata
nios2_gen2_0_debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata
nios2_gen2_0_debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable
nios2_gen2_0_debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest
nios2_gen2_0_debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess
onchip_memory2_0_s1_address => mm_interconnect_0_onchip_memory2_0_s1_address, -- onchip_memory2_0_s1.address
onchip_memory2_0_s1_write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write
onchip_memory2_0_s1_readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata
onchip_memory2_0_s1_writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata
onchip_memory2_0_s1_byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable
onchip_memory2_0_s1_chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect
onchip_memory2_0_s1_clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken
pio_0_s1_address => mm_interconnect_0_pio_0_s1_address, -- pio_0_s1.address
pio_0_s1_write => mm_interconnect_0_pio_0_s1_write, -- .write
pio_0_s1_readdata => mm_interconnect_0_pio_0_s1_readdata, -- .readdata
pio_0_s1_writedata => mm_interconnect_0_pio_0_s1_writedata, -- .writedata
pio_0_s1_chipselect => mm_interconnect_0_pio_0_s1_chipselect, -- .chipselect
pio_1_s1_address => mm_interconnect_0_pio_1_s1_address, -- pio_1_s1.address
pio_1_s1_readdata => mm_interconnect_0_pio_1_s1_readdata, -- .readdata
pio_2_s1_address => mm_interconnect_0_pio_2_s1_address, -- pio_2_s1.address
pio_2_s1_readdata => mm_interconnect_0_pio_2_s1_readdata, -- .readdata
pio_3_s1_address => mm_interconnect_0_pio_3_s1_address, -- pio_3_s1.address
pio_3_s1_write => mm_interconnect_0_pio_3_s1_write, -- .write
pio_3_s1_readdata => mm_interconnect_0_pio_3_s1_readdata, -- .readdata
pio_3_s1_writedata => mm_interconnect_0_pio_3_s1_writedata, -- .writedata
pio_3_s1_chipselect => mm_interconnect_0_pio_3_s1_chipselect, -- .chipselect
uart_0_s1_address => mm_interconnect_0_uart_0_s1_address, -- uart_0_s1.address
uart_0_s1_write => mm_interconnect_0_uart_0_s1_write, -- .write
uart_0_s1_read => mm_interconnect_0_uart_0_s1_read, -- .read
uart_0_s1_readdata => mm_interconnect_0_uart_0_s1_readdata, -- .readdata
uart_0_s1_writedata => mm_interconnect_0_uart_0_s1_writedata, -- .writedata
uart_0_s1_begintransfer => mm_interconnect_0_uart_0_s1_begintransfer, -- .begintransfer
uart_0_s1_chipselect => mm_interconnect_0_uart_0_s1_chipselect -- .chipselect
);
irq_mapper : component nios_dut_irq_mapper
port map (
clk => sys_clock_clk, -- clk.clk
reset => rst_controller_reset_out_reset, -- clk_reset.reset
receiver0_irq => irq_mapper_receiver0_irq, -- receiver0.irq
receiver1_irq => irq_mapper_receiver1_irq, -- receiver1.irq
receiver2_irq => irq_mapper_receiver2_irq, -- receiver2.irq
receiver3_irq => irq_mapper_receiver3_irq, -- receiver3.irq
receiver4_irq => irq_mapper_receiver4_irq, -- receiver4.irq
receiver5_irq => irq_mapper_receiver5_irq, -- receiver5.irq
sender_irq => nios2_gen2_0_irq_irq -- sender.irq
);
rst_controller : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => 1,
OUTPUT_RESET_SYNC_EDGES => "deassert",
SYNC_DEPTH => 2,
RESET_REQUEST_PRESENT => 1,
RESET_REQ_WAIT_TIME => 1,
MIN_RST_ASSERTION_TIME => 3,
RESET_REQ_EARLY_DSRT_TIME => 1,
USE_RESET_REQUEST_IN0 => 0,
USE_RESET_REQUEST_IN1 => 0,
USE_RESET_REQUEST_IN2 => 0,
USE_RESET_REQUEST_IN3 => 0,
USE_RESET_REQUEST_IN4 => 0,
USE_RESET_REQUEST_IN5 => 0,
USE_RESET_REQUEST_IN6 => 0,
USE_RESET_REQUEST_IN7 => 0,
USE_RESET_REQUEST_IN8 => 0,
USE_RESET_REQUEST_IN9 => 0,
USE_RESET_REQUEST_IN10 => 0,
USE_RESET_REQUEST_IN11 => 0,
USE_RESET_REQUEST_IN12 => 0,
USE_RESET_REQUEST_IN13 => 0,
USE_RESET_REQUEST_IN14 => 0,
USE_RESET_REQUEST_IN15 => 0,
ADAPT_RESET_REQUEST => 0
)
port map (
reset_in0 => sys_reset_reset_n_ports_inv, -- reset_in0.reset
clk => sys_clock_clk, -- clk.clk
reset_out => rst_controller_reset_out_reset, -- reset_out.reset
reset_req => rst_controller_reset_out_reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
sys_reset_reset_n_ports_inv <= not sys_reset_reset_n;
mm_interconnect_0_io_bridge_1_avalon_slave_0_inv <= not io_bridge_1_avalon_slave_0_waitrequest;
mm_interconnect_0_io_bridge_0_avalon_slave_0_inv <= not io_bridge_0_avalon_slave_0_waitrequest;
mm_interconnect_0_pio_0_s1_write_ports_inv <= not mm_interconnect_0_pio_0_s1_write;
mm_interconnect_0_uart_0_s1_read_ports_inv <= not mm_interconnect_0_uart_0_s1_read;
mm_interconnect_0_uart_0_s1_write_ports_inv <= not mm_interconnect_0_uart_0_s1_write;
mm_interconnect_0_pio_3_s1_write_ports_inv <= not mm_interconnect_0_pio_3_s1_write;
rst_controller_reset_out_reset_ports_inv <= not rst_controller_reset_out_reset;
end architecture rtl; -- of nios_dut
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1758.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b01x00p02n01i01758ent IS
END c09s05b01x00p02n01i01758ent;
ARCHITECTURE c09s05b01x00p02n01i01758arch OF c09s05b01x00p02n01i01758ent IS
signal A,B : bit;
BEGIN
CONSIG: A <= transport '1' when B = '1' else
transport '0'; -- Failure_here
-- an option not allowed.
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s05b01x00p02n01i01758 - An option can not insert in conditional waveforms."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s05b01x00p02n01i01758arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1758.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b01x00p02n01i01758ent IS
END c09s05b01x00p02n01i01758ent;
ARCHITECTURE c09s05b01x00p02n01i01758arch OF c09s05b01x00p02n01i01758ent IS
signal A,B : bit;
BEGIN
CONSIG: A <= transport '1' when B = '1' else
transport '0'; -- Failure_here
-- an option not allowed.
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s05b01x00p02n01i01758 - An option can not insert in conditional waveforms."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s05b01x00p02n01i01758arch;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.