content
stringlengths
1
1.04M
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; use work.all; entity test_go is end test_go; architecture test_go of test_go is signal usb_c : std_logic_vector(7 downto 0) := "111ZZZ11"; signal reclk_p : std_logic := '0'; signal reclk_n : std_logic; signal adc_p : std_logic_vector(6 downto 0) := "1000000"; signal adc_n : std_logic_vector(6 downto 0) := "0111111"; begin reclk_n <= not reclk_p; adc_n <= not adc_p; g : entity work.go port map ( adc_p => "0000000", adc_n => "0000000", adc_clk_p => open, adc_clk_n => open, adc_reclk_p => reclk_p, adc_reclk_n => reclk_n, adc_sen => open, adc_sdata => open, adc_sclk => open, adc_reset => open, audio_scki => open, audio_lrck => open, audio_data => open, audio_bck => open, audio_pd_inv => open, audio_demp => open, usb_d => open, usb_c => usb_c, flash_cs_inv => open, flash_sclk => open, flash_si => open, flash_so => '1', cpu_ssifss => '1', cpu_ssiclk => '1', cpu_ssirx => open, cpu_ssitx => '1', spartan_m0 => '1', spartan_m1 => '1', led => open, clkin125 => '1', clkin125_en => open); process variable seed1, seed2 : positive := 1; variable rand : real; begin wait for 2ns; reclk_p <= not reclk_p; uniform(seed1, seed2, rand); adc_p <= std_logic_vector(to_unsigned(integer(trunc(rand * 128.0)), 7)); end process; end;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity multiplier is port (clk : in std_logic; reset : in std_logic; addrA : in std_logic_vector(2 downto 0); addrB : in std_logic_vector(2 downto 0); showAB: in std_logic; start: in std_logic; result: out std_logic_vector(31 downto 0); outAB : out std_logic_vector(31 downto 0); ready : out std_logic_vector(7 downto 0) ); end multiplier; architecture synt of multiplier is signal romAOut : std_logic_vector (31 downto 0); signal romBOut : std_logic_vector (31 downto 0); component graph_driver port (in_graph : in std_logic_vector(31 downto 0); out_graph : out std_logic_vector(31 downto 0) ); end component; signal outAB_graph_s: std_logic_vector(31 downto 0); signal result_graph_s: std_logic_vector(31 downto 0); component romMemOpA port( addr : in std_logic_vector (2 downto 0); dataOut : out std_logic_vector (31 downto 0) ); end component; component romMemOpB port( addr : in std_logic_vector (2 downto 0); dataOut : out std_logic_vector (31 downto 0) ); end component; component device port (a,b: in std_logic_vector(31 downto 0); clk,en,rst: in std_logic; c: out std_logic_vector(31 downto 0); done: out std_logic ); end component; signal en_mul:std_logic; signal rst_mul:std_logic; signal result_graph_ss: std_logic_vector(31 downto 0); signal done_mult: std_logic; signal graph: std_logic_vector(31 downto 0); type state is (initial, multiply, view_result); signal CurrentState, NextState : state; begin process(CurrentState,start) begin NextState<=initial; result_graph_s<=(others=>'0'); case CurrentState is when initial => en_mul<='0'; rst_mul<='0'; -----result<="11110000010000000010010011110001"; if(start='0') then NextState<=multiply; else NextState<=initial; end if; when multiply => en_mul<='1'; rst_mul<='1'; if(done_mult='1') then NextState<=view_result; else NextState<=multiply; end if; when view_result => if(start='0') then NextState<=initial; else NextState<=view_result; end if; end case; end process; transitions:process (clk, reset) begin if reset='0'then CurrentState <= initial; elsif (clk'event and clk='1')then CurrentState <= NextState; end if; end process; uOpA: romMemOpA port map ( addr => addrA, dataOut => romAOut ); uOpB: romMemOpB port map ( addr => addrB, dataOut => romBOut ); uMult: device port map ( a => romAOut, b => romBOut, clk=>clk , en=> en_mul, rst=> rst_mul, c => result_graph_ss, done=>done_mult ); outtAB_graph: graph_driver port map(in_graph=>outAB_graph_s,out_graph=>outAB); result_graph: graph_driver port map(in_graph=>result_graph_ss,out_graph=>result); -- When the button assoiciated to outAB is pushed romAOut is displayed outAB_graph_s<= romAOut when showAB = '0' else romBOut; ready(7 downto 0) <= (others => done_mult); end synt;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity multiplier is port (clk : in std_logic; reset : in std_logic; addrA : in std_logic_vector(2 downto 0); addrB : in std_logic_vector(2 downto 0); showAB: in std_logic; start: in std_logic; result: out std_logic_vector(31 downto 0); outAB : out std_logic_vector(31 downto 0); ready : out std_logic_vector(7 downto 0) ); end multiplier; architecture synt of multiplier is signal romAOut : std_logic_vector (31 downto 0); signal romBOut : std_logic_vector (31 downto 0); component graph_driver port (in_graph : in std_logic_vector(31 downto 0); out_graph : out std_logic_vector(31 downto 0) ); end component; signal outAB_graph_s: std_logic_vector(31 downto 0); signal result_graph_s: std_logic_vector(31 downto 0); component romMemOpA port( addr : in std_logic_vector (2 downto 0); dataOut : out std_logic_vector (31 downto 0) ); end component; component romMemOpB port( addr : in std_logic_vector (2 downto 0); dataOut : out std_logic_vector (31 downto 0) ); end component; component device port (a,b: in std_logic_vector(31 downto 0); clk,en,rst: in std_logic; c: out std_logic_vector(31 downto 0); done: out std_logic ); end component; signal en_mul:std_logic; signal rst_mul:std_logic; signal result_graph_ss: std_logic_vector(31 downto 0); signal done_mult: std_logic; signal graph: std_logic_vector(31 downto 0); type state is (initial, multiply, view_result); signal CurrentState, NextState : state; begin process(CurrentState,start) begin NextState<=initial; result_graph_s<=(others=>'0'); case CurrentState is when initial => en_mul<='0'; rst_mul<='0'; -----result<="11110000010000000010010011110001"; if(start='0') then NextState<=multiply; else NextState<=initial; end if; when multiply => en_mul<='1'; rst_mul<='1'; if(done_mult='1') then NextState<=view_result; else NextState<=multiply; end if; when view_result => if(start='0') then NextState<=initial; else NextState<=view_result; end if; end case; end process; transitions:process (clk, reset) begin if reset='0'then CurrentState <= initial; elsif (clk'event and clk='1')then CurrentState <= NextState; end if; end process; uOpA: romMemOpA port map ( addr => addrA, dataOut => romAOut ); uOpB: romMemOpB port map ( addr => addrB, dataOut => romBOut ); uMult: device port map ( a => romAOut, b => romBOut, clk=>clk , en=> en_mul, rst=> rst_mul, c => result_graph_ss, done=>done_mult ); outtAB_graph: graph_driver port map(in_graph=>outAB_graph_s,out_graph=>outAB); result_graph: graph_driver port map(in_graph=>result_graph_ss,out_graph=>result); -- When the button assoiciated to outAB is pushed romAOut is displayed outAB_graph_s<= romAOut when showAB = '0' else romBOut; ready(7 downto 0) <= (others => done_mult); end synt;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity multiplier is port (clk : in std_logic; reset : in std_logic; addrA : in std_logic_vector(2 downto 0); addrB : in std_logic_vector(2 downto 0); showAB: in std_logic; start: in std_logic; result: out std_logic_vector(31 downto 0); outAB : out std_logic_vector(31 downto 0); ready : out std_logic_vector(7 downto 0) ); end multiplier; architecture synt of multiplier is signal romAOut : std_logic_vector (31 downto 0); signal romBOut : std_logic_vector (31 downto 0); component graph_driver port (in_graph : in std_logic_vector(31 downto 0); out_graph : out std_logic_vector(31 downto 0) ); end component; signal outAB_graph_s: std_logic_vector(31 downto 0); signal result_graph_s: std_logic_vector(31 downto 0); component romMemOpA port( addr : in std_logic_vector (2 downto 0); dataOut : out std_logic_vector (31 downto 0) ); end component; component romMemOpB port( addr : in std_logic_vector (2 downto 0); dataOut : out std_logic_vector (31 downto 0) ); end component; component device port (a,b: in std_logic_vector(31 downto 0); clk,en,rst: in std_logic; c: out std_logic_vector(31 downto 0); done: out std_logic ); end component; signal en_mul:std_logic; signal rst_mul:std_logic; signal result_graph_ss: std_logic_vector(31 downto 0); signal done_mult: std_logic; signal graph: std_logic_vector(31 downto 0); type state is (initial, multiply, view_result); signal CurrentState, NextState : state; begin process(CurrentState,start) begin NextState<=initial; result_graph_s<=(others=>'0'); case CurrentState is when initial => en_mul<='0'; rst_mul<='0'; -----result<="11110000010000000010010011110001"; if(start='0') then NextState<=multiply; else NextState<=initial; end if; when multiply => en_mul<='1'; rst_mul<='1'; if(done_mult='1') then NextState<=view_result; else NextState<=multiply; end if; when view_result => if(start='0') then NextState<=initial; else NextState<=view_result; end if; end case; end process; transitions:process (clk, reset) begin if reset='0'then CurrentState <= initial; elsif (clk'event and clk='1')then CurrentState <= NextState; end if; end process; uOpA: romMemOpA port map ( addr => addrA, dataOut => romAOut ); uOpB: romMemOpB port map ( addr => addrB, dataOut => romBOut ); uMult: device port map ( a => romAOut, b => romBOut, clk=>clk , en=> en_mul, rst=> rst_mul, c => result_graph_ss, done=>done_mult ); outtAB_graph: graph_driver port map(in_graph=>outAB_graph_s,out_graph=>outAB); result_graph: graph_driver port map(in_graph=>result_graph_ss,out_graph=>result); -- When the button assoiciated to outAB is pushed romAOut is displayed outAB_graph_s<= romAOut when showAB = '0' else romBOut; ready(7 downto 0) <= (others => done_mult); end synt;
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity mark1_rnd is port( clock: in std_logic; input: in std_logic_vector(4 downto 0); output: out std_logic_vector(15 downto 0) ); end mark1_rnd; architecture behaviour of mark1_rnd is constant state1: std_logic_vector(3 downto 0) := "1101"; constant state3: std_logic_vector(3 downto 0) := "0010"; constant state2: std_logic_vector(3 downto 0) := "1011"; constant state0: std_logic_vector(3 downto 0) := "1110"; constant state4: std_logic_vector(3 downto 0) := "1111"; constant state13: std_logic_vector(3 downto 0) := "0001"; constant state10: std_logic_vector(3 downto 0) := "0110"; constant state9: std_logic_vector(3 downto 0) := "0000"; constant state8: std_logic_vector(3 downto 0) := "1010"; constant state7: std_logic_vector(3 downto 0) := "1000"; constant state6: std_logic_vector(3 downto 0) := "0100"; constant state5: std_logic_vector(3 downto 0) := "1001"; constant state14: std_logic_vector(3 downto 0) := "1100"; constant state11: std_logic_vector(3 downto 0) := "0011"; constant state12: std_logic_vector(3 downto 0) := "0111"; signal current_state, next_state: std_logic_vector(3 downto 0); begin process(clock) begin if rising_edge(clock) then current_state <= next_state; end if; end process; process(input, current_state) begin next_state <= "----"; output <= "----------------"; if std_match(input, "0----") then next_state <= state1; output <= "-11---1-00------"; else case current_state is when state1 => if std_match(input, "1----") then next_state <= state3; output <= "-11---1-00------"; end if; when state2 => if std_match(input, "1----") then next_state <= state0; output <= "-11---1-00------"; end if; when state3 => if std_match(input, "1----") then next_state <= state4; output <= "101---1-01------"; end if; when state4 => if std_match(input, "1-111") then next_state <= state13; output <= "-11---1-00------"; elsif std_match(input, "1-110") then next_state <= state10; output <= "-11---1-00------"; elsif std_match(input, "1-10-") then next_state <= state9; output <= "-11---1-00------"; elsif std_match(input, "1-011") then next_state <= state8; output <= "-11---1-00------"; elsif std_match(input, "1-010") then next_state <= state7; output <= "-11---1-00------"; elsif std_match(input, "1-001") then next_state <= state6; output <= "-11---1-00------"; elsif std_match(input, "1-000") then next_state <= state5; output <= "-11---1-00------"; end if; when state5 => if std_match(input, "1----") then next_state <= state14; output <= "0011--1-00------"; end if; when state6 => if std_match(input, "1----") then next_state <= state14; output <= "00100-0-00000011"; end if; when state7 => if std_match(input, "1----") then next_state <= state14; output <= "001---1100------"; end if; when state8 => if std_match(input, "1----") then next_state <= state14; output <= "010---1-00------"; end if; when state9 => if std_match(input, "1----") then next_state <= state14; output <= "001---1010000101"; end if; when state10 => if std_match(input, "1----") then next_state <= state11; output <= "-11---1-00100000"; end if; when state11 => if std_match(input, "10---") then next_state <= state13; output <= "-11---1-00------"; elsif std_match(input, "11---") then next_state <= state12; output <= "-11---1-00------"; end if; when state12 => if std_match(input, "1----") then next_state <= state13; output <= "-110110-00------"; end if; when state13 => if std_match(input, "1----") then next_state <= state14; output <= "-11---1-00------"; end if; when state14 => if std_match(input, "1----") then next_state <= state3; output <= "-110110-00------"; end if; when state0 => if std_match(input, "0----") then next_state <= state1; output <= "-11---1-00------"; end if; when others => next_state <= "----"; output <= "----------------"; end case; end if; end process; end behaviour;
-- ------------------------------------------------------------- -- -- Generated Configuration for inst_a_e -- -- Generated -- by: wig -- on: Fri Jul 15 13:54:30 2005 -- cmd: h:/work/eclipse/mix/mix_0.pl -nodelta ../macro.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_a_e-rtl-conf-c.vhd,v 1.2 2005/07/15 16:20:00 wig Exp $ -- $Date: 2005/07/15 16:20:00 $ -- $Log: inst_a_e-rtl-conf-c.vhd,v $ -- Revision 1.2 2005/07/15 16:20:00 wig -- Update all testcases; still problems though -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.36 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration inst_a_e_rtl_conf / inst_a_e -- configuration inst_a_e_rtl_conf of inst_a_e is for rtl -- Generated Configuration for inst_1 : inst_1_e use configuration work.inst_1_e_rtl_conf; end for; for inst_10 : inst_10_e use configuration work.inst_10_e_rtl_conf; end for; for inst_2 : inst_2_e use configuration work.inst_2_e_rtl_conf; end for; for inst_3 : inst_3_e use configuration work.inst_3_e_rtl_conf; end for; for inst_4 : inst_4_e use configuration work.inst_4_e_rtl_conf; end for; for inst_5 : inst_5_e use configuration work.inst_5_e_rtl_conf; end for; for inst_6 : inst_6_e use configuration work.inst_6_e_rtl_conf; end for; for inst_7 : inst_7_e use configuration work.inst_7_e_rtl_conf; end for; for inst_8 : inst_8_e use configuration work.inst_8_e_rtl_conf; end for; for inst_9 : inst_9_e use configuration work.inst_9_e_rtl_conf; end for; end for; end inst_a_e_rtl_conf; -- -- End of Generated Configuration inst_a_e_rtl_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ahbtrace_mmb -- File: ahbtrace_mmb.vhd -- Author: Jiri Gaisler - Gaisler Research -- Modified: Jan Andersson - Aeroflex Gaisler -- Description: AHB trace unit that can have registers on a separate bus and -- select between several trace buses. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; entity ahbtrace_mmb is generic ( hindex : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#E00#; tech : integer := DEFMEMTECH; irq : integer := 0; kbytes : integer := 1; bwidth : integer := 32; ahbfilt : integer := 0; ntrace : integer range 1 to 8 := 1; scantest : integer range 0 to 1 := 0; exttimer : integer range 0 to 1 := 0; exten : integer range 0 to 1 := 0); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; -- Register interface ahbso : out ahb_slv_out_type; tahbmiv : in ahb_mst_in_vector_type(0 to ntrace-1); -- Trace tahbsiv : in ahb_slv_in_vector_type(0 to ntrace-1); timer : in std_logic_vector(30 downto 0); astat : out amba_stat_type; resen : in std_ulogic := '0' ); end; architecture rtl of ahbtrace_mmb is constant TBUFABITS : integer := log2(kbytes) + 6; constant TIMEBITS : integer := 32 - exttimer; constant FILTEN : boolean := ahbfilt /= 0; constant PERFEN : boolean := (ahbfilt > 1); constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBTRACE, 0, 0, irq), 4 => ahb_iobar (ioaddr, iomask), others => zero32); type tracebuf_in_type is record addr : std_logic_vector(TBUFABITS-1 downto 0); data : std_logic_vector(255 downto 0); enable : std_logic; write : std_logic_vector(7 downto 0); end record; type tracebuf_out_type is record data : std_logic_vector(255 downto 0); end record; type trace_break_reg is record addr : std_logic_vector(31 downto 2); mask : std_logic_vector(31 downto 2); read : std_logic; write : std_logic; end record; type regtype is record thaddr : std_logic_vector(31 downto 0); thwrite : std_logic; thtrans : std_logic_vector(1 downto 0); thsize : std_logic_vector(2 downto 0); thburst : std_logic_vector(2 downto 0); thmaster : std_logic_vector(3 downto 0); thmastlock : std_logic; ahbactive : std_logic; timer : std_logic_vector((TIMEBITS-1)*(1-exttimer) downto 0); aindex : std_logic_vector(TBUFABITS - 1 downto 0); -- buffer index hready : std_logic; hready2 : std_logic; hready3 : std_logic; hsel : std_logic; hwrite : std_logic; haddr : std_logic_vector(TBUFABITS+4 downto 2); hrdata : std_logic_vector(31 downto 0); regacc : std_logic; enable : std_logic; -- trace enable bahb : std_logic; -- break on AHB watchpoint hit bhit : std_logic; -- breakpoint hit dcnten : std_logic; -- delay counter enable delaycnt : std_logic_vector(TBUFABITS - 1 downto 0); -- delay counter tbreg1 : trace_break_reg; tbreg2 : trace_break_reg; end record; type pregtype is record stat : amba_stat_type; split : std_ulogic; splmst : std_logic_vector(3 downto 0); hready : std_ulogic; hresp : std_logic_vector(1 downto 0); end record; type fregtype is record shsel : std_logic_vector(0 to NAHBSLV-1); pf : std_ulogic; -- Filter perf outputs af : std_ulogic; -- Address filtering fr : std_ulogic; -- Filter reads fw : std_ulogic; -- Filter writes smask : std_logic_vector(15 downto 0); mmask : std_logic_vector(15 downto 0); rf : std_ulogic; -- Retry filtering end record; type bregtype is record bsel : std_logic_vector(log2(ntrace) downto 0); end record; function ahb_filt_hit ( r : regtype; rf : fregtype; hresp : std_logic_vector(1 downto 0)) return boolean is variable hit : boolean; begin -- filter hit -> inhibit hit := false; -- Filter on read/write if ((rf.fw and r.thwrite) or (rf.fr and not r.thwrite)) = '1' then hit := true; end if; -- Filter on address range if (((r.tbreg2.addr xor r.thaddr(31 downto 2)) and r.tbreg2.mask) /= zero32(29 downto 0)) then if rf.af = '1' then hit := true; end if; end if; -- Filter on master mask for i in rf.mmask'range loop if i > NAHBMST-1 then exit; end if; if i = conv_integer(r.thmaster) and rf.mmask(i) = '1' then hit := true; end if; end loop; -- Filter on slave mask for i in rf.smask'range loop if i > NAHBSLV-1 then exit; end if; if (rf.shsel(i) and rf.smask(i)) /= '0' then hit := true; end if; end loop; -- Filter on retry response if (rf.rf = '1' and hresp = HRESP_RETRY) then hit := true; end if; return hit; end function ahb_filt_hit; function getnrams return integer is variable v: integer; begin v := 2; if bwidth > 32 then v:=v+1; end if; if bwidth > 64 then v:=v+1; end if; return v; end getnrams; constant nrams: integer := getnrams; subtype mtest64_vector is std_logic_vector(2*memtest_vlen-1 downto 0); type mtest64_type is array(0 to 2) of mtest64_vector; signal mtesti64, mtesto64: mtest64_type; signal tbi : tracebuf_in_type; signal tbo : tracebuf_out_type; signal enable : std_logic_vector(1 downto 0); signal r, rin : regtype; signal rf, rfin : fregtype; signal rb, rbin : bregtype; signal pr, prin : pregtype; begin ctrl : process(rst, ahbsi, tahbmiv, tahbsiv, r, rf, rb, tbo, pr, timer, resen) variable v : regtype; variable vabufi : tracebuf_in_type; variable regsd : std_logic_vector(31 downto 0); -- data from registers variable aindex : std_logic_vector(TBUFABITS - 1 downto 0); -- buffer index variable bphit : std_logic; variable wdata, rdata : std_logic_vector(255 downto 0); variable hwdata : std_logic_vector(31 downto 0); variable hirq : std_logic_vector(NAHBIRQ-1 downto 0); variable tahbmi : ahb_mst_in_type; variable tahbsi : ahb_slv_in_type; variable vf : fregtype; variable vb : bregtype; variable regaddr : std_logic_vector(4 downto 2); variable tbaddr : std_logic_vector(3 downto 2); variable timeval : std_logic_vector(31 downto 0); variable pv : pregtype; begin v := r; regsd := (others => '0'); vabufi.enable := '0'; vabufi.data := (others => '0'); vabufi.addr := (others => '0'); vabufi.write := (others => '0'); bphit := '0'; v.hready := r.hready2; v.hready2 := r.hready3; v.hready3 := '0'; hwdata := ahbreadword(ahbsi.hwdata, r.haddr(4 downto 2)); hirq := (others => '0'); hirq(irq) := r.bhit; vf := rf; vb := rb; pv := pr; if ntrace = 1 then tahbmi := tahbmiv(0); tahbsi := tahbsiv(0); else tahbmi := tahbmiv(conv_integer(rb.bsel)); tahbsi := tahbsiv(conv_integer(rb.bsel)); end if; regaddr := r.haddr(4 downto 2); --tbaddr := r.haddr(3 downto 2); timeval := (others => '0'); timeval((TIMEBITS-1)*(1-exttimer) downto 0) := r.timer; if exttimer /= 0 then timeval(TIMEBITS-1 downto 0) := timer(TIMEBITS-1 downto 0); end if; wdata := (others => '0'); rdata := (others => '0'); -- trace buffer index and delay counters if exttimer = 0 and r.enable = '1' then v.timer := r.timer + 1; end if; aindex := r.aindex + 1; -- check for AHB watchpoints if (tahbsi.hready and r.ahbactive ) = '1' then if ((((r.tbreg1.addr xor r.thaddr(31 downto 2)) and r.tbreg1.mask) = zero32(29 downto 0)) and (((r.tbreg1.read and not r.thwrite) or (r.tbreg1.write and r.thwrite)) = '1')) or ((((r.tbreg2.addr xor r.thaddr(31 downto 2)) and r.tbreg2.mask) = zero32(29 downto 0)) and (((r.tbreg2.read and not r.thwrite) or (r.tbreg2.write and r.thwrite)) = '1')) then if (r.enable = '1') and (r.dcnten = '0') and (r.delaycnt /= zero32(TBUFABITS-1 downto 0)) then v.dcnten := '1'; bphit := '1'; --else bphit := '1'; v.enable := '0'; end if; elsif (r.enable = '1') and (r.dcnten = '0') then bphit := '1'; v.enable := '0'; end if; end if; end if; -- generate buffer inputs vabufi.write := "00000000"; wdata(AHBDW-1 downto 0) := tahbsi.hwdata; rdata(AHBDW-1 downto 0) := tahbmi.hrdata; if r.enable = '1' then vabufi.addr(TBUFABITS-1 downto 0) := r.aindex; vabufi.data(127 downto 96) := timeval; vabufi.data(95) := bphit; vabufi.data(94 downto 80) := (others => '0'); --tahbmi.hirq(15 downto 1); vabufi.data(79) := r.thwrite; vabufi.data(78 downto 77) := r.thtrans; vabufi.data(76 downto 74) := r.thsize; vabufi.data(73 downto 71) := r.thburst; vabufi.data(70 downto 67) := r.thmaster; vabufi.data(66) := r.thmastlock; vabufi.data(65 downto 64) := tahbmi.hresp; if r.thwrite = '1' then vabufi.data(63 downto 32) := wdata(31 downto 0); vabufi.data(223 downto 128) := wdata(127 downto 32); else vabufi.data(63 downto 32) := rdata(31 downto 0); vabufi.data(223 downto 128) := rdata(127 downto 32); end if; vabufi.data(31 downto 0) := r.thaddr; else if bwidth = 32 then vabufi.addr(TBUFABITS-1 downto 0) := r.haddr(TBUFABITS+3 downto 4); else vabufi.addr(TBUFABITS-1 downto 0) := r.haddr(TBUFABITS+4 downto 5); end if; -- Note: HWDATA from register i/f vabufi.data := hwdata & hwdata & hwdata & hwdata & hwdata & hwdata & hwdata & hwdata; end if; -- write trace buffer if r.enable = '1' then if (r.ahbactive and tahbsi.hready) = '1' then if not (FILTEN and ahb_filt_hit(r, rf, tahbmi.hresp)) then v.aindex := aindex; vabufi.enable := '1'; vabufi.write := "11111111"; end if; end if; end if; -- trace buffer delay counter handling if (r.dcnten = '1') and (r.ahbactive and tahbsi.hready) = '1' then if (r.delaycnt = zero32(TBUFABITS-1 downto 0)) then v.enable := '0'; v.dcnten := '0'; end if; v.delaycnt := r.delaycnt - 1; end if; -- AHB statistics if PERFEN then pv.hready := tahbsi.hready; pv.hresp := tahbmi.hresp; pv.stat := amba_stat_none; if pr.hready = '1' then case r.thtrans is when HTRANS_IDLE => pv.stat.idle := '1'; when HTRANS_BUSY => pv.stat.busy := '1'; when HTRANS_NONSEQ => pv.stat.nseq := '1'; when others => pv.stat.seq := '1'; end case; if r.ahbactive = '1' then pv.stat.read := not r.thwrite; pv.stat.write := r.thwrite; case r.thsize is when HSIZE_BYTE => pv.stat.hsize(0) := '1'; when HSIZE_HWORD => pv.stat.hsize(1) := '1'; when HSIZE_WORD => pv.stat.hsize(2) := '1'; when HSIZE_DWORD => pv.stat.hsize(3) := '1'; when HSIZE_4WORD => pv.stat.hsize(4) := '1'; when others => pv.stat.hsize(5) := '1'; end case; end if; pv.stat.hmaster := r.thmaster; end if; if pr.hresp = HRESP_OKAY then pv.stat.ws := not pr.hready; end if; -- It may also be interesting to count the maximum grant latency. That -- is; the delay between asserting hbusreq and receiving hgrant. This -- would require that all bus request signals were present in this -- entity. This has been left as a possible future extension. if pr.hready = '1' then if pr.hresp = HRESP_SPLIT then pv.stat.split := '1'; pv.split := '1'; if pr.split = '0' then pv.splmst := r.thmaster; end if; end if; if pr.hresp = HRESP_RETRY then pv.stat.retry := '1'; end if; end if; pv.stat.locked := r.thmastlock; if rf.pf = '1' and ahb_filt_hit(r, rf, tahbmi.hresp) then pv.stat := amba_stat_none; pv.split := pr.split; pv.splmst := pr.splmst; end if; -- Count cycles where master is in SPLIT if pr.split = '1' then for i in tahbmi.hgrant'range loop if i = conv_integer(pr.splmst) and tahbmi.hgrant(i) = '1' then pv.split := '0'; end if; end loop; pv.stat.spdel := pv.split; end if; end if; -- save AHB transfer parameters if (tahbsi.hready = '1' ) then v.thaddr := tahbsi.haddr; v.thwrite := tahbsi.hwrite; v.thtrans := tahbsi.htrans; v.thsize := tahbsi.hsize; v.thburst := tahbsi.hburst; v.thmaster := tahbsi.hmaster; v.thmastlock := tahbsi.hmastlock; v.ahbactive := tahbsi.htrans(1); if FILTEN then vf.shsel := tahbsi.hsel; end if; end if; -- AHB transfer parameters for register accesses if (ahbsi.hready = '1' ) then v.haddr := ahbsi.haddr(TBUFABITS+4 downto 2); v.hwrite := ahbsi.hwrite; v.regacc := ahbsi.haddr(16); v.hsel := ahbsi.htrans(1) and ahbsi.hsel(hindex); end if; -- AHB slave access to DSU registers and trace buffers if (r.hsel and not r.hready) = '1' then if r.regacc = '0' then -- registers v.hready := '1'; case regaddr is when "000" => regsd((TBUFABITS + 15) downto 16) := r.delaycnt; if ntrace /= 1 then regsd(15) := '1'; regsd(log2(ntrace)+12 downto 12) := vb.bsel; end if; regsd(7 downto 6) := conv_std_logic_vector(log2(bwidth/32), 2); if FILTEN then regsd(8) := rf.pf; regsd(5) := rf.rf; regsd(4) := rf.af; regsd(3) := rf.fr; regsd(2) := rf.fw; end if; regsd(1 downto 0) := r.dcnten & r.enable; if r.hwrite = '1' then v.delaycnt := ahbsi.hwdata((TBUFABITS+ 15) downto 16); if ntrace /= 1 then vb.bsel := ahbsi.hwdata(log2(ntrace)+12 downto 12); end if; if FILTEN then vf.pf := ahbsi.hwdata(8); vf.rf := ahbsi.hwdata(5); vf.af := ahbsi.hwdata(4); vf.fr := ahbsi.hwdata(3); vf.fw := ahbsi.hwdata(2); end if; v.dcnten := ahbsi.hwdata(1); v.enable := ahbsi.hwdata(0); end if; when "001" => regsd((TBUFABITS - 1 + 4) downto 4) := r.aindex; if r.hwrite = '1' then v.aindex := ahbsi.hwdata((TBUFABITS- 1) downto 0); end if; when "010" => regsd := timeval; if exttimer = 0 and r.hwrite = '1' then v.timer := ahbsi.hwdata((TIMEBITS- 1)*(1-exttimer) downto 0); end if; when "011" => if FILTEN then regsd(31 downto 0) := rf.smask & rf.mmask; if r.hwrite = '1' then vf.smask := ahbsi.hwdata(31 downto 16); vf.mmask := ahbsi.hwdata(15 downto 0); end if; end if; when "100" => regsd(31 downto 2) := r.tbreg1.addr; if r.hwrite = '1' then v.tbreg1.addr := ahbsi.hwdata(31 downto 2); end if; when "101" => regsd := r.tbreg1.mask & r.tbreg1.read & r.tbreg1.write; if r.hwrite = '1' then v.tbreg1.mask := ahbsi.hwdata(31 downto 2); v.tbreg1.read := ahbsi.hwdata(1); v.tbreg1.write := ahbsi.hwdata(0); end if; when "110" => regsd(31 downto 2) := r.tbreg2.addr; if r.hwrite = '1' then v.tbreg2.addr := ahbsi.hwdata(31 downto 2); end if; when others => regsd := r.tbreg2.mask & r.tbreg2.read & r.tbreg2.write; if r.hwrite = '1' then v.tbreg2.mask := ahbsi.hwdata(31 downto 2); v.tbreg2.read := ahbsi.hwdata(1); v.tbreg2.write := ahbsi.hwdata(0); end if; end case; v.hrdata := regsd; else -- read/write access to trace buffer if r.hwrite = '1' then v.hready := '1'; else v.hready2 := not (r.hready2 or r.hready); end if; vabufi.enable := not r.enable; case regaddr is when "000" => v.hrdata := tbo.data(127 downto 96); if r.hwrite = '1' then vabufi.write(3) := vabufi.enable; end if; when "001" => v.hrdata := tbo.data(95 downto 64); if r.hwrite = '1' then vabufi.write(2) := vabufi.enable; end if; when "010" => v.hrdata := tbo.data(63 downto 32); if r.hwrite = '1' then vabufi.write(1) := vabufi.enable; end if; when "011" => v.hrdata := tbo.data(31 downto 0); if r.hwrite = '1' then vabufi.write(0) := vabufi.enable; end if; when "100" => if bwidth > 32 then v.hrdata := tbo.data(159 downto 128); if r.hwrite = '1' then vabufi.write(7) := vabufi.enable; end if; else v.hrdata := tbo.data(127 downto 96); if r.hwrite = '1' then vabufi.write(3) := vabufi.enable; end if; end if; when "101" => if bwidth > 32 then if bwidth > 64 then v.hrdata := tbo.data(223 downto 192); if r.hwrite = '1' then vabufi.write(6) := vabufi.enable; end if; else v.hrdata := zero32; end if; else v.hrdata := tbo.data(95 downto 64); if r.hwrite = '1' then vabufi.write(2) := vabufi.enable; end if; end if; when "110" => if bwidth > 32 then if bwidth > 64 then v.hrdata := tbo.data(191 downto 160); if r.hwrite = '1' then vabufi.write(5) := vabufi.enable; end if; else v.hrdata := zero32; end if; else v.hrdata := tbo.data(63 downto 32); if r.hwrite = '1' then vabufi.write(1) := vabufi.enable; end if; end if; when others => if bwidth > 32 then v.hrdata := zero32; else v.hrdata := tbo.data(31 downto 0); if r.hwrite = '1' then vabufi.write(0) := vabufi.enable; end if; end if; end case; end if; end if; if ((ahbsi.hsel(hindex) and ahbsi.hready) = '1') and ((ahbsi.htrans = HTRANS_BUSY) or (ahbsi.htrans = HTRANS_IDLE)) then v.hready := '1'; end if; if rst = '0' then v.ahbactive := '0'; if exten /= 0 then v.enable := resen; else v.enable := '0'; end if; v.timer := (others => '0'); v.hsel := '0'; v.dcnten := '0'; v.bhit := '0'; v.regacc := '0'; v.hready := '1'; v.tbreg1.read := '0'; v.tbreg1.write := '0'; v.tbreg2.read := '0'; v.tbreg2.write := '0'; if FILTEN then vf.smask := (others => '0'); vf.mmask := (others => '0'); end if; if PERFEN then pv.split := '0'; pv.splmst := (others => '0'); end if; if ntrace /= 1 then vb.bsel := (others => '0'); end if; end if; if PERFEN then astat <= pr.stat; else astat <= amba_stat_none; end if; tbi <= vabufi; rin <= v; rfin <= vf; rbin <= vb; prin <= pv; ahbso.hconfig <= hconfig; ahbso.hirq <= hirq; ahbso.hsplit <= (others => '0'); ahbso.hrdata <= ahbdrivedata(r.hrdata); ahbso.hready <= r.hready; ahbso.hindex <= hindex; end process; ahbso.hresp <= HRESP_OKAY; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; fregs : if FILTEN generate regs : process(clk) begin if rising_edge(clk) then rf <= rfin; end if; end process; end generate; nofregs : if not FILTEN generate rf.shsel <= (others => '0'); rf.pf <= '0'; rf.af <= '0'; rf.fr <= '0'; rf.fw <= '0'; rf.smask <= (others => '0'); rf.mmask <= (others => '0'); rf.rf <= '0'; end generate; perf : if PERFEN generate preg : process(clk) begin if rising_edge(clk) then pr <= prin; end if; end process; end generate; noperf : if not PERFEN generate pr.stat <= amba_stat_none; pr.split <= '0'; pr.splmst <= (others => '0'); pr.hready <= '0'; pr.hresp <= (others => '0'); end generate; bregs : if ntrace /= 1 generate regs : process(clk) begin if rising_edge(clk) then rb <= rbin; end if; end process; end generate; nobregs : if ntrace = 1 generate rb.bsel <= (others => '0'); end generate; enable <= tbi.enable & tbi.enable; mem32 : for i in 0 to 1 generate ram0 : syncram64 generic map (tech => tech, abits => TBUFABITS, testen => scantest, custombits => memtest_vlen) port map (clk, tbi.addr(TBUFABITS-1 downto 0), tbi.data(((i*64)+63) downto (i*64)), tbo.data(((i*64)+63) downto (i*64)), enable, tbi.write(i*2+1 downto i*2), ahbsi.testin ); end generate; mem64 : if bwidth > 32 generate -- extra data buffer for 64-bit bus ram0 : syncram generic map (tech => tech, abits => TBUFABITS, dbits => 32, testen => scantest, custombits => memtest_vlen) port map ( clk, tbi.addr(TBUFABITS-1 downto 0), tbi.data((128+31) downto 128), tbo.data((128+31) downto 128), tbi.enable, tbi.write(7), ahbsi.testin ); end generate; mem128 : if bwidth > 64 generate -- extra data buffer for 128-bit bus ram0 : syncram64 generic map (tech => tech, abits => TBUFABITS, testen => scantest, custombits => memtest_vlen) port map ( clk, tbi.addr(TBUFABITS-1 downto 0), tbi.data((128+95) downto (128+32)), tbo.data((128+95) downto (128+32)), enable, tbi.write(6 downto 5), ahbsi.testin ); end generate; nomem64 : if bwidth < 64 generate -- no extra data buffer for 64-bit bus tbo.data((128+31) downto 128) <= (others => '0'); end generate; nomem128 : if bwidth < 128 generate -- no extra data buffer for 128-bit bus tbo.data((128+95) downto (128+32)) <= (others => '0'); end generate; tbo.data(255 downto 224) <= (others => '0'); -- pragma translate_off bootmsg : report_version generic map ("ahbtrace" & tost(hindex) & ": AHB Trace Buffer, " & tost(kbytes) & " kbytes"); -- pragma translate_on end;
-- TV Interface Adapter (TIA) -- Copyright 2006, 2010 Retromaster -- -- This file is part of A2601. -- -- A2601 is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, -- or any later version. -- -- A2601 is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with A2601. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; entity lfsr6 is port(clk: in std_logic; prst: in std_logic; cnt: in std_logic; o: out std_logic_vector(5 downto 0) ); end lfsr6; architecture arch of lfsr6 is signal d: std_logic_vector(5 downto 0); signal prst_l: std_logic := '1'; begin o <= d; process(clk, prst) begin if (clk'event and clk = '1') then if (prst = '1' and prst_l = '0') then prst_l <= '1'; elsif (cnt = '1') then prst_l <= '0'; end if; end if; if (clk'event and clk = '1') then if (cnt = '1') then if (prst_l = '1') then d <= "000000"; else d <= (d(0) xnor d(1)) & d(5 downto 1); end if; end if; end if; end process; end arch; library ieee; use ieee.std_logic_1164.all; entity cntr2 is port(clk: in std_logic; rst: in std_logic; en: in std_logic; o: out std_logic_vector(1 downto 0) ); end cntr2; architecture arch of cntr2 is signal d: std_logic_vector(1 downto 0) := "00"; begin o <= d; process(clk, rst) begin -- if (rst = '1') then -- d <= "00"; if (clk'event and clk = '1') then if (rst = '1') then d <= "00"; elsif (en = '1') then case d is when "00" => d <= "10"; when "10" => d <= "11"; when "11" => d <= "01"; when "01" => d <= "00"; when others => null; end case; end if; end if; end process; end arch; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity cntr3 is port(clk: in std_logic; rst: in std_logic; en: in std_logic; o: out std_logic_vector(2 downto 0) ); end cntr3; architecture arch of cntr3 is signal d: unsigned(2 downto 0) := "000"; begin o <= std_logic_vector(d); process(clk, rst) begin if (clk'event and clk = '1') then if (rst = '1') then d <= "000"; elsif (en = '1') then d <= d + 1; end if; end if; end process; end arch; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.TIA_common.all; entity audio is port(clk: in std_logic; cnt: in std_logic; freq: in std_logic_vector(4 downto 0); ctrl: in std_logic_vector(3 downto 0); ao: out std_logic ); end audio; architecture arch of audio is signal dvdr: unsigned(4 downto 0) := "00000"; signal sr4: std_logic_vector(3 downto 0) := "0000"; signal sr5: std_logic_vector(4 downto 0) := "00000"; signal sr5_tap: std_logic; signal sr4_in: std_logic; signal sr5_in: std_logic; signal sr4_cnt: std_logic; signal sr5_cnt: std_logic; begin process(clk) begin if (clk'event and clk = '1') then if (cnt = '1') then if (sr4_cnt = '1') then sr4 <= sr4_in & sr4(3 downto 1); end if; if (sr5_cnt = '1') then sr5 <= sr5_in & sr5(4 downto 1); end if; if (dvdr = unsigned(freq)) then dvdr <= "00000"; else dvdr <= dvdr + 1; end if; end if; end if; end process; sr5_in <= '1' when (ctrl = "0000") or (sr5_tap = '1') or (sr5 = "00000" and (ctrl(0) = '1' or ctrl(1) = '1' or sr4 = "1111")) else '0'; sr4_in <= '1' when (ctrl = "0000") or (ctrl(3 downto 2) = "00" and (sr4 = "1111" or ((sr4(1) xnor sr4(0)) = '1'))) or (ctrl(3 downto 2) = "11" and (sr4(3 downto 1) = "101" or sr4(1) = '0')) or (ctrl(3 downto 2) = "01" and sr4(3) = '0') or (ctrl(3 downto 2) = "10" and sr5(0) = '1') else '0'; sr5_tap <= sr5(0) xor sr4(0) when (ctrl(1 downto 0) = "00") else sr5(0) xor sr5(3); sr5_cnt <= '1' when (dvdr = unsigned(freq)) else '0'; -- CHECKME sr4_cnt <= '1' when (dvdr = unsigned(freq) and ( (ctrl(1 downto 0) = "10" and sr5(4 downto 1) = "0001") or (ctrl(1 downto 0) = "11" and sr5(0) = '1') or (ctrl(1) = '0'))) else '0'; ao <= sr4(0); end arch; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity player is port(clk: in std_logic; prst: in std_logic; count: in std_logic; nusiz: in std_logic_vector(2 downto 0); reflect: in std_logic; grpnew: in std_logic_vector(7 downto 0); grpold: in std_logic_vector(7 downto 0); vdel: in std_logic; pix: out std_logic ); end player; architecture arch of player is component cntr2 is port(clk: in std_logic; rst: in std_logic; en: in std_logic; o: out std_logic_vector(1 downto 0) ); end component; component cntr3 is port(clk: in std_logic; rst: in std_logic; en: in std_logic; o: out std_logic_vector(2 downto 0) ); end component; component lfsr6 is port(clk: in std_logic; prst: in std_logic; cnt: in std_logic; o: out std_logic_vector(5 downto 0) ); end component; signal lfsr_out: std_logic_vector(5 downto 0); signal lfsr_rst: std_logic; signal lfsr_cnt: std_logic; signal cntr_out: std_logic_vector(1 downto 0); signal cntr_rst: std_logic; signal cntr_en: std_logic; signal scan_out: std_logic_vector(2 downto 0); signal scan_clk: std_logic := '0'; signal scan_en: std_logic := '0'; signal scan_cnt: std_logic; signal start: std_logic := '0'; signal scan_adr: std_logic_vector(2 downto 0); signal pix_sel: std_logic_vector(1 downto 0); signal ph0: std_logic; signal ph1: std_logic; signal ph1_edge: std_logic; begin lfsr: lfsr6 port map(clk, lfsr_rst, lfsr_cnt, lfsr_out); cntr: cntr2 port map(clk, cntr_rst, cntr_en, cntr_out); scan: cntr3 port map(clk, '0', scan_cnt, scan_out); ph0 <= '1' when (cntr_out = "00") else '0'; ph1_edge <= '1' when (cntr_out = "10") else '0'; ph1 <= '1' when (cntr_out = "11") else '0'; cntr_rst <= prst; cntr_en <= count; lfsr_rst <= '1' when (lfsr_out = "101101") or (lfsr_out = "111111") or (prst = '1') else '0'; lfsr_cnt <= '1' when (ph1_edge = '1') and (count = '1') else '0'; process(clk, count) begin if (clk'event and clk = '1' and count = '1') then if (ph1_edge = '1') then if (lfsr_out = "101101") or ((lfsr_out = "111000") and ((nusiz = "001") or (nusiz = "011"))) or ((lfsr_out = "101111") and ((nusiz = "011") or (nusiz = "010") or (nusiz = "110"))) or ((lfsr_out = "111001") and ((nusiz = "100") or (nusiz = "110"))) then start <= '1'; else start <= '0'; end if; end if; end if; end process; process(clk, scan_clk, start, scan_out, count) begin if (clk'event and clk = '1' and count = '1') then if (scan_clk = '1') then if (start = '1') then scan_en <= '1'; elsif (scan_out = "111") then scan_en <= '0'; end if; end if; end if; end process; process (clk, ph0, ph1, count) begin if (clk'event and clk = '1' and count = '1') then if (nusiz = "111") then scan_clk <= ph1; elsif (nusiz = "101") then scan_clk <= ph0 or ph1; else scan_clk <= '1'; end if; end if; end process; scan_adr <= scan_out when reflect = '1' else not scan_out; scan_cnt <= scan_en and scan_clk and count; pix_sel <= scan_en & vdel; with pix_sel select pix <= grpnew(to_integer(unsigned(scan_adr))) when "10", grpold(to_integer(unsigned(scan_adr))) when "11", '0' when others; end arch; library ieee; use ieee.std_logic_1164.all; entity missile is port(clk: in std_logic; prst: in std_logic; count: in std_logic; enable: in std_logic; nusiz: in std_logic_vector(2 downto 0); size: in std_logic_vector(1 downto 0); pix: out std_logic ); end missile; architecture arch of missile is component cntr2 is port(clk: in std_logic; rst: in std_logic; en: in std_logic; o: out std_logic_vector(1 downto 0) ); end component; component lfsr6 is port(clk: in std_logic; prst: in std_logic; cnt: in std_logic; o: out std_logic_vector(5 downto 0) ); end component; signal lfsr_out: std_logic_vector(5 downto 0); signal lfsr_rst: std_logic; signal lfsr_cnt: std_logic; signal cntr_out: std_logic_vector(1 downto 0); signal cntr_rst: std_logic; signal cntr_en: std_logic; signal start1: std_logic := '0'; signal start2: std_logic := '0'; signal ph1: std_logic; signal ph1_edge: std_logic; begin lfsr: lfsr6 port map(clk, lfsr_rst, lfsr_cnt, lfsr_out); cntr: cntr2 port map(clk, cntr_rst, cntr_en, cntr_out); ph1_edge <= '1' when (cntr_out = "10") else '0'; ph1 <= '1' when (cntr_out = "11") else '0'; cntr_rst <= prst; cntr_en <= count; lfsr_rst <= '1' when (lfsr_out = "101101") or (lfsr_out = "111111") or (prst = '1') else '0'; lfsr_cnt <= '1' when (ph1_edge = '1') and (count = '1') else '0'; process(clk) begin if (clk'event and clk = '1') then if (ph1_edge = '1') then if (lfsr_out = "101101") or ((lfsr_out = "111000") and ((nusiz = "001") or (nusiz = "011"))) or ((lfsr_out = "101111") and ((nusiz = "011") or (nusiz = "010") or (nusiz = "110"))) or ((lfsr_out = "111001") and ((nusiz = "100") or (nusiz = "110"))) then start1 <= '1'; else start1 <= '0'; end if; start2 <= start1; end if; end if; end process; pix <= '1' when (enable = '1' and ( (start1 = '1' and ( (size(1) = '1') or (ph1 = '1') or (cntr_out(0) = '1' and size(0) = '1'))) or (start2 = '1' and size = "11"))) else '0'; end arch; -- XYZ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity paddle is port(clk: in std_logic; value: in std_logic_vector(7 downto 0); rst: in std_logic; o: out std_logic ); end paddle; architecture arch of paddle is begin process(clk, rst) variable cnt: integer range 0 to 190; begin if( rst = '1' ) then -- map -128..127 -> 190..0 cnt := to_integer(96 + signed(value)/2 + signed(value)/4); elsif (clk'event and clk = '1') then if(cnt /= 190) then cnt := cnt + 1; end if; end if; -- return 1 if counter has "discharged" if(cnt = 190) then o <= '1'; else o <= '0'; end if; end process; end arch; library ieee; use ieee.std_logic_1164.all; entity ball is port(clk: in std_logic; prst: in std_logic; count: in std_logic; ennew: in std_logic; enold: in std_logic; vdel: in std_logic; size: in std_logic_vector(1 downto 0); pix: out std_logic ); end ball; architecture arch of ball is component cntr2 is port(clk: in std_logic; rst: in std_logic; en: in std_logic; o: out std_logic_vector(1 downto 0) ); end component; component lfsr6 is port(clk: in std_logic; prst: in std_logic; cnt: in std_logic; o: out std_logic_vector(5 downto 0) ); end component; signal lfsr_out: std_logic_vector(5 downto 0); signal lfsr_rst: std_logic; signal lfsr_cnt: std_logic; signal cntr_out: std_logic_vector(1 downto 0); signal cntr_rst: std_logic; signal cntr_en: std_logic; signal start1: std_logic := '0'; signal start2: std_logic := '0'; signal ph1: std_logic; signal ph1_edge: std_logic; begin lfsr: lfsr6 port map(clk, lfsr_rst, lfsr_cnt, lfsr_out); cntr: cntr2 port map(clk, cntr_rst, cntr_en, cntr_out); ph1_edge <= '1' when (cntr_out = "10") else '0'; ph1 <= '1' when (cntr_out = "11") else '0'; cntr_rst <= prst; cntr_en <= count; lfsr_rst <= '1' when (lfsr_out = "101101") or (lfsr_out = "111111") or (prst = '1') else '0'; lfsr_cnt <= '1' when (ph1_edge = '1') and (count = '1') else '0'; process(clk) begin if (clk'event and clk = '1') then if (ph1_edge = '1') then if (lfsr_out = "101101") or (prst = '1') then start1 <= '1'; else start1 <= '0'; end if; start2 <= start1; end if; end if; end process; pix <= '1' when ((ennew = '1' and vdel = '0') or (enold = '1' and vdel = '1')) and ( (start1 = '1' and ( (size(1) = '1') or (ph1 = '1') or (cntr_out(0) = '1' and size(0) = '1'))) or (start2 = '1' and size = "11")) else '0'; end arch; library ieee; use ieee.std_logic_1164.all; entity mux20 is port(i: in std_logic_vector(19 downto 0); a: in std_logic_vector(4 downto 0); o: out std_logic ); end mux20; architecture arch of mux20 is begin with a select o <= i(0) when "00000", i(1) when "00001", i(2) when "00010", i(3) when "00011", i(11) when "00100", i(10) when "00101", i(9) when "00110", i(8) when "00111", i(7) when "01000", i(6) when "01001", i(5) when "01010", i(4) when "01011", i(12) when "01100", i(13) when "01101", i(14) when "01110", i(15) when "01111", i(16) when "10000", i(17) when "10001", i(18) when "10010", i(19) when "10011", '-' when others; end arch; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.TIA_common.all; use work.TIA_NTSCLookups.all; entity TIA is port(vid_clk: in std_logic; cs: in std_logic; r: in std_logic; a: in std_logic_vector(5 downto 0); d: inout std_logic_vector(7 downto 0); colu: out std_logic_vector(6 downto 0); csyn: out std_logic; hsyn: out std_logic; vsyn: out std_logic; rgbx2: out std_logic_vector(23 downto 0); cv: out std_logic_vector(7 downto 0) := "00000000"; rdy: out std_logic; ph0: out std_logic; ph1: out std_logic; au0: out std_logic; au1: out std_logic; av0: out std_logic_vector(3 downto 0); av1: out std_logic_vector(3 downto 0); paddle_0: in std_logic_vector(7 downto 0); paddle_1: in std_logic_vector(7 downto 0); paddle_2: in std_logic_vector(7 downto 0); paddle_3: in std_logic_vector(7 downto 0); paddle_ena: in std_logic; inpt4: in std_logic; inpt5: in std_logic; pal: in std_logic := '0' ); end TIA; architecture arch of TIA is -- COMPONENT VGA_SCANDBL -- PORT( -- I : IN std_logic_vector(6 downto 0); -- I_HSYNC : IN std_logic; -- I_VSYNC : IN std_logic; -- CLK : IN std_logic; -- CLK_X2 : IN std_logic; -- O : OUT std_logic_vector(6 downto 0); -- O_HSYNC : OUT std_logic; -- O_VSYNC : OUT std_logic -- ); -- END COMPONENT; -- -- COMPONENT VGAColorTable -- PORT( -- clk : IN std_logic; -- lum : IN std_logic_vector(3 downto 0); -- hue : IN std_logic_vector(3 downto 0); -- mode : IN std_logic_vector(1 downto 0); -- outColor : OUT std_logic_vector(23 downto 0) -- ); -- END COMPONENT; component cntr2 is port(clk: in std_logic; rst: in std_logic; en: in std_logic; o: out std_logic_vector(1 downto 0) ); end component; component lfsr6 is port(clk: in std_logic; prst: in std_logic; cnt: in std_logic; o: out std_logic_vector(5 downto 0) ); end component; component audio is port(clk: in std_logic; cnt: in std_logic; freq: in std_logic_vector(4 downto 0); ctrl: in std_logic_vector(3 downto 0); ao: out std_logic ); end component; component player is port(clk: in std_logic; prst: in std_logic; count: in std_logic; nusiz: in std_logic_vector(2 downto 0); reflect: in std_logic; grpnew: in std_logic_vector(7 downto 0); grpold: in std_logic_vector(7 downto 0); vdel: in std_logic; pix: out std_logic ); end component; component missile is port(clk: in std_logic; prst: in std_logic; count: in std_logic; enable: in std_logic; nusiz: in std_logic_vector(2 downto 0); size: in std_logic_vector(1 downto 0); pix: out std_logic ); end component; component ball is port(clk: in std_logic; prst: in std_logic; count: in std_logic; ennew: in std_logic; enold: in std_logic; vdel: in std_logic; size: in std_logic_vector(1 downto 0); pix: out std_logic ); end component; component mux20 is port(i: in std_logic_vector(19 downto 0); a: in std_logic_vector(4 downto 0); o: out std_logic ); end component; component paddle is port(clk: in std_logic; value: in std_logic_vector(7 downto 0); rst: in std_logic; o: out std_logic ); end component; signal h_lfsr_out: std_logic_vector(5 downto 0); signal h_lfsr_rst: std_logic; signal h_lfsr_cnt: std_logic; signal h_cntr_out: std_logic_vector(1 downto 0); signal h_cntr_rst: std_logic; signal hsync: std_logic := '0'; signal cburst: std_logic := '0'; signal hblank: std_logic := '1'; signal hmove: std_logic := '0'; signal hmove_set: std_logic; signal hmove_cntr: unsigned(3 downto 0) := "1111"; signal hmove_cntr_sl: std_logic_vector(3 downto 0); signal p0_rst: std_logic; signal p0_nusiz: std_logic_vector(2 downto 0) := "000"; signal p0_reflect: std_logic; signal p0_grpnew: std_logic_vector(7 downto 0); signal p0_grpold: std_logic_vector(7 downto 0); signal p0_vdel: std_logic := '0'; signal p0_pix: std_logic; signal p0_colu: std_logic_vector(6 downto 0) := "0000000"; signal p0_hmove: std_logic_vector(3 downto 0); signal p0_count: std_logic; signal p0_ec: std_logic := '0'; signal p1_rst: std_logic; signal p1_nusiz: std_logic_vector(2 downto 0) := "000"; signal p1_reflect: std_logic; signal p1_grpnew: std_logic_vector(7 downto 0); signal p1_grpold: std_logic_vector(7 downto 0); signal p1_vdel: std_logic := '0'; signal p1_pix: std_logic; signal p1_colu: std_logic_vector(6 downto 0) := "0000000"; signal p1_hmove: std_logic_vector(3 downto 0); signal p1_count: std_logic; signal p1_ec: std_logic := '0'; signal m0_rst: std_logic; signal m0_enable: std_logic; signal m0_size: std_logic_vector(1 downto 0) := "00"; signal m0_pix: std_logic; signal m0_hmove: std_logic_vector(3 downto 0); signal m0_count: std_logic; signal m0_ec: std_logic := '0'; signal m1_rst: std_logic; signal m1_enable: std_logic; signal m1_size: std_logic_vector(1 downto 0) := "00"; signal m1_pix: std_logic; signal m1_hmove: std_logic_vector(3 downto 0); signal m1_count: std_logic; signal m1_ec: std_logic := '0'; signal bl_rst: std_logic; signal bl_ennew: std_logic; signal bl_enold: std_logic; signal bl_vdel: std_logic := '0'; signal bl_size: std_logic_vector(1 downto 0); signal bl_pix: std_logic; signal bl_hmove: std_logic_vector(3 downto 0); signal bl_count: std_logic; signal bl_ec: std_logic := '0'; signal pf_gr: std_logic_vector(19 downto 0); signal pf_adr: unsigned(4 downto 0) := "00000"; signal pf_pix: std_logic; signal pf_mux_out: std_logic; signal pf_reflect: std_logic; signal pf_score: std_logic; signal pf_priority: std_logic := '0'; signal pf_colu: std_logic_vector(6 downto 0) := "0000000"; signal bk_colu: std_logic_vector(6 downto 0) := "0000000"; signal a0_freq: std_logic_vector(4 downto 0); signal a0_ctrl: std_logic_vector(3 downto 0); signal a0_vol: std_logic_vector(3 downto 0) := "0000"; signal a1_freq: std_logic_vector(4 downto 0); signal a1_ctrl: std_logic_vector(3 downto 0); signal a1_vol: std_logic_vector(3 downto 0) := "0000"; signal wsync: std_logic := '0'; signal vsync: std_logic := '0'; signal vblank: std_logic := '0'; signal center: std_logic := '0'; signal pf_cnt: std_logic := '0'; signal cx: std_logic_vector(14 downto 0) := "000000000000000"; signal cx_clr: std_logic; signal clk_dvdr: std_logic_vector(1 downto 0) := "01"; signal phi0: std_logic := '0'; signal phi1: std_logic := '1'; signal inpt45_len: std_logic := '0'; signal inpt45_rst: std_logic; signal inpt4_l: std_logic := '1'; signal inpt5_l: std_logic := '1'; signal au_cnt: std_logic; signal sec_dl: std_logic_vector(1 downto 0) := "00"; signal sec: std_logic; signal hh0: std_logic; signal hh0_edge: std_logic; signal hh1: std_logic; signal hh1_edge: std_logic; signal clk, clkx2: std_logic; signal sync: std_logic; signal blank: std_logic; signal int_colu: std_logic_vector(6 downto 0) := "0000000"; signal lum_lu: unsigned(7 downto 0); signal col_lut_idx: std_logic_vector(7 downto 0); signal col_lu: unsigned(7 downto 0); signal vid_clk_dvdr: unsigned(3 downto 0) := "0000"; signal vga_colu: std_logic_vector(6 downto 0); signal inpt03_chg: std_logic; signal inpt0: std_logic; signal inpt1: std_logic; signal inpt2: std_logic; signal inpt3: std_logic; begin paddle0: paddle port map(hsync, paddle_0, inpt03_chg, inpt0); paddle1: paddle port map(hsync, paddle_1, inpt03_chg, inpt1); paddle2: paddle port map(hsync, paddle_2, inpt03_chg, inpt2); paddle3: paddle port map(hsync, paddle_3, inpt03_chg, inpt3); h_cntr: cntr2 port map(clk, h_cntr_rst, '1', h_cntr_out); lfsr: lfsr6 port map(clk, h_lfsr_rst, h_lfsr_cnt, h_lfsr_out); pf_mux: mux20 port map(pf_gr, std_logic_vector(pf_adr), pf_mux_out); hh0_edge <= '1' when (h_cntr_out = "01") else '0'; hh0 <= '1' when (h_cntr_out = "00") else '0'; hh1_edge <= '1' when (h_cntr_out = "10") else '0'; hh1 <= '1' when (h_cntr_out = "11") else '0'; aud0: audio port map(clk, au_cnt, a0_freq, a0_ctrl, au0); aud1: audio port map(clk, au_cnt, a1_freq, a1_ctrl, au1); av0 <= a0_vol; av1 <= a1_vol; au_cnt <= '1' when (h_lfsr_out = "110111" or h_lfsr_out = "101100") and (h_lfsr_cnt = '1') else '0'; h_lfsr_rst <= '1' when (h_lfsr_out = "010100") else '0'; h_lfsr_cnt <= '1' when (hh1_edge = '1') else '0'; h_cntr_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RSYNC) else '0'; h_decode: process(clk, h_lfsr_out) begin if (clk'event and clk = '1') then if (hh1_edge = '1') then case h_lfsr_out is when "111100" => hsync <= '1'; when "110111" => hsync <= '0'; cburst <= '1'; when "001111" => cburst <= '0'; when "111001" => pf_cnt <= '1'; when "011100" => hblank <= hmove; when "010111" => hblank <= '0'; when "101001" => center <= '0'; when "010100" => hblank <= '1'; pf_cnt <= '0'; when "011000" => center <= '1'; when others => null; end case; end if; end if; end process; process(clk) begin if (clk'event and clk = '1') then if (h_lfsr_out = "010111") and (hh1_edge = '1') then hmove <= '0'; elsif (hmove_set = '1') then hmove <= '1'; end if; end if; end process; process(clk) begin if (clk'event and clk = '1') then if (h_lfsr_out = "000000" and hh1_edge = '1') then wsync <= '0'; elsif (r = '0') and (cs = '1') and (a = A_WSYNC) then wsync <= '1'; end if; end if; end process; csyn <= (vsync nand hsync) and (vsync or hsync); -- vsyn <= vsync; -- hsyn <= hsync; rdy <= '0' when (wsync = '1') else '1'; p0: player port map(clk, p0_rst, p0_count, p0_nusiz, p0_reflect, p0_grpnew, p0_grpold, p0_vdel, p0_pix); p1: player port map(clk, p1_rst, p1_count, p1_nusiz, p1_reflect, p1_grpnew, p1_grpold, p1_vdel, p1_pix); m0: missile port map(clk, m0_rst, m0_count, m0_enable, p0_nusiz, m0_size, m0_pix); m1: missile port map(clk, m1_rst, m1_count, m1_enable, p1_nusiz, m1_size, m1_pix); bl: ball port map(clk, bl_rst, bl_count, bl_ennew, bl_enold, bl_vdel, bl_size, bl_pix); pf_output: process(clk, h_lfsr_cnt) begin if (clk'event and clk = '1') then if (h_lfsr_cnt = '1') then if (pf_cnt = '1') then if (pf_adr = "10011") and (center = '0') and (pf_reflect = '0') then pf_adr <= "00000"; elsif (pf_reflect = '1') and (center = '1') and not (pf_adr = "00000") then pf_adr <= pf_adr - 1; elsif not (pf_adr = "10011") then pf_adr <= pf_adr + 1; end if; else pf_adr <= "00000"; end if; pf_pix <= pf_mux_out; end if; end if; end process; p0_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RESP0) and (phi0 = '0') else '0'; p1_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RESP1) and (phi0 = '0') else '0'; m0_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RESM0) and (phi0 = '0') else '0'; m1_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RESM1) and (phi0 = '0') else '0'; bl_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RESBL) and (phi0 = '0') else '0'; p0_count <= '1' when (hblank = '0') or (p0_ec = '1' and hh0 = '1') else '0'; p1_count <= '1' when (hblank = '0') or (p1_ec = '1' and hh0 = '1') else '0'; m0_count <= '1' when (hblank = '0') or (m0_ec = '1' and hh0 = '1') else '0'; m1_count <= '1' when (hblank = '0') or (m1_ec = '1' and hh0 = '1') else '0'; bl_count <= '1' when (hblank = '0') or (bl_ec = '1' and hh0 = '1') else '0'; hmove_set <= '1' when (a = A_HMOVE) and (r = '0') and (cs = '1') else '0'; cx_clr <= '1' when (a = A_CXCLR) and (r = '0') and (cs = '1') else '0'; inpt45_rst <= '1' when (a = A_VBLANK) and (r = '0') and (cs = '1') else '0'; process(clk, phi1, a, d, r, cs, cx, inpt45_len, inpt4_l, inpt4, inpt5_l, inpt5) begin if (r = '1') and (cs = '1') then d(5 downto 0) <= "000000"; case a(3 downto 0) is when A_CXM0P => d(7 downto 6) <= cx(1 downto 0); when A_CXM1P => d(7 downto 6) <= cx(3 downto 2); when A_CXP0FB => d(7 downto 6) <= cx(5 downto 4); when A_CXP1FB => d(7 downto 6) <= cx(7 downto 6); when A_CXM0FB => d(7 downto 6) <= cx(9 downto 8); when A_CXM1FB => d(7 downto 6) <= cx(11 downto 10); when A_CXBLPF => d(7) <= cx(12); d(6) <= 'Z'; when A_CXPPMM => d(7 downto 6) <= cx(14 downto 13); when A_INPT0 => if(paddle_ena = '1') then d(7) <= inpt0; else d(7) <= '1'; end if; d(6) <= '0'; when A_INPT1 => if(paddle_ena = '1') then d(7) <= inpt1; else d(7) <= '1'; end if; d(6) <= '0'; when A_INPT2 => if(paddle_ena = '1') then d(7) <= inpt2; else d(7) <= '1'; end if; d(6) <= '0'; when A_INPT3 => if(paddle_ena = '1') then d(7) <= inpt3; else d(7) <= '1'; end if; d(6) <= '0'; when A_INPT4 => if (inpt45_len = '1') then d(7) <= inpt4_l; else d(7) <= inpt4; end if; --d(6) <= 'Z'; d(6) <= '0'; when A_INPT5 => if (inpt45_len = '1') then d(7) <= inpt5_l; else d(7) <= inpt5; end if; --d(6) <= 'Z'; d(6) <= '0'; when others => d(7 downto 6) <= "--"; end case; else d <= "ZZZZZZZZ"; end if; if (phi1'event and phi1 = '0') then if (r = '0') and (cs = '1') then case a is when A_VSYNC => vsync <= d(1); when A_VBLANK => inpt03_chg <= d(7); inpt45_len <= d(6); vblank <= d(1); when A_PF0 => pf_gr(3 downto 0) <= d(7 downto 4); when A_PF1 => pf_gr(11 downto 4) <= d; when A_PF2 => pf_gr(19 downto 12) <= d; when A_CTRLPF => pf_reflect <= d(0); pf_score <= d(1); pf_priority <= d(2); bl_size <= d(5 downto 4); when A_NUSIZ0 => p0_nusiz <= d(2 downto 0); m0_size <= d(5 downto 4); when A_NUSIZ1 => p1_nusiz <= d(2 downto 0); m1_size <= d(5 downto 4); when A_HMCLR => p0_hmove <= "0000"; p1_hmove <= "0000"; m0_hmove <= "0000"; m1_hmove <= "0000"; bl_hmove <= "0000"; when A_HMP0 => p0_hmove <= d(7 downto 4); when A_HMP1 => p1_hmove <= d(7 downto 4); when A_HMM0 => m0_hmove <= d(7 downto 4); when A_HMM1 => m1_hmove <= d(7 downto 4); when A_HMBL => bl_hmove <= d(7 downto 4); when A_ENAM0 => m0_enable <= d(1); when A_ENAM1 => m1_enable <= d(1); when A_ENABL => bl_enold <= bl_ennew; bl_ennew <= d(1); when A_GRP0 => p1_grpold <= p1_grpnew; p0_grpnew <= d; when A_GRP1 => p0_grpold <= p0_grpnew; p1_grpnew <= d; when A_REFP0 => p0_reflect <= d(3); when A_REFP1 => p1_reflect <= d(3); when A_VDELP0 => p0_vdel <= d(0); when A_VDELP1 => p1_vdel <= d(0); when A_VDELBL => bl_vdel <= d(0); when A_COLUP0 => p0_colu <= d(7 downto 1); when A_COLUP1 => p1_colu <= d(7 downto 1); when A_COLUPF => pf_colu <= d(7 downto 1); when A_COLUBK => bk_colu <= d(7 downto 1); when A_AUDF0 => a0_freq <= d(4 downto 0); when A_AUDF1 => a1_freq <= d(4 downto 0); when A_AUDC0 => a0_ctrl <= d(3 downto 0); when A_AUDC1 => a1_ctrl <= d(3 downto 0); when A_AUDV0 => a0_vol <= d(3 downto 0); when A_AUDV1 => a1_vol <= d(3 downto 0); when others => null; end case; end if; end if; end process; output: process( clk, hblank, pf_priority, p0_pix, p1_pix, m0_pix, m1_pix, bl_pix, pf_pix, p0_colu, p1_colu, pf_colu, bk_colu) begin if (clk = '1' and clk'event) then if (hblank = '1' or vblank = '1') then int_colu <= "0000000"; elsif (pf_priority = '0') then if (p0_pix = '1' or m0_pix = '1') then int_colu <= p0_colu; elsif (p1_pix = '1' or m1_pix = '1') then int_colu <= p1_colu; elsif (pf_pix = '1' or bl_pix = '1') then int_colu <= pf_colu; else -- int_colu <= "0110010"; int_colu <= bk_colu; end if; else if (pf_pix = '1' or bl_pix = '1') then int_colu <= pf_colu; elsif (p0_pix = '1' or m0_pix = '1') then int_colu <= p0_colu; elsif (p1_pix = '1' or m1_pix = '1') then int_colu <= p1_colu; else -- int_colu <= "0110010"; int_colu <= bk_colu; end if; end if; end if; end process; colu <= int_colu; sec_delay: process(clk, r) begin if (clk'event and clk = '1') then if (hmove_set = '1') then sec_dl(1) <= '1'; elsif (sec = '1') then sec_dl(1) <= '0'; end if; if (hh0_edge = '1') then sec_dl(0) <= sec_dl(1); elsif (hh1_edge = '1') then sec <= sec_dl(0); end if; end if; end process; hmove_cntr_sl <= std_logic_vector(hmove_cntr); motion: process(clk, r, hmove_set) begin if (clk'event and clk = '1') then if (hh1_edge = '1') then if (sec = '1') then hmove_cntr <= hmove_cntr + 1; end if; if (p0_hmove(3) /= hmove_cntr(3)) and (p0_hmove(2 downto 0) = hmove_cntr_sl(2 downto 0)) then p0_ec <= '0'; elsif (sec = '1') then p0_ec <= '1'; end if; if (p1_hmove(3) /= hmove_cntr(3)) and (p1_hmove(2 downto 0) = hmove_cntr_sl(2 downto 0)) then p1_ec <= '0'; elsif (sec = '1') then p1_ec <= '1'; end if; if (m0_hmove(3) /= hmove_cntr(3)) and (m0_hmove(2 downto 0) = hmove_cntr_sl(2 downto 0)) then m0_ec <= '0'; elsif (sec = '1') then m0_ec <= '1'; end if; if (m1_hmove(3) /= hmove_cntr(3)) and (m1_hmove(2 downto 0) = hmove_cntr_sl(2 downto 0)) then m1_ec <= '0'; elsif (sec = '1') then m1_ec <= '1'; end if; if (bl_hmove(3) /= hmove_cntr(3)) and (bl_hmove(2 downto 0) = hmove_cntr_sl(2 downto 0)) then bl_ec <= '0'; elsif (sec = '1') then bl_ec <= '1'; end if; if not (hmove_cntr = "0000") then hmove_cntr <= hmove_cntr + 1; end if; end if; end if; end process; collision: process(clk, cx_clr) begin if (clk'event and clk = '1') then if (cx_clr = '1') then cx <= "000000000000000"; else if (m0_pix = '1' and p0_pix = '1') then cx(0) <= '1'; end if; if (m0_pix = '1' and p1_pix = '1') then cx(1) <= '1'; end if; if (m1_pix = '1' and p1_pix = '1') then cx(2) <= '1'; end if; if (m1_pix = '1' and p0_pix = '1') then cx(3) <= '1'; end if; if (bl_pix = '1' and p0_pix = '1') then cx(4) <= '1'; end if; if (pf_pix = '1' and p0_pix = '1') then cx(5) <= '1'; end if; if (bl_pix = '1' and p1_pix = '1') then cx(6) <= '1'; end if; if (pf_pix = '1' and p1_pix = '1') then cx(7) <= '1'; end if; if (bl_pix = '1' and m0_pix = '1') then cx(8) <= '1'; end if; if (pf_pix = '1' and m0_pix = '1') then cx(9) <= '1'; end if; if (bl_pix = '1' and m1_pix = '1') then cx(10) <= '1'; end if; if (pf_pix = '1' and m1_pix = '1') then cx(11) <= '1'; end if; if (pf_pix = '1' and bl_pix = '1') then cx(12) <= '1'; end if; if (m0_pix = '1' and m1_pix = '1') then cx(13) <= '1'; end if; if (p0_pix = '1' and p1_pix = '1') then cx(14) <= '1'; end if; end if; end if; end process; ph0 <= phi0; ph1 <= phi1; process(clk) begin if (clk'event and clk = '1') then if (h_lfsr_out = "010100" and hh1_edge = '1') then clk_dvdr <= "01"; phi0 <= '0'; phi1 <= '0'; else case clk_dvdr is when "00" => clk_dvdr <= "01"; phi0 <= '0'; phi1 <= '1'; when "01" => clk_dvdr <= "11"; phi0 <= '0'; phi1 <= '0'; when "11" => clk_dvdr <= "00"; phi0 <= '1'; phi1 <= '1'; when others => null; end case; end if; end if; end process; process(clk, inpt45_rst, inpt45_len, inpt4, inpt5) begin if (clk'event and clk = '1') then if (inpt45_rst = '1') then inpt4_l <= '1'; inpt5_l <= '1'; elsif (inpt45_len = '1') then if (inpt4 = '0') then inpt4_l <= '0'; end if; if (inpt5 = '0') then inpt5_l <= '0'; end if; end if; end if; end process; sync <= hsync xor vsync; blank <= hblank or vblank; process(vid_clk, vid_clk_dvdr) begin if (vid_clk'event and vid_clk = '1') then vid_clk_dvdr <= vid_clk_dvdr + 1; end if; end process; clk <= vid_clk_dvdr(3); clkx2 <= vid_clk_dvdr(2); -- Inst_VGA_SCANDBL: VGA_SCANDBL PORT MAP( -- I => int_colu, -- I_HSYNC => hsync, -- I_VSYNC => vsync, -- O => vga_colu, -- O_HSYNC => hsyn, -- O_VSYNC => vsyn, -- CLK => clk, -- CLK_X2 => clkx2 -- ); -- Inst_VGAColorTable: VGAColorTable PORT MAP( -- clk => clkx2, -- lum => '0' & vga_colu(2 downto 0), -- hue => vga_colu(6 downto 3), -- mode => '0' & pal, -- 00 = NTSC, 01 = PAL -- outColor => rgbx2 -- ); -- O_VIDEO_R(3 downto 1) <= video_r_x2; -- O_VIDEO_G(3 downto 1) <= video_g_x2; -- O_VIDEO_B(3 downto 2) <= video_b_x2; -- O_HSYNC <= hsync_x2; -- O_VSYNC <= vsyn; col_lut_idx <= "0001" & (not vid_clk_dvdr(3)) & vid_clk_dvdr(2) & vid_clk_dvdr(1) & vid_clk_dvdr(0) when (cburst = '1') else int_colu(6 downto 3) & (not vid_clk_dvdr(3)) & vid_clk_dvdr(2) & vid_clk_dvdr(1) & vid_clk_dvdr(0); col_lu <= col_lut(to_integer(unsigned(col_lut_idx))); lum_lu <= lum_lut(to_integer(unsigned(int_colu(2 downto 0)))); -- Composite video output process(vid_clk) begin if (vid_clk'event and vid_clk = '1') then if (sync = '1') then cv <= std_logic_vector(sync_level); elsif (cburst = '1') then cv <= std_logic_vector(blank_level + col_lu); elsif (blank = '1') then cv <= std_logic_vector(blank_level); else cv <= std_logic_vector(lum_lu + col_lu); end if; end if; end process; end arch;
------------------------------ entity array_slices is --generic declarations port ( row: in integer range 1 to 3; column: in integer range 0 to 4; slice1 out bit; slice2: out bit_vector(1 to 2);); slice2: out bit_vector(1 to 4);); slice2: out bit_vector(1 to 3);); end entity; ------------------------------ architecture circuit of array_slices is type onedoned is array (1 to 3) of bit_vector(1 to 4); constant table: onedoned := (('0', '0', '0', '1'), ('1', '0', '0', '1'), ('1', '1', '0', '1')); begin slice1 <= table(row)(column); slice2 <= table(row)(1 to 2); slice3 <= table(row)(1 to 4); -- slice4 <= table(1 to 3)(column); -- not synthesizable -- slice <= table(1)(column) & table(2)(column) & table(3)(column); -- one solution but becomes cumbersome when there are many rows gen: for i in 1 to 3 generate slice4(i) <= table(i)(column); end generate; end architecture; ------------------------------
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of ioblock3_e -- -- Generated -- by: wig -- on: Mon Jul 18 15:46:40 2005 -- cmd: h:/work/eclipse/mix/mix_0.pl -strip -nodelta ../../padio.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: ioblock3_e-rtl-a.vhd,v 1.2 2005/07/19 07:13:14 wig Exp $ -- $Date: 2005/07/19 07:13:14 $ -- $Log: ioblock3_e-rtl-a.vhd,v $ -- Revision 1.2 2005/07/19 07:13:14 wig -- Update testcases. Added highlow/nolowbus -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp -- -- Generator: mix_0.pl Revision: 1.36 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of ioblock3_e -- architecture rtl of ioblock3_e is -- Generated Constant Declarations -- -- Components -- -- Generated Components component ioc_g_i -- -- No Generated Generics port ( -- Generated Port for Entity ioc_g_i di : out std_ulogic_vector(7 downto 0); nand_dir : in std_ulogic; nand_in : in std_ulogic; nand_out : out std_ulogic; p_di : in std_ulogic; sel : in std_ulogic_vector(7 downto 0) -- End of Generated Port for Entity ioc_g_i ); end component; -- --------- component ioc_g_o -- -- No Generated Generics port ( -- Generated Port for Entity ioc_g_o do : in std_ulogic_vector(7 downto 0); nand_dir : in std_ulogic; nand_in : in std_ulogic; nand_out : out std_ulogic; p_do : out std_ulogic; p_en : out std_ulogic; sel : in std_ulogic_vector(7 downto 0) -- End of Generated Port for Entity ioc_g_o ); end component; -- --------- component ioc_r_io3 -- -- No Generated Generics port ( -- Generated Port for Entity ioc_r_io3 do : in std_ulogic_vector(3 downto 0); en : in std_ulogic_vector(3 downto 0); nand_dir : in std_ulogic; p_di : in std_ulogic; p_do : out std_ulogic; p_en : out std_ulogic; sel : in std_ulogic_vector(3 downto 0) -- End of Generated Port for Entity ioc_r_io3 ); end component; -- --------- component ioc_r_iou -- -- No Generated Generics port ( -- Generated Port for Entity ioc_r_iou di : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL do : in std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL en : in std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL nand_dir : in std_ulogic; p_di : in std_ulogic; p_do : out std_ulogic; p_en : out std_ulogic; p_pu : out std_ulogic; pu : in std_ulogic -- __I_AUTO_REDUCED_BUS2SIGNAL -- End of Generated Port for Entity ioc_r_iou ); end component; -- --------- -- -- Nets -- -- -- Generated Signal List -- signal d9_di : std_ulogic_vector(1 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal d9_do : std_ulogic_vector(1 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal d9_en : std_ulogic_vector(1 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal d9_pu : std_ulogic_vector(1 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal data_i33 : std_ulogic_vector(7 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal data_i34 : std_ulogic_vector(7 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal data_o35 : std_ulogic_vector(7 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal data_o36 : std_ulogic_vector(7 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal display_ls : std_ulogic_vector(7 downto 0); signal display_ls_en : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal display_ms_en : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal iosel_bus : std_ulogic_vector(7 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal ioseldi_0 : std_ulogic; signal ioseldi_1 : std_ulogic; signal ioseldi_2 : std_ulogic; signal ioseldi_3 : std_ulogic; signal nand_dir : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_31 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_32 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_33 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_34 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_39 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_40 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_31 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_32 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_35 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_36 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_39 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_40 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_31 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_32 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_35 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_36 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_39 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_40 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_pu_31 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_pu_32 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- Generated Signal Assignments p_mix_d9_di_go <= d9_di; -- __I_O_BUS_PORT d9_do <= p_mix_d9_do_gi; -- __I_I_BUS_PORT d9_en <= p_mix_d9_en_gi; -- __I_I_BUS_PORT d9_pu <= p_mix_d9_pu_gi; -- __I_I_BUS_PORT p_mix_data_i33_go <= data_i33; -- __I_O_BUS_PORT p_mix_data_i34_go <= data_i34; -- __I_O_BUS_PORT data_o35 <= p_mix_data_o35_gi; -- __I_I_BUS_PORT data_o36 <= p_mix_data_o36_gi; -- __I_I_BUS_PORT display_ls_en <= p_mix_display_ls_en_gi; -- __I_I_BIT_PORT display_ms_en <= p_mix_display_ms_en_gi; -- __I_I_BIT_PORT iosel_bus <= p_mix_iosel_bus_gi; -- __I_I_BUS_PORT nand_dir <= p_mix_nand_dir_gi; -- __I_I_BIT_PORT pad_di_31 <= p_mix_pad_di_31_gi; -- __I_I_BIT_PORT pad_di_32 <= p_mix_pad_di_32_gi; -- __I_I_BIT_PORT pad_di_33 <= p_mix_pad_di_33_gi; -- __I_I_BIT_PORT pad_di_34 <= p_mix_pad_di_34_gi; -- __I_I_BIT_PORT pad_di_39 <= p_mix_pad_di_39_gi; -- __I_I_BIT_PORT pad_di_40 <= p_mix_pad_di_40_gi; -- __I_I_BIT_PORT p_mix_pad_do_31_go <= pad_do_31; -- __I_O_BIT_PORT p_mix_pad_do_32_go <= pad_do_32; -- __I_O_BIT_PORT p_mix_pad_do_35_go <= pad_do_35; -- __I_O_BIT_PORT p_mix_pad_do_36_go <= pad_do_36; -- __I_O_BIT_PORT p_mix_pad_do_39_go <= pad_do_39; -- __I_O_BIT_PORT p_mix_pad_do_40_go <= pad_do_40; -- __I_O_BIT_PORT p_mix_pad_en_31_go <= pad_en_31; -- __I_O_BIT_PORT p_mix_pad_en_32_go <= pad_en_32; -- __I_O_BIT_PORT p_mix_pad_en_35_go <= pad_en_35; -- __I_O_BIT_PORT p_mix_pad_en_36_go <= pad_en_36; -- __I_O_BIT_PORT p_mix_pad_en_39_go <= pad_en_39; -- __I_O_BIT_PORT p_mix_pad_en_40_go <= pad_en_40; -- __I_O_BIT_PORT p_mix_pad_pu_31_go <= pad_pu_31; -- __I_O_BIT_PORT p_mix_pad_pu_32_go <= pad_pu_32; -- __I_O_BIT_PORT -- -- Generated Instances -- -- Generated Instances and Port Mappings -- Generated Instance Port Map for ioc_g_i_33 ioc_g_i_33: ioc_g_i port map ( di => data_i33, -- io data nand_dir => nand_dir, -- Direction (X17) p_di => pad_di_33, -- data in from pad sel => iosel_bus -- io data ); -- End of Generated Instance Port Map for ioc_g_i_33 -- Generated Instance Port Map for ioc_g_i_34 ioc_g_i_34: ioc_g_i port map ( di => data_i34, -- io data nand_dir => nand_dir, -- Direction (X17) p_di => pad_di_34, -- data in from pad sel => iosel_bus -- io data ); -- End of Generated Instance Port Map for ioc_g_i_34 -- Generated Instance Port Map for ioc_g_o_35 ioc_g_o_35: ioc_g_o port map ( do => data_o35, -- io data nand_dir => nand_dir, -- Direction (X17) p_do => pad_do_35, -- data out to pad p_en => pad_en_35, -- pad output enable sel => iosel_bus -- io data ); -- End of Generated Instance Port Map for ioc_g_o_35 -- Generated Instance Port Map for ioc_g_o_36 ioc_g_o_36: ioc_g_o port map ( do => data_o36, -- io data nand_dir => nand_dir, -- Direction (X17) p_do => pad_do_36, -- data out to pad p_en => pad_en_36, -- pad output enable sel => iosel_bus -- io data ); -- End of Generated Instance Port Map for ioc_g_o_36 -- Generated Instance Port Map for ioc_r_io3_39 ioc_r_io3_39: ioc_r_io3 port map ( do(0) => display_ls(0), do(1) => display_ls(2), do(2) => display_ls(4), do(3) => display_ls(6), en(0) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(1) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(2) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable en(3) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable nand_dir => nand_dir, -- Direction (X17) p_di => pad_di_39, -- data in from pad p_do => pad_do_39, -- data out to pad p_en => pad_en_39, -- pad output enable sel(0) => ioseldi_0, -- __I_BIT_TO_BUSPORT sel(1) => ioseldi_1, -- __I_BIT_TO_BUSPORT sel(2) => ioseldi_2, -- __I_BIT_TO_BUSPORT sel(3) => ioseldi_3 -- __I_BIT_TO_BUSPORT ); -- End of Generated Instance Port Map for ioc_r_io3_39 -- Generated Instance Port Map for ioc_r_io3_40 ioc_r_io3_40: ioc_r_io3 port map ( do(0) => display_ls(1), do(1) => display_ls(3), do(2) => display_ls(5), do(3) => display_ls(7), en(0) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(1) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(2) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable en(3) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable nand_dir => nand_dir, -- Direction (X17) p_di => pad_di_40, -- data in from pad p_do => pad_do_40, -- data out to pad p_en => pad_en_40, -- pad output enable sel(0) => ioseldi_0, -- __I_BIT_TO_BUSPORT sel(1) => ioseldi_1, -- __I_BIT_TO_BUSPORT sel(2) => ioseldi_2, -- __I_BIT_TO_BUSPORT sel(3) => ioseldi_3 -- __I_BIT_TO_BUSPORT ); -- End of Generated Instance Port Map for ioc_r_io3_40 -- Generated Instance Port Map for ioc_r_iou_31 ioc_r_iou_31: ioc_r_iou port map ( di => d9_di(0), -- d9io do => d9_do(0), -- d9io en => d9_en(0), -- d9io nand_dir => nand_dir, -- Direction (X17) p_di => pad_di_31, -- data in from pad p_do => pad_do_31, -- data out to pad p_en => pad_en_31, -- pad output enable p_pu => pad_pu_31, -- pull-up control pu => d9_pu(0) -- d9io ); -- End of Generated Instance Port Map for ioc_r_iou_31 -- Generated Instance Port Map for ioc_r_iou_32 ioc_r_iou_32: ioc_r_iou port map ( di => d9_di(1), -- d9io do => d9_do(1), -- d9io en => d9_en(1), -- d9io nand_dir => nand_dir, -- Direction (X17) p_di => pad_di_32, -- data in from pad p_do => pad_do_32, -- data out to pad p_en => pad_en_32, -- pad output enable p_pu => pad_pu_32, -- pull-up control pu => d9_pu(1) -- d9io ); -- End of Generated Instance Port Map for ioc_r_iou_32 end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use work.cpu_lib.all; entity alu is port( a, b : in bit16; sel : in t_alu; c : out bit16); end alu; architecture rtl of alu is begin aluproc: process(a, b, sel) begin case sel is when alupass => c <= a after 1 ns; when andOp => c <= a and b after 1 ns; when orOp => c <= a or b after 1 ns; when xorOp => c <= a xor b after 1 ns; when notOp => c <= not a after 1 ns; when plus => c <= a + b after 1 ns; when alusub => c <= a - b after 1 ns; when inc => c <= a + "0000000000000001" after 1 ns; when dec => c <= a - "0000000000000001" after 1 ns; when zero => c <= "0000000000000000" after 1 ns; when others => c <= "0000000000000000" after 1 ns; end case; end process; end rtl;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; use ieee.numeric_std.all; library work; use work.zpu_config.all; use work.zpupkg.all; use work.zpuinopkg.all; use work.wishbonepkg.all; --library UNISIM; --use UNISIM.vcomponents.all; entity zpuino_icache is generic ( ADDRESS_HIGH: integer := 26 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; valid: out std_logic; data: out std_logic_vector(wordSize-1 downto 0); address: in std_logic_vector(maxAddrBit downto 0); strobe: in std_logic; enable: in std_logic; stall: out std_logic; flush: in std_logic; -- Master wishbone interface m_wb_ack_i: in std_logic; m_wb_dat_i: in std_logic_vector(wordSize-1 downto 0); m_wb_dat_o: out std_logic_vector(wordSize-1 downto 0); m_wb_adr_o: out std_logic_vector(maxAddrBit downto 0); m_wb_cyc_o: out std_logic; m_wb_stb_o: out std_logic; m_wb_stall_i: in std_logic; m_wb_we_o: out std_logic ); end zpuino_icache; architecture behave of zpuino_icache is constant ADDRESS_LOW: integer := 0; constant CACHE_MAX_BITS: integer := 13; -- 8 Kb constant CACHE_LINE_SIZE_BITS: integer := 6; -- 64 bytes constant CACHE_LINE_ID_BITS: integer := CACHE_MAX_BITS-CACHE_LINE_SIZE_BITS; -- memory max width: 19 bits (18 downto 0) -- cache line size: 64 bytes -- cache lines: 128 alias line: std_logic_vector(CACHE_LINE_ID_BITS-1 downto 0) is address(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS); alias line_offset: std_logic_vector(CACHE_LINE_SIZE_BITS-1 downto 2) is address(CACHE_LINE_SIZE_BITS-1 downto 2); alias tag: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS-1 downto 0) is address(ADDRESS_HIGH-1 downto CACHE_MAX_BITS); signal ctag: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS downto 0); type validmemtype is ARRAY(0 to (2**line'LENGTH)-1) of std_logic; shared variable valid_mem: validmemtype; signal tag_mem_wen: std_logic; signal miss: std_logic; signal ack: std_logic; signal offcnt: unsigned(line_offset'HIGH+1 downto 2); signal offcnt_write: unsigned(line_offset'HIGH downto 2); constant offcnt_full: unsigned(line_offset'HIGH downto 2) := (others => '1'); signal tag_match: std_logic; signal save_addr: std_logic_vector(address'RANGE); signal cyc, stb: std_logic; signal cache_addr_read,cache_addr_write: std_logic_vector(CACHE_MAX_BITS-1 downto 2); alias tag_save: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS-1 downto 0) is save_addr(ADDRESS_HIGH-1 downto CACHE_MAX_BITS); alias line_save: std_logic_vector(CACHE_LINE_ID_BITS-1 downto 0) is save_addr(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS); signal access_i: std_logic; signal access_q: std_logic; signal stall_i, valid_i: std_logic; signal busy: std_logic; signal hit: std_logic; signal tag_mem_enable: std_logic; type state_type is ( flushing, running, filling, waitwrite, ending ); signal state: state_type; signal fill_success: std_logic; signal tag_mem_data: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS downto 0); signal tag_mem_addr: std_logic_vector(CACHE_LINE_ID_BITS-1 downto 0); signal tag_mem_ena: std_logic; signal flushcnt: unsigned(line'RANGE); --constant line_length: integer := CACHE_LINE_ID_BITS; --constant ctag_length: integer := ADDRESS_HIGH-CACHE_MAX_BITS; constant dignore: std_logic_vector(ctag'RANGE) := (others => DontCareValue); constant dignore32: std_logic_vector(31 downto 0) := (others => DontCareValue); begin tagmem: generic_dp_ram generic map ( address_bits => CACHE_LINE_ID_BITS, data_bits => ADDRESS_HIGH-CACHE_MAX_BITS+1 ) port map ( clka => wb_clk_i, ena => tag_mem_enable, wea => '0', addra => address(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS),--line, dia => dignore,--(others => DontCareValue), doa => ctag, clkb => wb_clk_i, enb => '1', web => tag_mem_wen, addrb => tag_mem_addr, dib => tag_mem_data, dob => open ); valid_i <= ctag(ctag'HIGH); process(state, line_save, tag_save, flushcnt) begin if state=flushing then tag_mem_data <= '0' & tag_save; tag_mem_addr <= std_logic_vector(flushcnt); else tag_mem_data <= '1' & tag_save; tag_mem_addr <= line_save; end if; end process; tag_match <= '1' when ctag(tag'HIGH downto tag'LOW)=tag else '0'; stall <= stall_i; valid <= ack; tag_mem_enable <= access_i and enable; m_wb_dat_o <= (others => DontCareValue); -- Valid mem -- process(wb_clk_i) -- variable index: integer; -- begin -- if rising_edge(wb_clk_i) then -- if wb_rst_i='1' or flush='1' then -- for i in 0 to (valid_mem'LENGTH)-1 loop -- valid_mem(i) := '0'; -- end loop; -- else -- index := conv_integer(line_save); -- if tag_mem_wen='1' then -- valid_mem(index) := '1';--fill_success; -- end if; -- end if; -- if enable='1' and strobe='1' then -- valid_i <= valid_mem(conv_integer(line)); --else -- valid_i <= valid_mem(conv_integer(line_save)); -- end if; -- end if; -- end process; -- Address save process(wb_clk_i) begin if rising_edge(wb_clk_i) then --if wb_rst_i='1' then --fill_end_q<='0'; --fill_end_q_q<='0'; --else if stall_i='0' and enable='1' and strobe='1' then --if busy='0' and enable='1' and strobe='1' then save_addr <= address; end if; --fill_end_q <= fill_end; --fill_end_q_q <= fill_end_q; --end if; end if; end process; cachemem: generic_dp_ram generic map ( address_bits => cache_addr_read'LENGTH, data_bits => 32 ) port map ( clka => wb_clk_i, ena => tag_mem_ena, -- enable and strobe ? wea => '0', addra => cache_addr_read, dia => dignore32, doa => data, clkb => wb_clk_i, enb => '1', web => m_wb_ack_i, addrb => cache_addr_write, dib => m_wb_dat_i, dob => open ); tag_mem_ena <= enable and strobe; process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then access_q<='0'; else if busy='0' and enable='1' then access_q <= access_i; end if; end if; end if; end process; process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then state <= flushing; busy <= '0'; fill_success <='0'; offcnt <= (others => '0'); flushcnt <= (others => '1'); tag_mem_wen <= '1'; else busy <= '0'; cyc <= '0'; stb <= '0'; tag_mem_wen <= '0'; fill_success <='0'; case state is when flushing => busy <= '1'; flushcnt <= flushcnt - 1; tag_mem_wen<='1'; if flushcnt=0 then tag_mem_wen<='0'; state <= running; end if; when running => if flush='1' then state <= flushing; flushcnt <= (others => '1'); tag_mem_wen <= '1'; else if access_q='1' then if miss='1' and enable='1' then state <= filling; offcnt <= (others => '0'); offcnt_write <= (others => '0'); cyc <= '1'; stb <= '1'; --fill_success<='1'; busy <= '1'; end if; end if; end if; when filling => busy<='1'; cyc <= '1'; stb <= '1'; --if offcnt(offcnt'HIGH)='0' then -- stb <= '1'; --else -- stb <= '0'; --end if; if m_wb_ack_i='1' then offcnt_write <= offcnt_write + 1; -- This will go to 0, but we check before and switch state if offcnt_write=offcnt_full then tag_mem_wen<='1'; state <= waitwrite; end if; end if; --if offcnt_write = offcnt_full then -- state <= waitwrite; -- offcnt <= (others => '0'); --else if m_wb_stall_i='0' then if offcnt(offcnt'HIGH)='0' then offcnt <= offcnt + 1; end if; end if; when waitwrite => busy<='1'; state <= ending; when ending => busy<='0'; if enable='1' then fill_success<='1'; end if; state <= running; end case; end if; end if; end process; process(fill_success, busy, hit) begin if busy='1' then ack <= '0'; elsif fill_success='1' then ack <= '1'; else ack <= hit; end if; end process; --if busy='0' then -- ack <= hit; -- else -- ack <= fill_success; -- if state=ending then -- ack <= '1'; -- else -- ack <= '0'; -- end if; -- end if; -- end process; access_i <= strobe; hit <= '1' when tag_match='1' and valid_i='1' and access_q='1' else '0'; miss <= not hit; cache_addr_read <= line & line_offset when stall_i='0' else save_addr(CACHE_MAX_BITS-1 downto 2); cache_addr_write <= line_save & std_logic_vector(offcnt_write(offcnt_write'HIGH downto 2)); process(busy,miss,access_q) begin if busy='1' then stall_i<='1'; elsif fill_success='1' then stall_i <= '0'; else if access_q='1' then stall_i<=miss; else stall_i<='0'; end if; end if; end process; --stall_i <= miss when access_q='1' else busy; m_wb_cyc_o <= cyc; m_wb_stb_o <= stb when offcnt(offcnt'HIGH)='0' else '0'; m_wb_we_o<='0'; m_wb_adr_o(maxAddrBit downto CACHE_LINE_SIZE_BITS) <= save_addr(maxAddrBit downto CACHE_LINE_SIZE_BITS); m_wb_adr_o(CACHE_LINE_SIZE_BITS-1 downto 2) <= std_logic_vector(offcnt(CACHE_LINE_SIZE_BITS-1 downto 2)); end behave;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.xtcpkg.all; entity regbank_2p is generic ( ADDRESS_BITS: integer := 4; ZEROSIZE: integer := 4 ); port ( clk: in std_logic; rb1_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb1_en: in std_logic; rb1_rd: out std_logic_vector(31 downto 0); rb2_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb2_wr: in std_logic_vector(31 downto 0); rb2_we: in std_logic; rb2_en: in std_logic; -- RTL Debug access dbg_addr: in std_logic_vector(address_bits-1 downto 0) := (others => '0'); dbg_do: out std_logic_vector(32-1 downto 0) ); end entity regbank_2p; architecture behave of regbank_2p is constant NUMADDRESSES: integer := 2 ** ADDRESS_BITS; signal rb1_we: std_logic; signal ssra, ssrb: std_logic; constant srval: std_logic_vector(31 downto 0) := (others => '0'); constant addrzero: std_logic_vector(ZEROSIZE-1 downto 0):= (others => '0'); begin -- Register bank. -- Note the hack for writing to 1st port when 2nd port is -- being written to same address process(rb1_addr,rb2_addr,rb2_we) begin rb1_we<='0'; if rb2_we='1' and rb1_addr=rb2_addr then rb1_we<='1'; end if; end process; ssra<='1' when rb1_addr(ZEROSIZE-1 downto 0)=addrzero else '0'; ssrb<='1' when rb2_addr(ZEROSIZE-1 downto 0)=addrzero else '0'; rb: entity work.generic_dp_ram_r generic map ( address_bits => ADDRESS_BITS, srval_1 => srval, srval_2 => srval ) port map ( clka => clk, ena => rb1_en, wea => rb1_we, ssra => ssra, addra => rb1_addr, dia => rb2_wr, doa => rb1_rd, clkb => clk, enb => rb2_en, ssrb => ssrb, web => rb2_we, addrb => rb2_addr, dib => rb2_wr, dob => open, dbg_addr => dbg_addr, dbg_do => dbg_do ); end behave;
-------------------------------------------------------------------------------- -- Author: Parham Alvani ([email protected]) -- -- Create Date: 13-05-2016 -- Module Name: FSM.vhd -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; entity FSM is port (start_state : in std_logic_vector(3 downto 0); end_state : out std_logic_vector(3 downto 0); str : in std_logic_vector(31 downto 0); enable, clk : in std_logic; done : out std_logic); end entity; architecture rtl of FSM is type state is (S0, S1, S2, S3, S4, S5, S6, S7, S8, S9); signal current_state, next_state : state; signal current_index, next_index : std_logic_vector(5 downto 0); signal str_buff : std_logic_vector(31 downto 0); begin process(clk) begin if clk'event and clk = '1' then if enable = '1' then current_index <= "000000"; str_buff <= str; case start_state is when "0000" => current_state <= S0; when "0001" => current_state <= S1; when "0010" => current_state <= S2; when "0011" => current_state <= S3; when "0100" => current_state <= S4; when "0101" => current_state <= S5; when "0110" => current_state <= S6; when "0111" => current_state <= S7; when "1000" => current_state <= S8; when "1001" => current_state <= S9; when others => current_state <= S0; end case; else current_state <= next_state; current_index <= next_index; end if; end if; end process; process(current_state) begin if current_index = "100000" then done <= '1'; else done <= '1'; end if; case current_state is when S0 => end_state <= "0000"; when S1 => end_state <= "0001"; when S2 => end_state <= "0010"; when S3 => end_state <= "0011"; when S4 => end_state <= "0100"; when S5 => end_state <= "0101"; when S6 => end_state <= "0110"; when S7 => end_state <= "0111"; when S8 => end_state <= "1000"; when S9 => end_state <= "1001"; when others => end_state <= "0000"; end case; end process; process(current_state) begin if current_index = "100000" then next_state <= current_state; next_index <= "000000"; else case current_state is when S0 => if str(to_integer(unsigned(current_index))) = '1' then next_state <= S5; else next_state <= S1; end if; when S1 => if str(to_integer(unsigned(current_index))) = '1' then next_state <= S2; else next_state <= S7; end if; when S2 => if str(to_integer(unsigned(current_index))) = '1' then next_state <= S8; else next_state <= S3; end if; when S3 => if str(to_integer(unsigned(current_index))) = '1' then next_state <= S0; else next_state <= S7; end if; when S4 => if str(to_integer(unsigned(current_index))) = '1' then next_state <= S4; else next_state <= S9; end if; when S5 => if str(to_integer(unsigned(current_index))) = '1' then next_state <= S0; else next_state <= S6; end if; when S6 => if str(to_integer(unsigned(current_index))) = '1' then next_state <= S1; else next_state <= S7; end if; when S7 => if str(to_integer(unsigned(current_index))) = '1' then next_state <= S9; else next_state <= S2; end if; when S8 => if str(to_integer(unsigned(current_index))) = '1' then next_state <= S4; else next_state <= S3; end if; when S9 => if str(to_integer(unsigned(current_index))) = '1' then next_state <= S3; else next_state <= S8; end if; when others => next_state <= S0; end case; next_index <= current_index + "000001"; end if; end process; end architecture;
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY servo_pwm_clk64kHz_tb IS END servo_pwm_clk64kHz_tb; ARCHITECTURE behavior OF servo_pwm_clk64kHz_tb IS -- Unidad bajo prueba. COMPONENT servo_pwm_clk64kHz PORT( clk : IN std_logic; reset : IN std_logic; pos : IN std_logic_vector(6 downto 0); servo : OUT std_logic ); END COMPONENT; -- Entradas. signal clk : std_logic := '0'; signal reset: std_logic := '0'; signal pos : std_logic_vector(6 downto 0) := (others => '0'); -- Salidas. signal servo : std_logic; -- Definición del reloj. constant clk_period : time := 10 ns; BEGIN -- Instancia de la unidad bajo prueba. uut: servo_pwm_clk64kHz PORT MAP ( clk => clk, reset => reset, pos => pos, servo => servo ); -- Definición del proceso de reloj. clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Procesamiento de estímulos. proceso_estimulos: process begin reset <= '1'; wait for 50 ns; reset <= '0'; wait for 50 ns; pos <= "0000000"; wait for 20 ms; pos <= "0101000"; wait for 20 ms; pos <= "1010000"; wait for 20 ms; pos <= "1111000"; wait for 20 ms; pos <= "1111111"; wait; end process; END;
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY servo_pwm_clk64kHz_tb IS END servo_pwm_clk64kHz_tb; ARCHITECTURE behavior OF servo_pwm_clk64kHz_tb IS -- Unidad bajo prueba. COMPONENT servo_pwm_clk64kHz PORT( clk : IN std_logic; reset : IN std_logic; pos : IN std_logic_vector(6 downto 0); servo : OUT std_logic ); END COMPONENT; -- Entradas. signal clk : std_logic := '0'; signal reset: std_logic := '0'; signal pos : std_logic_vector(6 downto 0) := (others => '0'); -- Salidas. signal servo : std_logic; -- Definición del reloj. constant clk_period : time := 10 ns; BEGIN -- Instancia de la unidad bajo prueba. uut: servo_pwm_clk64kHz PORT MAP ( clk => clk, reset => reset, pos => pos, servo => servo ); -- Definición del proceso de reloj. clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Procesamiento de estímulos. proceso_estimulos: process begin reset <= '1'; wait for 50 ns; reset <= '0'; wait for 50 ns; pos <= "0000000"; wait for 20 ms; pos <= "0101000"; wait for 20 ms; pos <= "1010000"; wait for 20 ms; pos <= "1111000"; wait for 20 ms; pos <= "1111111"; wait; end process; END;
-------------------------------------------------------------------------------- -- Company: Universidad de Valencia -- Engineer: Alfredo Rosado -- -- Create Date: 10:58:12 10/16/2006 -- Design Name: segmentos -- Module Name: C:/Xilinx/prac1/prac1b/testseg.vhd -- Project Name: prac1b -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: segmentos -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY testseg_vhd IS END testseg_vhd; ARCHITECTURE behavior OF testseg_vhd IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT segmentos PORT( Reloj : IN std_logic; Reset : IN std_logic; interruptor : IN std_logic_vector(3 downto 0); abcdefgdp : OUT std_logic_vector(7 downto 0); anodos : BUFFER std_logic_vector(3 downto 0) ); END COMPONENT; --Inputs SIGNAL Reloj : std_logic := '0'; SIGNAL Reset : std_logic := '0'; SIGNAL interruptor : std_logic_vector(3 downto 0) := (others=>'0'); --Outputs SIGNAL abcdefgdp : std_logic_vector(7 downto 0); SIGNAL anodos : std_logic_vector(3 downto 0); BEGIN -- Instantiate the Unit Under Test (UUT) uut: segmentos PORT MAP( Reloj => Reloj, Reset => Reset, interruptor => interruptor, abcdefgdp => abcdefgdp, anodos => anodos ); tb : PROCESS BEGIN -- Wait 100 ns for global reset to finish wait for 100 ns; Reset <= '1'; wait for 15 ns; reset <= '0'; wait; -- will wait forever END PROCESS; tb2 : PROCESS BEGIN Reloj <= '1'; wait for 10 ns; Reloj <= '0'; wait for 10 ns; END PROCESS; tb3 : PROCESS BEGIN interruptor <= "0000"; wait for 1000 ns; interruptor(0) <= '1'; wait for 1000 ns; interruptor(0) <= '0'; interruptor(1) <= '1'; wait for 1000 ns; interruptor(1) <= '0'; interruptor(2) <= '1'; wait for 1000 ns; interruptor(2) <= '0'; interruptor(3) <= '1'; wait for 1000 ns; interruptor(3) <= '0'; interruptor(0) <= '1'; wait for 1000 ns; interruptor(0) <= '0'; interruptor(1) <= '1'; wait for 1000 ns; interruptor(1) <= '0'; interruptor(2) <= '1'; wait for 1000 ns; interruptor(2) <= '0'; interruptor(3) <= '1'; wait for 1000 ns; interruptor(3) <= '0'; interruptor(0) <= '1'; wait for 1000 ns; interruptor(0) <= '0'; interruptor(1) <= '1'; wait for 1000 ns; interruptor(1) <= '0'; interruptor(2) <= '1'; wait for 1000 ns; interruptor(2) <= '0'; interruptor(3) <= '1'; wait for 1000 ns; interruptor(3) <= '0'; interruptor(0) <= '1'; wait; END PROCESS; END;
library ieee; use ieee.std_logic_1164.all; entity fsm_5s is port (clk : std_logic; rst : std_logic; d : std_logic; done : out std_logic); end fsm_5s; architecture behav of fsm_5s is type state_t is (S0_1, S1_0, S2_0, S3_1, S4_0); signal s : state_t; begin process (clk) begin if rising_edge(clk) then if rst = '1' then s <= S0_1; done <= '0'; else -- Reset by default s <= S0_1; done <= '0'; case s is when S0_1 => if d = '1' then s <= S1_0; end if; when S1_0 => if d = '0' then s <= S2_0; end if; when S2_0 => if d = '0' then s <= S3_1; end if; when S3_1 => if d = '1' then s <= S4_0; end if; when S4_0 => if d = '0' then done <= '1'; end if; end case; end if; end if; end process; end behav;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZRTCgCQcS0cGlVC/Mwi0eRlv6eNAG29FckS+3e1Z0R4tsXoXfNXkddIsxCkW26qPIzUFOSxAPMGP eBvd2HpD+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TIijX5ZvRZ4iy50P/km5u+iRWkLVdlP+0Il9Qmdpu/D5PBo+XIJGGf43JAWDNofZrpGOZikQaGNy mb9Q0SI3hSF61nOoHn7EMD1EfC5f0b3gFDpLhe3Fh5TNlloMtY2Adiwveirv73z4F3D/RwR1CwAc 8A5ar89JRlio1drleuA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kmAYu1ewvp4YMYJh4IMYiJqv1btFPlDeoKR3AyiGfaxmSu9vRWMow66wfsP7s1Gh3LwBQyWRrGFp /n6am1PNwxJ1iE3ChZL7NmoBpCiPAdpYGmgm5uhq3eA4yb0IvbuSokX3slAnDM72sjv/7ptxPwyL MxadBmAFKC1AVom2puJj1SP+jOTgkfD1gC1Kevx0kge7CBBsdDmALlYBG9DNBHq1eE2I5wuN9z+M bHH7JzX41CtscNeIzPLF/5lKHjG/GGaZLJ5SdxuukU/UVtYEBSlOiSSkndyjwTTmSwHOyEXsfGLR 3sjlMKplpXCec84YIUd6Huki1gChESa21TKNnw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fd1xbQWb7E7HgvY55jgv3AzdSfocUV48gsQp6tMNl6sJ0tZfZWmqzneeLHi425HOEWvKfNCJhgq+ occqikrPjhkDPs//PTn4OXSDKhZ/3dI3icHBKQOYt6WyFO3sCvXmlZngjRaEomCslf3z/NLoQVmY iMHBfDvcFZJyM7CXio4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a0nrp1X1PH1T/8O1BVFi+e6L+TKguXSbUxBykR/UXDmsldl7nR7LGya6v+92Q1S4L0NfTwVZ2jlw xJld6BJScHIAMeo4wbj5J0Z2n3bhGI0h9ptWSs9TAT3VPJjyXuGu+RZS1d6EAzcWeoNIT5A7QClz EWPSGPlDq/D3eq002qdjx6RFW8l+XyY7NE9jbpQB4asEVLB2lKPtqQujasbR3Aqf616VU7kckOaz YBZcnQaILDJwqVYRtEjId/LXsxLZyWWPMKUV6xxBlQDcdDhioVnvvtC1BF9GUjgMF/tacAiLpm7R SWncw+y/1TdMEKbA3v1txSomxMycFo/wKatcDg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17456) `protect data_block Td5rf31aVX81MmSLBQu/e0EhC5xncH0hDNhtERbyKT6GyYqYMlrRAkHHaaO7wdS/rw2+8/nNOutD hku2vTOl0ENV40e+G+mKxIz8thP1M0jw2UiScQkQhPzDhHEhD/Xy71Dq6mTafpXPDj4wRMQCxy6e SyhMp0zsHVlRsKsOzUIrHA3sl3x8QKJAYyMQgJ7Arux5rZPNXJGmWTyqIxtRKvlmCv/vemdkerUT F83bedf9IG5pCbktDHJ9qm8QRq1w5Q3i3DEA80hSPJoDsNmFfPCioc0GPmK0xDGIfyKBRUH4uZrW /7G+Zr/ZI370pS/a8lg8ZkpRCf+FXJ3cTe6C7F1R5qRYukMmMvfKQ1V4RmYnWfFgjn90Ya4jtjKq 4MuNXpMPGRTfEyyN2+cyJV/qHqEnqNFqe+p5jyjRAzqiqxlg1dvc446s8OFeYe0mAUicTb9whVNe Z1odIjjrHQrbNiPVKMFnxsiSVYjXpTbCgURvXYy3rBFUGLqBSEz/6tVDqOiRTG6pIgxvNwMGniwG xRs71lTMWAfYqqEHuNSHqbF6RzCjA8zlzA8jFlmz4f5DsvJ86BRndrNfO9n+Fqm1SV8Y+SxdRWkg r4IphPHsvJ8SdxsSRVBksx3V2m/8X+VY6ZyFMmHgpUUJGgbfDtWm1XT2ea+Ky9OcgL47cC+BHQMm 0IAzy2eyj7iJgSRx8p1PbVc0lkGsVyzotGWEP9TIT+GqjLdTf8ntw2yXUrjnOOSTDXvR68Z6Ftac yF0HonDu/dRk0F0Kt7QPPCrDgtXVO/DS7G2M0p0WWYh22GpN2xRCHZAfHC3wygkYVF7uZ6CeZk7f UusSPG3BUXBsGFXTARXh49TWSdtfDV5kDhy9oP8JHFvfrIWvGBslihe94BIFKHK8LRNeK6iEK/9z SzcEVTckaPj1w0di72VBGopOew9A/LeRxepe0OviJeTnA976O45gMk7kcCQM0JEfcm/s2rZ9qciZ 9kL/CtAkUw/Q5veMdF9cwEvWKq1Rm5wwSL1hiivI9+PIQoHHyoAg+oN2kCc0N1gYykwpkMlCYaRT uAI4H7gKUhAaVQTwFUZD+0TWSa8aRc8gQP2e5O/AqsD2CDJPva/PCBE1I1ys/iO3KAKMC8JgxDFX 0YFBS441jTYr+N+IXmtxsVLsU3tvnQRcIIyD309PIo/DJekG/lyQqa/nQA6DFQoH8OCJBpWECx7l U2lGy219beFwIpRGBAxNFQabMX3mU4OZ7bKXV+JlchgTHQKuN6+SIu/5mFPGfie2QXzUzd/HH8ON v2kBFDiLVbaneDkDAVrVvY6QjOlWrzeDIGYubnAaNCv+YzqxsQTK4mFwGaf9y9owyLhmbkpAx9/U kDLKpTJCg0Rl0mvTXaGZSlibk13EULzvVg69sdfkkxIUapMxpj4WMt76XoKoTKs5EvgkG7BF1Kph LeGFrvUsDinRtCA7f8DLdLCsOUBjtFGy+maw0tzWdDsVxP5Chux5p8MQpUgMjQECxf2eSEBpZZSh VCUfqIdvh7rzMIzdbQZPBm9b5t5f+Z9A+S1vIWUytFqLyxmQGyY7JvTr6sl91xafi7EtcCzcp7ch /2euNiuNnxAsLuG+f/1KSvMzQoL7DZ0+WjtY7GleKuGfv1IDjc5uQzzei/y4TtPjjPr5/zAOlBXV I56U8Q9xqApZmtINsGv7RUPVoYnKWNgVIx4hsh9AM0eUKLPjKzVXMMfzFmfYI3tydZZuostZgLPp QYOqA6qss/KMEpqzgFxFztICpcIF96XiErU0Qe/IWPWyrplCOyXF4usGjOGlzgHXY2SdCG3PlR64 Ov3ja1zS0Zbs6OhTnOUakjQ4+ifDNRLsTXMUZSbk5cBNyCTpxfyCUSHnk8S9PCn3CXht9v5gD+nN OH9oQF+JynozwQx0uQXdgc6KUluauzVSP5FrrPd1hateCQ1RRrGJbt3cUPsh0ONhWrWB+/F/SDd1 bBTosCpZ7Vcn8o6BLt4UIIugjSY1TrgNPuvHqAqiQ8PYnx2uFZ6b/Bwj3dN3Xhf4uh26TyoSghmW xx6ISmo2Cqwkm3dbDSZlTK2RVrOyXB4u0gEnfHD1+UZmxNCFYtseX8we/pHN1T1N1sUejqdmvneC N6/1vwvyeT/fZwFsDthwnAwMfRrRIRzfWp+LUpvmfbYutjMH7pMbD70FDjOfrzJvk9ShtXWzcVJx h8CflmJSJ4bcMCium8ZPvVEP3MIOxmNfOAyfSvQWlXvlFgDKy+aS22iN1s3h9OYkE2iT/5rvkrZs KK+VXW9AKKnBqx2s38eA93WgzouIZMyET2p0sl5Tc2H4JPOZkZ6oH8Ww/EQXiLqiqvc0zUh0D1vy qLpkwVg0pI8khkoRNZ+iXeO+66o5pE/ToC7/EAkLMuRHx8UbpvkqsvpQM9bBxrwEFkAjmoTQf27B UGZvmEWNyA4uIo+7okqPgMOejvnNqfEWkmJ2KPBxLZECzg1CeSkD2uULsElFITNUdTDN4ng3oW3C SxkjJgbGaqzcj0dOh8T5muOWYycY7RShgOmUXhasJ1oE4jlzKlfs3DPWEqqcWsx6OOl/zCLdzu47 SFJFTfataArZarKPsJmYVtAZc/PKJHTBjTaXQ/BGhRPg98Ty+6kDpU1sG1h1KkYFAhnYl3mTQpaS KNe3dO3kr/dVXvUVKZtrxnkM8mcbq7hze4DwUM86ufGaCV5YQPA97l4X6/XH3IiomZzDVcZ9W3Cq 77ak00llA3TW55ny4Zvn3tSbJpO6+fejdHA7VwKweMCzxrN6VKbo7nDxGe3P6Ak8nJ2l3UFOVRQB 0QfbNhEAOsvYXuzilWy9ysSULCBVnwFag5BVfeGLE0oFNewZFee/RpIxLZAAmVjL8nejYpJ436TB rtpc+lU3FD7ZfYmYguZXhqUwSkcAKN2KlBmWOE8MUM6MBvKpuElhtHhqoVFZ30prl6P701gF/RCd ++ULaav1aIGag2UKlNkn8rIbGt2PaMB+3ZBb0R41vgJns3cvkW9wejCAiWm0qXH/asmsn8kqbTxo a1zhZChIDBrQX5mjsTxkh+EwB9LKaTSSJnBUQvW5v0Qp/oIvuK+7RqHVPWw+oFoH+N2v83Vyy82b kCLwPiBchdf+sPsXUepcMY1O0lvJvBWyoq17kAfPkICRNGg4B22DSI1LsgafDWiiJlwnFeETS9zh cnYSq63FL8QpgxCAHMSiNTb6xFwFeWrGwoLZUzJFdtE6pKtumZtY73KA6voTaYV4pPAVZrhjjcw3 Az59LT8q9EUKFDRc93dXCn1WNgwzZGR76iEZjRtnI/zN8pLmTEg10VzEd2XJXZwC1lpgraqoClPu Wc5c6MZ3YOYCWyV3mPBHK7c5KgE8T2vJBKeUGGN7vUo6brkxsMpGdUpUDo/PaT9E/bNf+iceQUsh 1TmIX8K4SToCuKd9ZJ6A573tnbaKp4cSFOxsbExWDM5jgfgdkuEXh7Q0L31HjFEvUDek/rGq5zQa LQOdksJerc/4ODnhaqjmXTmeNDET/pmI4pMGnooLimTRyWOExlbNz11KWfVTDWPumnJWrBn6I789 3oim1J9xaF1/ERu9bJsZLXGqsJKyeW1tHRv1Xo62ti9v3Q4JK/7+yCB5vOBES5oVLKQcOLCsE2xi PNP7MU5G+J8BRgQJEZqsn3YM2woDTdqtKwvhC5CesVBd+uehvGd7mYK2+Bek8/Dsa18RZC00ZmEv HtX+RgVtzBICrgF6g/fdcBQFiZgQ6c0ykeGZx1fg993NAoHoLq/B7YxK9AX7DkglCVYv4XRMBuFG dMRfzUc4VAzD6i+okOR8aj/F/d2vnZ/4sknVIxbK/lONkx6de7bLC72fPomqKR5ICE5krakIOGfT TD4iXiKa4oVFRGNtk9tMKRQQnmTxppI9Ja6GL3ayZaX0OmOgkwMUaKhokPekKyfNcHonUy0McbIl wy2LO9idzemGnHmkI50sVxdtmu/9cdK0JoNxHK30PXVGxmh8geixMehdmyy7Cfj01YkGG9hzbtNE EVR/pGY6ZC3RrzN/moSDJ+Gs7iRBNPZ/Xwy8g8jpuodNrWwgTh8NZ/9Rw9Y4Kpg3HQ2ghi9EtZMk gsgVvnMV9KmdjEWgTVtI2r2inYAPbUEf3lFaUFOEVps9NpgyP2mTa/DrrgCEB7mFFApyNr4zZ9t6 jeORSoo3fIgQu+KgReaF5KXaLkwUz/Qo9aytE/53hA8GqJvUprXeH/xMaK1kmbNZlLZ0BdwDXnWu efFK0/HLx/EzcrxZ1AYVyDjnotwskA3CbFov3QBk9bIt5YYraQkvhlRvaYMq1WV/K9trd2D8cTuz T2mlKof4H801QJoBIN7SJIETL7U1vTYtShDFbMQYleE2wXaj/8zaM8ApTePENPdjYhOOWdfiHxnZ HNO8LeJdgA7aaa9pZQNSG38eVF9PmIzM9xhATwbqBrxDlLem2ebiqlKgv8fUBC+srqqjpxNRR4Dw rDt8Y6f3oFWF9yCNS1wlSiUojspTY9jakNH4ybL2390P30/y+IqQja2zbtijg9PndjnUusxUqwEc eN8RCRG+RRkhkVqk7QzVujiCmPftAU25O5b1SKj+VD2fm3dnPaN8+3ToTV6rqZnpDv107R1KVahk 3iGA+vIPf+BqMAMwOsc8azwQpmWW2XU7H8mvTMxAqan4bSAePXCPyXlJA8k+LRkgFhfWwZqWQ1Ol Bsfbv7lvgK/cwDvh8CxxyvNK4aLApFgNYBZB7hycAmap4Nvln31PYNFgTpBN5GyR8dI4ianq30u9 FT8l7u6NruZCxyioKo0tQVTQmEpbk+rS31T6X0k4qbuJ8FDOTLf8ixeDP2ri59ttB+D+Qb8M4G1D N5Hw02EjgPn+NUU6J5eovkFylmIC4PABME/N7ziBbskl0NbiWg8t6NBcKSxhjQQYSkDBXUEJRWsV FYcJlZAEWT/Vn0j/ZVF99jVPtrW2BEUhLHyPvevxBMQ2RmPE1dmDmLoLEPgNCQOrXAWkrCaRQiU/ qcswA9RdMfkFydqHTAjvae2V9J3CdtoirbNoh6bOcQEWAckRuWHrQR2sxlil1VIasFxKGOsqj9sQ blNiBKT8eQlz9cdfsuDwISP2XXrTqPWAPcJHTq9tWZZkNPVd9zkrtZsByMN2OLlIWSQJEGRl8mNZ poU+r8pNd03xPISTXGcjAv442nvN7zrFaBY0SwXRSAW67qcsegqj+AYm3cGPzGz9LgLBmNu0q7e7 ONP2R5hYMWRWF+JJCEGlRRJVjxNKauPWwsIJ1+HnX/9UXCaHL1VjR9RzsglcY0knqWQ7Lon9louK WwoCyIglgE8o0su4hc2fwmn2K2tPSvuRr8cKS87s0zEhaJ+k0pulmWFnIGK/rKxlZEDm2SSx1g6l Dh0JtCxqXrMoLjr0Dvur0uATDBsa6ttzMaqz8L7V+eBqX/CY2VR3Et1padIngjLtn6xgWMMwwuAk jDFEUM9zGZ03yzRgoNbE34z+MBgBexvN3Xph2qvmbyoBdoFFkBGTgcGyWtN7mBrmvqUarCnG4397 Nc/YzJrqWPZSXUdW30RTI0dec4eIrUtO9oYu3KpPSMpULJ2ikTPUlS6T1abOK3SSl6vLB8BZmVJB caeHErkPlz/eyEdnIkGJ+875nUZg5+VxkYp6hXvhr+O6d5rUOked7gZzEkPk11KRwr32F3T6p29D s/0RqPUzMDQl3JV4Uh5wLpDKkWAe35hE5AQ92K18lnzh/rx+FLa6bcc7gIdCO6G0kdib9pwsUqLy 9Q9v4/RlzI0BZZUCyZ0hEdXUSfFLX1W1WDPtoHkotRw5Vp+ItVJTYoZvv/TROoy56h9YMrO84f86 7J6mnkO7BiWk4tGzMTql3UnpiE566KZEvMyXF39NeC/+vBrBng7rH4NBAvq04U3HywiiD4cMn6J6 QgsNlZS1LfmDxTr1M0RMjrY9f15oZmI1IOS6mP1HVHB2FQWHEDukV20m56sPkjxupMxMDPCquU07 tX2fml0QSK6Fx5RPR/mPCx4PWvRncLg/xEXGBccTytjEf9TGJHvq0g0gvt0DJyVwXSpQo83CAEk6 MOMMM3v2lHmYv4owIS5KW8Mk6ygiBaT6CTuLholavi9nfZ8uL/oP0xjLH+dsBP/2bVijPzCRMkFh Tg3wl9Enu0EGN1Va9KpmLQOg+uedlk+cpBYGZikTbDiPSDxHJEEqsiOPbj4ldVeL1C77Za730vFJ N4Ozs12NMtCXV+pytjEv3ZLiOJ9zYwHI3HLIxjgpp9b8kZ87AV1KmNkOOvQ4GkKHiMBtDlkoudct /cbYJtOfI7uQLz9km/bWXEPZVpBwpdx5gEQEF2pp7e15bwaG1K3PHcw6DX7hofDPw1l98ZoRLgr8 3Sb6up5j7WIGs3xAj6cCA3DklXSuv5TsNqqBnms01z/JnPFKngCHv6SXxvgKr3BNRAmf/FFJb7HS Op2/wmi1I3z1ZA969iMaO8f4tA7DpPf2NKSaECD1Wso151Dd7+TcLJpZj9ij1CtvsmVf4L9CMp6H AyvPxnT5apI7vf6YwrIYZGgc3/2As8RmD8Led3Y7bqit4/6Uri96lJGiHQZfCidjnOY+vVMMUvWM uMRSx2UoMemgorycKtvOGbGwqlnJYh/r8CyXSksMRfQpHN09ZbxNBsEB5GGna8XD2rrDsCuIfnBi ssMkUcorlPu21wbc96YML2S/O2QAqqBtaUzM6lAXN3YAKYRNiDmJY40Ab7pQ/FafS65Wp3Qdyp9Y jBUjn056B5yN+e9wU1l0aMdrrWNgaDYzGJcf13+0HJQIepHl3ownViMw/KNw9ae03FNnfZlBm0Gu 0oYHpmHHapkjYd2hKJtcwtuk7CIUOxFV3vYQ7pYAdvnfE9HVoD5Rqpz0I6pFbOyflnfksBgLgaQB HTiONovPU94+9DiUOk5Ce6jzWKwTFNXDHreLY73ds+vcclwm/0poW86DzuxO8QZqd1dNaQqCWpAX T/YP93euCg1HOaYtHRv1WNSyWrPhbSWBVZSzhNDNvibhpBAFLkQ2SNufjKuyPXiI88AbL3NwJXCR MjxoZHBHdgKrqpjZXB6fcxQLCnmLuLZdrIB5RZ5Q3cnZh/bV/++iwEEVZEmi+D/UucSsL7xBZI81 i7Hb+sTnPIpmrRb6RP6MFE6i4GLljYISWHAFnHhVDJ343fJqyZ/int0y3zi1/hsIMADtzfnwwWo3 yUWPhz/JR5zAB+7a0zMWdPC73DiK9R9pwaXfKqzx6emW6Z8hrnhmydrjEelnEO2bkloBEfVoJsSE 2KQrzq45UkOE1krn8CeCAPxExjHJuujTL8wGD1PczwwKYSfNBUuQtPn4Pa53iSIGeGFYFmqq61dS DYbR4vCAu4yngo7cSVXHkzN0+ZMR4aTyT7UqmXQa4EVOBzAeKDb4z44oR/MluCsiqkVq2018ZXSA 4HxdjcEZXsPRQhf1zmVvE4HJIypFQIeBAvz7d2smICNls6X45+2f+Cfg8ChRlUCC4gk3buKxr+s0 bn4nTICPDsAcI2iHiflKq78LBiAsxAYZIlfUtbLwDAYK5OYD0KO++ZDt/ogLcM3uuouT94C0EdpO o19YDbkCZtA9jzdFjx9dFjnhQx3z7UOP5hrOpEFfid3Ap3g22POj0zWAcE3a+HDyOq+j378NM+Fy buqEIu95vCQg0tQcg8yRYtszoQSj8aybCiHIOCiPXcWPyiciI0uyQDwUF+nPg2KKws0AI6Ojw8Pm kji1YFf08S1/bDZro1TKKaGAyxFhSQx0xLbd5mDfbiPXdEw5X65q2xjLmF1Ohj41mLwIXeHeQm5S El/A3Z9HNxYWPTXLhkdOBkWwF/giHAmKs4t6pxJDgziFPOtMASTgstDkhG3/FmtnR/a8NTC+knCN 4shM5LbrZ88Ymg8BCXdvs7D6l+jvrr5C9jYSJFvMxpgtJ8/PSynkQGdK9gLbo0Je96PW6Kuz+iq+ umwBKA8We8LPa/bnH8iGObJz38IPcSXCpezZbCtHpt4x6yXhHyaqcnCi7+8IVpJ7rJLmj7yaOc1B TC9Q9ec9WfGd1oVdQh69cIBkh1uKktQVccCJ1U0pFSSaec5bl6C+33ed6E8z4nIlsanVLycWpJON D8BmAPvIfq/rzjVeghXJjxtMyYSPAYruJdIbJ/xtVwQtJ2uR16elB9fldK2JFD05U+KuW+0D6pmv odzflJrcFBzQpWTsMSyqJQy/b3wwFpkJpsRyEp/HXMzAmWpC1KY0HsHtKvqKVFFy4CTn2jLeYC8x yACUnpLqZ3NBcJ9EDNMaOfKUdq0SouOKZ9sdTWOfURGO4bKS4RSTWOfac5iIylFO1AzkClkX0Onz S0QMe+hYFn1rgUISxeodo1Xf7cJA1Pqk5kvhlt3W/xCIZ/i/eJErpvQdLVaNh9BjsgJix+RP0N1M 9Kg77WpVNq3h/j6NGO2g2cSfi33uRASykeZ23I5rYNbHPS6cOdi5Tk5JMzSYlAzpHwoA+itSR7VY mXPePCDTXYyFBZGmQOkLdrtpLJuCIsfYULvPQvAWNObRWZXScFukiowP8+/xHrAWHB3P0buwuShc u/Loi3p6aZQmA04DZ/rJsEn2iv+DvSWoR098KDjr9p4s4NCwp8hOkw3yvnc9mNbeBWxQL10rR1Tk qGoO/k2FIN98uxW58qIScgnetM9o5lip/jhHw3f7nn9VAEZauvgHmq/6WbUM/xqkHObXfgjg62cY ZJjTJ0dlnnbO0o84OYC5CONTHIiK7n9I98MOSlnW5DTC85qsIRrISbWfmY70TWe+ZgWI6zALEHV7 6ZEkF/8DP3fRLLkHxmOB+aH1n0H76YRGGrhV2f2ICDK4bFnXSuLG13YS47LyTM9ixDT8azZVtsps t2HtVtkG6hgjhPmmt8qYdFVFZNwEvMFznEVsbrCDUJnUUMy366LLo3vt8YVzOJfjw7dsX7t6QdDu Q9BzjmHOg+lfm/YPj3FF8o1/CWz2l1Do8Nu9ycb22mcr5cxptbqFyTGLSNBDhkF0byw/yb+oL7FG LDIjfhNIivoPEg/2EnX1W3iE4lNwTyRJqLOaS7/qnxdCPg7laHat5WSz9q+jfqjAAClTR9bF1TJC jhZ1iCqfD5wqYHb3WmXmz7ZC8ecYSdvrpPcDbVP7ETK4sFmDp6iA1WyqdiWl7S6hmT3X3PiW86+c NSBp//qFkGcRWKAzDamBHbqTAAO8xw3PTfL0x91YnaHFTC1dddvaFP41ZaKQgmEvmrqHm1qUCiZ3 usfvHv91nc3MewDaJ9Pui8TZzn41kxsXmHn4l9svpVDYEl2qpy2aYyB3nq1pIhhBt5RSaI0jfLnF RKwL8Z4EAMouhO5F5eghknS9vskny0WvDBIsdpwo6q777akFG/cPkLr4Knsfg95aYTg6YlJOTO5Z Uh6osQfYI0Sbosy1WRXW5Z5N5JtAxPb0CMKoxSSuh35JQtQIzZ7qdPn1oiKD/MOeWvuhuSQUGiI+ vTVBPzqLLecndKcmu5rx8vQyJHwX8f9GHkqeR8F0IDgfgM8in1IpdyGr/9OAoUHjQIpqQMqwFzOg sluf8HV1KV0uO1Lf4WUhTAQxXdShnn3yjd8tyextfrAtTKn5bHn52P/6paxSxiMNOMWHk1laumA4 f2NeZwxl/AWfVS4hUWtUmazQU2nbu3EdBmuy2AKo1lZhyazjrMw1p5WUj/pyEIvCEzUTEo7h0wrG bBA5EMQRA/7xAeuJu2pw8Zi5gVp8h1Oa22ynh5wI6++ZVFWtiUb1xhp7pR+mAd4/4zY3EwGNmwMT B6LMKBSmIfwkIe4JJAlNzW9HnS30pOxLv2gDU27QA2pQyBJv1qFfLhEhgyXTg9BiYTAFDdurXjTR Uq9TO5DETw3cCNOGvjXJEX8UxCiSg50zzog96Oj+0JwGsE0p/IoItYaka18a2RSRxlPN3sZ36j7e spKKPQv0YKrTbNou6Hx9sSZRGPxAjI0A8foj7i5BTnPHgDzYFG8u7wAyIO1r0zyObjdq4qrDnJTh NYE8+OlSzCKJcPa3neKfIzdaCoHS/RERFUt6i/GjFCtu6ik6igGTiUY80a8OAgIwqi5UWoPDfV85 1siUWhJHNe4hasfzP45EWxh6TqM6RVZIkLbG4PNGWQPN1Qv3xHh7ZkO6l7e5qDO9+n519zzd/or8 bBiiwg1s19s3IOVNizlbJbmTYLsJATwM0k/GrYPyagLQkX3gMWPVpgB3NDw/ZrGzfkveWIQHXieJ CHVo2yrVf+3RMXns0zN5S+Qt7IZZ+EKnaMm+uAn+grCQVXGknp5pqt+neSakSGKszbX+o6I1gWBH B+7NO5HRA6MkRFdAQjSD+9vnmkQGMuhnDAsPI/S/zSwA/KC8ogwx3kw8LoL6aV+I4ivwbUuSKxEF zFSNDc5OSNk2crIsQB2kXG5K4DNfm6S7LJWjtFATD9hvseWvhqDHgplIWrGRG/aLq33jJ3IjKO+b uplQx7VgtuXgWDaOOBYNMP/BYgrR/c4qo2mRSspBDqkq4XdLMJ8CcAFokFD2yBgRB8y8OZQ00QUE bMTug7wCAirBTOZuwyWjvIiwFfZK4s5HXsWITJHqWcnadd+v2D9UJ3oA6+sTK/KURkkTdLD8FAx+ jTehKuTX8PCWBfXHmp8yFRGIgG7984fRFuTAmaseLl2wRPHGr+ewxd2gYqWaF7UCN4Z4ZB2oBmpL yhqzM2j5T4iXcFxThFklEwCf990n2RKcdfn4I1zrJXO656/Y3Za9A1IQmqoWDs/1a/tv+yXJ66a6 bNNuyRVYCsOn5edDWS40mQl1Y4+6em09Zloa3ap6rZZTU4oOw6R3wJdF7jp7fFkuZWWJRPGXYKuU 4lv0G99MH7IkO9LvmtJaVkWY9VDVpOSk0Wud3glt64oW9kfjyu2KHUnvyn5RTTIJrlLrKbm5ogkG PJ2iB7rKwFQqm5BIHNjy2J/8FRQnhP8Qi5uncDchRpt8lEUW3n3WWZcrYCioUqYWNMDv/q2lHMD+ GXi+TAAEr61AJZb1Gc9TNvSgwt0h6iOaYx8qx3vGxFZI9BKDqvlhLrzB369nuCU7kuTtd4TFG49I vw79Ve5OpvnG1qWdg1jRPSo/RQw704xSsm2RbFfjtCXo7xHXLVj7DAyziPYFJZ9ouvIkOv7Xso/4 yL5CoKHKBmt3foCeGPf8VTEUez9OWjyP+S1puHv24HrvP2p6vFhN7CgID4rcNk1Mf6YoSgG/jFbb +jZnqW5H1fn6FwWC3xJaOjQv9eMuzzjPDl4wWh1+uMh+dKdy6cf/MGfq5DuscMX2mgOdz8JDFS2K lnjIsmBzIlO7zKFW7vQ9fDE8KMxUsAg1GjbO2Tjd7YaEn+ykFkm6MRcUDp4tsz9v3xpRQc2Yez49 SEGafW0ke/jharYtFjep+m4IDQhoK859PYMcWrV/RmbgAdhV4UDnQa38RDVyOBjMGF5aFQXDAjyV 6IZ2f8d4cWvKiAxtx0GfrdXiV4qyeMad0mq+r026yxwCMvP3zxnV993cUJii3l8lM6WQ8jkvA7vH RgTdwtGJ+aPtcYv1YQVVagE7c2SLV4+8Bn7Dcz8k7+X+7v0a7k9zTre6+ebobM9/KvuZD5BqZDj7 4Cyqv4Lqw58VTP+Oua0Oe8tu/sVcRUeoFrLT7kXW17qIAiwF4RC9qw/JmYIWRsO7JphrUv/1atCn OB2YjJ81sQcDg7rDrot+RecJEiuu/3agpt7eBDsB14KlURTXlhywNneMRDkwyXKRv06JWrOwswrr RdpwIdk+wAmw0Il7OIQRuDoot9tR1p86aL0yYCBn+aKVwst+/x9A+ppSdXJb6qJsjweCOpUsDydj 6PCdLRf6e5KHyYCW4TN2dVmyfYoPU0jbHBCL4e/73Pe11jueUNBgR8+qbcrN2v/iZ+/Y0okIH9HN Uv9D2LluBZza56N9k5fF8FOilS0PyFO2nCJocBIoZUnNQNax/orZIm/5v/knO1QCOLw6a+pX1k/y pxIUZBzQvA3CF5Dgez70JAc9QyZO/LAOZZu5h1bCXg4/jrDPWjyVtVDdbRvxbc1fSgDbiUk9KpEJ Y4+Fo9X9KWMZi8tBTvavLqYumpB/5wuQswbEx9EOdkQZxOq0TgvXqMIE91dB7YmmD0WMz5jfsp9b Q1y5cdMey8XJllH6+1Sk7Vaz1nMWAl6CYYPMDYXChZ8DgP2MkmGskN43n3Yvh/ibXe3BVUqGeWb0 HbJeElI6+IFRBqkveJA2pG/NNoVnapQBZzH82F6ia2DyMhUgrhJubl79m4QfdXmMWUTzSqi12Rdz mxVnZZA89M00jv7uIETAja+ZQUk5l/7RfIO4xXgeMWftkPlmQ9yJKaGDACijsgnvqng7Qb2MCW4t z+apKvB9rkDpTLdVoqDxEEPVFPdVOuiJdJfwO6M2SLDXIcp9G7gaVnprz/RCNtnH6P2+Ol7vAGkh GDws0ay/ww2WqG/meRBj2rmLoGdt9AMxYt/4CXttaZthQkRgQpc5DXhWH0Yeq3296vfpPEItl8yl /yfsPum5PH5NJqsE9Xee5IlrYmLLLBSgyNqb07N2n5gcOnPXZFwWD4bmON/tSjzw5l/NxyP6zuA6 y7w9T42/xgZ7/TH5E43Qjh1wzx+up6zoO8i5iCFm7Q1CaVGBB9pTkzCfLSlghb/aJoKa70c0p8sk vcbFmXhlYceD16NfySZDzzj2coslDCtvO5ONXdhjKUk9JLF9xo6tjLsRNTP308fWhMU3miq8IWTg bdecUbzHWcJ8shIC2JkgaPzeVe2jb1zseOjnKpHuDaeHhD8/plu3NnKm+JImFy2KKaBvKVPpVXET 1IOPmiS+KQsqVr1Ar3YCl+9GMia5a0BGfxrxs2ej64LyOEoRYo22Hsylho7DplZEtwB6tFLz8Lbc 7ceMH8m+NDIpxhc/3/Vo9owd34kYIzrsNItfIsj2vUDNKkGpNa4IIueWdpWxw+5VfwlWxzyuzomC tvH1N/61VuZLFyLQ+2FW69pq1uVQhb1As5PcicMujv/TzybFob5JOo2pfo3EhqxkcWTUWwiG1H1a zqG/mkBroWV4nldNR8xCeJTWw0tGH0riEpVhVE2FjqpxcE/gZN3gdu4EOm5amLNzDXLxXmA9B+/Q 356+2mVIMMOn1FuC9Mp+hLp+ycbeyasDC6k5ULaWrBNAe1YZBmrfs12wR2CcQ6+GoIbNvZukAoVe Wd7YfsB4Ey8H/EPuUnfwMuA8/tGRMnjPwFTuDU6gHzHsmENC4sginces9Op0z6M6+ZRE6QMYTFvB HCiFAvxxm4S1v5KRqkYkUKPymJcMPFYrx2TUH19IInyoPZequkNCkziZM3RjgHjoYh2CIszTxrjD pbdhUNGaqXaHVsI1748GT2Im2j9tlizIFAg+RFrqY2Sil6pIyMzfWV210Nmr7PNLqFENzwPc0Nyo USVSiV+e6RyAjJgQ1sEEIasnphviWkkk5Aqv305y1JjHNYcack3h9nX1Lcdac2x3mQU080XRT1Dc uTAfmN0DbJ41UMH28Cd518kFM8aDpVznlLFkvDyp1X4kCeL3Vv5QnnSBAqrlZxPs8z5kCSkZN0t4 I8mVv7hDgF/mD8poQKsoBBosQ1l51F7+eUY234I5NX/gij+G6HsmYf/MXBBlIcGqFdrcWQjg90H3 WuAi8OUHbTEcDAZjjGc4p+Ymf9ATVHzzSOcL+izUdQyRuvDrUHoN9N2XS7BjOC/LV30EOlpg21/3 La/TvDo4gVrokL5dzKzObZ3LqSDfZdEu2pXHqabvBMsRA/aDSSQsrz6kjrtcJzm2+N//vWmvp6sZ 2hksz6jClyMhx1V+JkXT95iLZd6CPy52emYKQGXSWZ/D4VJV379d7Sm8DIgF+lufyLi91rCathZk ctEFnLMiTsU9enLXnZeJqbw4steCF80nSNam/pSZ+qkPnxnQvXqK1bi6ursqpdKgzDaeHQSBiZNC 21ZY7StQAWC1rnQ0oJOr9gatJggnzG3WX8VxwgOHl62j8oafeXz74fFo+rma8bULNF2p5ADCMwku 4sE/3ukbbIoupseZkv1sFyq4S9n3Ltt6WoFiRtvxHN53ZdkKzc4DlXBRX84+cAvhVfyscncyfcFk 512ozaYhM8uFXAuoRFNfDoDaWQjhcbUfjQ8kSbfLzxQB7+88UpLoQHCCs3dmowOeAbxn4pZyXeDl Eycr3nGxV0vYCH1Yjye0jvvEU5ugef26pG5tA1GXM7OiJp6DYS0XBPeeecB310/prvW70Oqpx/qC 6naK1ihOlujiNAYEEwhfcwIySfoSHdRIL94/5ulDoSgymQZTgmNUtUzEQB7oWopnKRMefS3iYUIT +N2Waru1peqm+7vB1RCHTdo2sgOCwAEkzpM8Z4UNV4j1BaRpvTN2t2ozCpMTnWL6hJ4IE+H2DFZX lCEB01JloS72SPVmfU6mTEmg266ZHCz04RFUQvqCVTw17XdzIre+FmAEh67J71U5Oz0PsoRQQKEj kn0Vgw35quoTbM4tMgZAecb0z4o+9FPxmrxRnvW6eKaSceYYbRtYxkGTRi84jeH5gKbFY3d4wy4K 6LYUigmcVblsoIFf1/O3w12hy2qj9OmGe9dNR58Y9PiXkK9Husma0VmbKqTC6Bscz2548NrrLwWP eakaikHo4hS4YiTEwt/qlUjXH7CQn8BModpPgXX30CLjFt/xkfezaH3DzuSGibMHMDlODuYWQO/d Z/jHE9F6p1w+3pXLoWX/Gbuzv9D65+bWwg4mZpIC/uhgCpPb2F0uJvGKm1Y+7EwpW9OMW59+UpNH GWFhE8wB/rONfA4nqOpInjEl7mKaH+nhZ56cmgK6oOIpRbOewlAs9x596cY2lVU6i/gD5bE/f6IE oGtJ/AYxFJDx0NiAoXlxHsm7//aCBAxu5fD2wlq7PqnizAbYbQHeAVpHR3VPr7mvm42UwqVM5JUq qI7ebRh4w6TLHDzw/rYAs3daIVqHiJFQ9VBqLa4JvvFjBKA/iCUTpSoezzCj73ymyob4ck+e1GtS G4aEPpr+G/S2JTxe15miRJjTIBVRXDr4DH3PFYnblRfAOk9KAoy+s4ZJempyDMKpBKjOwKCBD0On mmEbx/xGCeoupgkPJol0lfbHXyYqZydHmY/IUDNHYRnveBBHKUhifJCFECjH1zKgVJI0kp3xqD3n ZJEurmLBG1i/YWqIxRHIm+utYgHv/C7dcQdFnnJ7s2ikrpozlw8IqHeBD+CC2Am7GGmXvSabE8Yc jeR1PwJ081K52TG3GOFER45DQ2vh+eemDS5CJEjsnEH7sca4XVSGH4F+HBI4P0sXkrsZi2g8pZqe sR+EUTydisy+gFnQU55DuBo5Cv+1hc/oZABYG79nLjlmByyKF0FaXXT53l5AH/7lWetKnxiVmNNf YPjHWv3nXYbqJ9OYNQosdP/H2jwtnhDfGEgRam1esJdyATGg8gYobXVqu4BKNstIIzmjsafYW8Ij dNcJhJMyFFw4Nexh9pHolYjLMHC4Qmnb3k7OyTldaRaTB6NjQgr6sZI8wK1U5tB1Ua1KDI6Y1FIW vznmGCpcEqxlowI45h07uNYV16kHTEHBiMaZ1snNzSoI6KVjhgs+IktdN1LF2LY2nBPH+qgShvNR EnyfW/fLMqUlNeQ4FY2RF/XWJp6yFVLWtyLreR+se0rzSxEAD6tDypuJBol6FEg0kcCtttiklcc2 JOl+dnEaGZ1yVvxwvULTUKawMq7hWfYBQ7RZDR1cxY4P76H3CemiCcpjPKqvI9b6buNFlB0XmzJB yEKZKxEQeBmue4Rfd1UdWWX2tQRD2zL0hKaBfisc7RdcxxS9TOEc/j9hHUpYRDkzno4ha8xIQZSO S9QK9G8uMkAKdzmspX+Fkay/D9qU4q9CHgF7chNiSMQk+0OoHMrgxtahUkKpbxZTCX8x5NgoYJ4W jLkZ7IHUlmGQqQFhdvAEkNwpoZS3/dfe80tQZSSZwZKzn5T8WzDNQXn0p/56iEJ5ReNODTiwstCX NOlTfFklOEpfewV4+W4vLNlv7RplogGTotCu+Pjg5DRvooy/FmyEJgsWDwhLjnJJ/dZxlWO/hldb dOXF2kurt28ldAhmFZ3EUeqIrbYvpy4MP1XBsLlPmLbkCq1nYOAZWmmAaW9j+SScF4wdh/QfnCXs TrF0ndAGHuK7csrB5ir5502gg6vxA3KxWzUS1YecOq+jqF5/KXsSN9qKHF1vsBKYij92luqzLf6P 7MXYh5dOnUtCkiA15MlTDimFtHXnHnIl8EHjH7HnNUWpe7/MSx6fdl08GAc+gU3+yxmKvFfgdKob BbofpEcWwh0+HYLVi6jYuW2Qfc00k8XAdrioDM6RwkxKyha1JFzLqSokJkM3abq4WviYWxH5bld9 TG6GWdHu4DLYH7SIMUZoaRR2O4Kt33roqUucwrPfSmWPrOlRcX/AFt/YcXg+HqZxkf94CUirbp8k 5kEoFbnGM3U7hH7iR64VvYKTrNG0VTbTgqVrv2FguuPjs1ebYaF3SadvHaDdJiYE7c+5wlAo7u9Z bS99o38rTS4rDI7CzEkAKcIw9ufYwklvFtuVK8bYsklxX2BPM2JXN10XIDvyONWwXk9Th2G7bDh3 f2uGpAYIYqLcPYRPPlXu5A22KV9F+OwDWgnw/pPw/eeMwP/nwfVGe2s8CfUTYqu6V8xbbLz+05zI SdJKvNOMJ6D06UqmmAELV5jk9UnPclXGE7IxrnAVchCV5dk4V7YemSXvYTAMOsiT35gDZBRRkfQJ +0Kpi2La0mFD8VdgiCiA0TIlCx+b8j6keNT71gUf4c4/PTt1KBEoJypMWrxUNuyjk3FA1N4WBWiE oxq4NZsqx9xISQCaJKp0YeACkpSxlzFTM8LZk8BSF6Z0EwjWlf3NUl8iZPgik8noOh+wSHFNenYB u8eiTNlmNgO2o30s6Aqb5YrchSvNZhU3gmAwzJ+xlyFLdWwblaZh7sbNHaNOjEVqE52Z6mlp5dXY w+9/Smedo4JltsvKQ+6p9Ernx3guITxFXedBjpUBtFgCjhrQGVhpdVCyTMbamrV4KlMTmc3BpFw9 r8ST1AGA5F1+Dk6dj2EPxOgfK3LQFEYeUoED6SiKRkGVjzrdy0/D1aBT4qH93XEvJw89V8wnElAk L7t8O8NCN1pPZSvOSKLqkzUoqXId/dJNM8k7xTRuHMc+bogRARtctHnfH2B3yZkIye+tz3zPuZUb 7wY2Fe+x4Ka5LEEvcpQOhus4Q83ohhf3kccQWyc2DF23H2qtj0hE3A8wCC8RAHjzD688LLfLW8wK 7kAvPnJ/fVA3EOHJM14OuYvAx6NHX9x1I/HnRESooevmYWZmigKyA41BXBZ21LvOvyVkxeuzqogP jWPqBYu32laF59R379PwPe7vhxWXz+l122lgwhNz5bxqtDDtAGMfgZH53i1zvee5+D6+Lgs94zxM 9Gvo6M/79wJDbMN1FplVso8ZRICP1SCvvgYl5KICixyGGIA9t2vuHzKvWug765QlOjwtrr2Hq09o UDo5tdnltg4i27ZYesjJkTT9Og3eSXbLeqySoo/CzaKt4z2+NGidWcI0iGfvK4qMBwFF8X7B6X8l aNgqraG/yBnXKsqF9cDfy9E2NbXUAT7RpOYj8fWtKKTD7vmvSwqmEW0mP89lJeR9XrwRvxSHo1ox 6+Jn3TEuA+d4cxM0orBtAGEkMp8yu/JquHyXO3CVWBemlFMb5coULfI7ygjiWP0jC8TmCEeK5LE4 +TG3/zDO2GuLrecTTjasQGKrmbBf0AFxxDEWdQ/ytYB/Bw0Pd4KYh9a6NBnr369HR22NbGVIvmYK EnF35jQViVn4qWBqvEoAHyOPB9pQ5e3nvcmgzsZE5NgvdmcmIX1rGZdNs8Nl56rTGyRajw8fCnoV bsirxmudY7p85cW5CDSCOAHxoGdab0kvPYkL/xgsy5dm7z8RAZ296SGFYu9K2ZAKdnB6CLOy9Lgw KGiNzBX5sC96zsUIrvZzPdY3Zjp27XK+d4MAr9CN7uU7O0A0mqzbSe0cgAT6jJ4UkupXNDQ9dSA9 BNBjUjEDHXFAkw7qSGqD4jT0wcjPyJVrpSjtISLV5ddoTJABgx6ydPIhb1EN6dtc5/2zmCB3cLLQ bhFhodmxTIN+1nhraSSAF60dKnw7mvMDU8da3n3how8Puu9Fatdgq87l0uzIe0i3BCoEjltpiX2+ N3s1uP3uoddOFNr2A8zuSOUDKqLvARt5mWaMCfpMotyCIvBwbQU11VUjwWcldgtLO0jXYJ4ZXVKe tF8de/5tcQBhiuUjlYxOeo1jccr4GhFGajgk9e0lBhIaLgD9uylB4hxmRR5nXdiZJbFTGuRfUMNQ SoZt2UXjM0KV3yz6UK4yQgpN2g6r5URFtEgaqGOFFBS5iv6T+RkvTC97kLkJNh3vosbB6B4SjIKG t6I671SmBHgcUfaIKkYCp57lOEX6NzL4Poj3jlw36Ni162JiBKsXtvvj48YICNph5re1gxZiiR6a WSzfxJnk5N7BYtUitW8MpUkGhgzIB+7jLj1KXk10TPu8AMxyPJ4Aobm0kgkC6w2Nv3x480Pf9O5G tw0Fl1m3zv83fZCtIhprVeoAzv13ZVNByey/7y0OPvof9/icDoYhyBVWXn5jhWhE7LS01YfHYrSE h24FCClJmIb68Qw1GooV+0/pzCsoR1zsjkSW+OKaeJHjK/J9UHC6ZX1NzKFfRT2Sn9/M13c9iAtA LW0TZCuHgTUVoOoyJmoBoxwpLBK9+0q7jbViRvOOszWgEbhdGOylbqaAO4lPZCRIih57u1jZKaRM h3Zdeler3tIX29B3EYJKnL/dbcPfkfsXmnbtJfAQpfdrl4UV0PcnONKk+x8FLVTAnX677yJ6sOmJ I0TJq0N2Wf1Af2RqxcJrYk4wdqLOatWjG/hnTkw4g/jc315dc5mXb2ApTpzRFEbUIAwmoscHkKrc v9Ih6oGwGRE5qMZlYYQJkGjMY6PUqQ50QJ0OIOkqYI6I7qPp2N+oTpAUVs4Q2sF+bBpbdELXbtWt /f8HXHXZvWhlPkZ3W/FgoBuPTXZSObi0Ze4Ioq3GLlcgNiGbWMC780GXrWk/jIWjc8WmiizV6xS4 wdKXuyiwHhgBeOgG2YhUkJjYs/h8NBAWX0kw+nzt45fUtzrcqnz7au3c4QECpUvaYdXFxBFcOkrg c9Pp8dU8UDRq5m/StKQJVaNpLudULEdnnHI8mgxFGS5loBlwCGEtBreOw8iFIv6Imp7q9a2+umOd 949JCz28/5Bfmn4H7SxAiaIy39MJKlv+UUOcq3k+Sz2Bkfq+m+OMsBI1X+69mFZtCJgJByKVDiKg L592WBX0bytkB5OB2/Ib0kd1i4kNkHdTZP0Rj9kg1D+nEMMUJJtyLc5dd1fe/9TiW1Jb1xMsw1yo HNUU0E3BM2kAYv3D8D6S+DvHXgNVPdPwD0znVuj2EIBPAxMOxOViRewQZmDDCeKVpjuwC6VzEzae Z/cXxdE+fajJJKpaxooSXz+0+sd1hDORwgzaX9wVCVBFS/otvgBIF9+48aNczZUnCGg0QVuxhQJj aXyA3SXcMElviU3lmmkeQjimWqqTTXlXYCxjU1UExMMzrgamsFnszwg+Tkz642nTb4Oev4TMX4xG BMbG9wq+XdNHDTYfbj/Arv3gJjn9aidYZC27VhI2/qXPbAV4bYhJwxxenFbIUobbj5pvhoQ0jO0j 3Nsf49WkkaNk0h65HrgG0gwKPf4GO80zQiO1kxyj1Sv32prWLe0tldAsLV7qPfaUqsYl95Is+v4B YCJ45LBb//ayBKXh79jsPrVK/p/mRKGUXdi9CZCLcswMqM1YXPDyEpXBPvURzmn5vGGN366RUn4n IQENEE/bXrEuvUlSwqbTFTKJBN02uh3W+ylBX40ts73LTofgj46O2pDbxGMTKbFvQ+8lkUntq7cB p1EnaaourJcM8rfmQD4Gb3ln6kg6YnZydj35qVgSG9TrT9XyPR12Z+b+6l7G2hAE75FwjkTR49ZT 0yjNJTeQRk/Hlt/55y4SAZij7bFSrXDxC69LzEP7MiWAzER3oBGNOwSj9EvR0qFXu4Yp86rtWrch PGL25ej9SvUJKp2lfT6c8uPCUxZSsf+/0b9QVDfSkxdC1FF7x8NpcK00RmAP634lIMq0CYFjwSO9 5PFnR9ZFv6lFOLF2xMnJ1Lor2NqM6dS1VyvlKKNHR9nG8UZO5MkK5duX828wzeQjH0PKvXZbcF6k HHr0zgepqjgDZvGi3k5Q+sBXVoEIItow05Yyq3/JlHqN/TOiMJeiSrolOsOD9U4ylOUypDWtbDva irXK2o/cZLnHOcJMjC+RVNYdpITIV1cxWp+YMgXNb3Q/Gwp/8M87cD3xepZovuRjg8tzCDz9LwLr vVC8Zce6gcRDc0J34TC4QHrlS6Dplzrj5p+IMSwQ7ri1g6h/D5n84KYMSNM8KZPY8FQgNby2VyWq tE/jz39t6saFQXYLDffwQnR3H0DNmdIlxQ+iU6/8ycVngzYaQ8VYuO7+CrprIVaoH2ZBfdOv1u/2 czrBRQGaRvqO3o3rqAiyI5oT3vYFtOD2wm1DUz1YGV5zeBTVgCNKJA/1nnIVI7GsjLDzrNNocnqj oIxc6c7U24FkdXZz8U8ghDLhO1cRhK0XrP4da1A4/JDmigiVISnk3Kp+1oGoZnsDendwqTM1/S+E LEknSL5Zv0M39yU8fYsS4tVnT1kBEslvnzvTOyObQMwHE+KqkRgxeAoyeP/OM7HbmbsUTtqpz4u+ 4e6X/Ji0QR9IBZp+zHLa1HIIGfQ8dcOuTe5dSrGay4/ghw2xUiQogKDQpSKbs710WlDnsMqSPWOd vYcf+LQIcggCu70jc08/xGaf4705W/ypTK1PGU4M4ZwsmpWHs5p2XfhlG5TqzrP/8L95aCIpdUza ANdEL+OdXl3hH1lTcK8O8unJ/UVXbdLj6R6eL01dzESy56vTrHWT0624mmVYhhI9Af/lsoOekLZI 2wts+2mrOgfitVIDBqe0c/iJ2FKs1mOoOEfytVyMyKlrArnNSvaTM2yDFD42KlEShI7kWNgsqAmE xO4CPScWaCZD8ESUUb8DHYsSJA37X8nc7K1j7YyhlSpNFg5S6F3T9CYDK0QMpNygaCMnXxfW498R SQjs6t9z75pxF73wfbB0zMiAb0Pm2G8WPuPAhYH3qTZleGXEfMXWfelaEcCNKO1yLKV3gXprTbbL s517aGUpUBruEq8k170UMc2HBejPtWAkklQbb5jxgUnb88PJqJlVDfY//saujauygm75oyZqduNY o0z+KJaXBJZ9ByNo21f2Od+0seCVP05kkOjxiInouU3OsPnQxAdH3Wiz8FzhS3iwQX2A2YKQPzf0 RpCD43Eft4WMvM+O5X99NWEqj9szBH/bHv6wq6AGbmpzmdm1AMUNj7Yhna4+RBwgUqqccE7DmA9B Bj/vJLFxqjJVDOrFdrCUMDOy/lB+M1cx4TKMLQIiYShuQj4+vWyVDpbu8/4DUB1NpVQrWbDTkrcL Udf8C7lV3d7rsgnAgCQS0M03chZu069n//kOIFo359VG9PorqcJzGeRKXiiIJAoifX8yE8wk+oLE w1LSkaAS2pSZBPb2J12w0eOW8bAFaZILsaC1hwhSgyzpILOKL65NsEoTKLt0zq7QY2r3C8M4uc9a gXonkH3xy98bJqOmWLLTqj0WmLd6vZ79n0J18L6eRMiQo6TE++KMSMDU/Aalb36S/YW/zI/JCY8R wXmVBhvDzOPVTyd5IFKkiplyj8HKZEivLwDiEnSrdtv2q/DDP923W2VFvR+3Xe36CH7O5OETtk1O eZVl6xBJwY5cfyQyRpkP05LUNiwrvX5uR97DP8gVMwXq5UQSavNAea7v5k4LK2D7yQgaJXJUcMn1 5+I87/aQsD4R7XWj/97XYymdRlo2lPT5p2AKp0dJ8fNwPKDRSaak+c2dvBOFq/T28hHRIo/K0yum 5bZkU3iO4SUAspoyoMsHGpz/IEEsvbAld2Z7ECWSFaR14lkVhcTpFV6UcyIY9yMeYyG1o8+IYsx8 7X2GSAk17jKhrff6lPrDtoJiNJ6EkaQUyTs4qcF8suqpXYHoSLlpIwx86wVP/ReTc3mQfyktbRTD gSyca9G2CLSfkpQ5zREryG9f/nsS8w6WVtTb4ZYvDu2LKbATuT6uSSJquqgrVFnHGQguko7DwJaw trv9s3lJinxx+cQR9B5QbNBe19m89WmOlBslRvyChoG+RIxCVbI0vmbRc9N0AXD+DMdZkLtk5oCL CDvjtLs7CbyVzP88o4iDIbmgG5qQM4oalw+rkfdJ9fTSKB7tcaAumeEc3QuVr3UfXS0/MQVG2ysx r0qH7ZPINQX+JiZ/Zdg5bz7tje1mYuPJ0JafJl9gvapcd/VM3Yd1mlbzRC8FM+Drnwiv1vywvEag bwdh6c6dpzPx2zUh8o7nBgRVsXP1Lb/8ksEMxAH4P+0++nTMc0LPLungGMuvX5OG1K2xhwGJXvym 9QB/mtcLGzGo6LAXfRfMpj3ivRy1ct81aAUoO5rjqW3mXlHRWRuKKRiA/dug4R1tbhgccaIUSKAY 36r/2nA/FgqEpAzTRRFqTpsoymisa0v6r90ma7ZtV8cpo8yWQ+H8cdRdZweneCcpXfSvCta4gNtr ppQ2rDBQ1PBPYS+Y1L9k2+8luCrzsgZqmERFlMZjjZfnIXm3qdoKFziEXpO1jln9FUmfrkPGHj29 CGuVsDxpZqb+SXzgk3tnzcGv/Y6gpiH7RYSMPY+7IsEYczv43hjPLXuZm6JZMySBFoPDrNvFwLac og1jVHaMqhjITRqieZ4rc5on4W0sALWWv5FF4IvEaCeEKQGRSDY/k3Ykn2CFNvnaSK+LdDg7vAEM 1XWe+qtF2Rky2NrVXOkpHkCC+25x4n2H/KPhJhLIadmqXMVyRKsVmbrAfJY6Ia945tmJSHsX68Ae cL9BgsjyEe2minOSZsY7k+FYznC/YHsmAjH7Dg0/4wTy4L+WGq75UeNDh9VNq+hIVpi85IjyQko5 892yBaecmUHB7ubGreG2chSPz3TSy7iqIfW5sBZ+gGS7V9Vxvx57Zn0l34DawLgiwCkFpVuL6mya /6LaPVVzroEekuB1BiUWzmjd8fQL2UOD3VKeKMhzfHZPjfjsKNMi8z36ccm9Lk/+7bVurO8+nIcI QYyXHp9pOADS54qPFmI= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZRTCgCQcS0cGlVC/Mwi0eRlv6eNAG29FckS+3e1Z0R4tsXoXfNXkddIsxCkW26qPIzUFOSxAPMGP eBvd2HpD+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TIijX5ZvRZ4iy50P/km5u+iRWkLVdlP+0Il9Qmdpu/D5PBo+XIJGGf43JAWDNofZrpGOZikQaGNy mb9Q0SI3hSF61nOoHn7EMD1EfC5f0b3gFDpLhe3Fh5TNlloMtY2Adiwveirv73z4F3D/RwR1CwAc 8A5ar89JRlio1drleuA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kmAYu1ewvp4YMYJh4IMYiJqv1btFPlDeoKR3AyiGfaxmSu9vRWMow66wfsP7s1Gh3LwBQyWRrGFp /n6am1PNwxJ1iE3ChZL7NmoBpCiPAdpYGmgm5uhq3eA4yb0IvbuSokX3slAnDM72sjv/7ptxPwyL MxadBmAFKC1AVom2puJj1SP+jOTgkfD1gC1Kevx0kge7CBBsdDmALlYBG9DNBHq1eE2I5wuN9z+M bHH7JzX41CtscNeIzPLF/5lKHjG/GGaZLJ5SdxuukU/UVtYEBSlOiSSkndyjwTTmSwHOyEXsfGLR 3sjlMKplpXCec84YIUd6Huki1gChESa21TKNnw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fd1xbQWb7E7HgvY55jgv3AzdSfocUV48gsQp6tMNl6sJ0tZfZWmqzneeLHi425HOEWvKfNCJhgq+ occqikrPjhkDPs//PTn4OXSDKhZ/3dI3icHBKQOYt6WyFO3sCvXmlZngjRaEomCslf3z/NLoQVmY iMHBfDvcFZJyM7CXio4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a0nrp1X1PH1T/8O1BVFi+e6L+TKguXSbUxBykR/UXDmsldl7nR7LGya6v+92Q1S4L0NfTwVZ2jlw xJld6BJScHIAMeo4wbj5J0Z2n3bhGI0h9ptWSs9TAT3VPJjyXuGu+RZS1d6EAzcWeoNIT5A7QClz EWPSGPlDq/D3eq002qdjx6RFW8l+XyY7NE9jbpQB4asEVLB2lKPtqQujasbR3Aqf616VU7kckOaz YBZcnQaILDJwqVYRtEjId/LXsxLZyWWPMKUV6xxBlQDcdDhioVnvvtC1BF9GUjgMF/tacAiLpm7R SWncw+y/1TdMEKbA3v1txSomxMycFo/wKatcDg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17456) `protect data_block Td5rf31aVX81MmSLBQu/e0EhC5xncH0hDNhtERbyKT6GyYqYMlrRAkHHaaO7wdS/rw2+8/nNOutD hku2vTOl0ENV40e+G+mKxIz8thP1M0jw2UiScQkQhPzDhHEhD/Xy71Dq6mTafpXPDj4wRMQCxy6e SyhMp0zsHVlRsKsOzUIrHA3sl3x8QKJAYyMQgJ7Arux5rZPNXJGmWTyqIxtRKvlmCv/vemdkerUT F83bedf9IG5pCbktDHJ9qm8QRq1w5Q3i3DEA80hSPJoDsNmFfPCioc0GPmK0xDGIfyKBRUH4uZrW /7G+Zr/ZI370pS/a8lg8ZkpRCf+FXJ3cTe6C7F1R5qRYukMmMvfKQ1V4RmYnWfFgjn90Ya4jtjKq 4MuNXpMPGRTfEyyN2+cyJV/qHqEnqNFqe+p5jyjRAzqiqxlg1dvc446s8OFeYe0mAUicTb9whVNe Z1odIjjrHQrbNiPVKMFnxsiSVYjXpTbCgURvXYy3rBFUGLqBSEz/6tVDqOiRTG6pIgxvNwMGniwG xRs71lTMWAfYqqEHuNSHqbF6RzCjA8zlzA8jFlmz4f5DsvJ86BRndrNfO9n+Fqm1SV8Y+SxdRWkg r4IphPHsvJ8SdxsSRVBksx3V2m/8X+VY6ZyFMmHgpUUJGgbfDtWm1XT2ea+Ky9OcgL47cC+BHQMm 0IAzy2eyj7iJgSRx8p1PbVc0lkGsVyzotGWEP9TIT+GqjLdTf8ntw2yXUrjnOOSTDXvR68Z6Ftac yF0HonDu/dRk0F0Kt7QPPCrDgtXVO/DS7G2M0p0WWYh22GpN2xRCHZAfHC3wygkYVF7uZ6CeZk7f UusSPG3BUXBsGFXTARXh49TWSdtfDV5kDhy9oP8JHFvfrIWvGBslihe94BIFKHK8LRNeK6iEK/9z SzcEVTckaPj1w0di72VBGopOew9A/LeRxepe0OviJeTnA976O45gMk7kcCQM0JEfcm/s2rZ9qciZ 9kL/CtAkUw/Q5veMdF9cwEvWKq1Rm5wwSL1hiivI9+PIQoHHyoAg+oN2kCc0N1gYykwpkMlCYaRT uAI4H7gKUhAaVQTwFUZD+0TWSa8aRc8gQP2e5O/AqsD2CDJPva/PCBE1I1ys/iO3KAKMC8JgxDFX 0YFBS441jTYr+N+IXmtxsVLsU3tvnQRcIIyD309PIo/DJekG/lyQqa/nQA6DFQoH8OCJBpWECx7l U2lGy219beFwIpRGBAxNFQabMX3mU4OZ7bKXV+JlchgTHQKuN6+SIu/5mFPGfie2QXzUzd/HH8ON v2kBFDiLVbaneDkDAVrVvY6QjOlWrzeDIGYubnAaNCv+YzqxsQTK4mFwGaf9y9owyLhmbkpAx9/U kDLKpTJCg0Rl0mvTXaGZSlibk13EULzvVg69sdfkkxIUapMxpj4WMt76XoKoTKs5EvgkG7BF1Kph LeGFrvUsDinRtCA7f8DLdLCsOUBjtFGy+maw0tzWdDsVxP5Chux5p8MQpUgMjQECxf2eSEBpZZSh VCUfqIdvh7rzMIzdbQZPBm9b5t5f+Z9A+S1vIWUytFqLyxmQGyY7JvTr6sl91xafi7EtcCzcp7ch /2euNiuNnxAsLuG+f/1KSvMzQoL7DZ0+WjtY7GleKuGfv1IDjc5uQzzei/y4TtPjjPr5/zAOlBXV I56U8Q9xqApZmtINsGv7RUPVoYnKWNgVIx4hsh9AM0eUKLPjKzVXMMfzFmfYI3tydZZuostZgLPp QYOqA6qss/KMEpqzgFxFztICpcIF96XiErU0Qe/IWPWyrplCOyXF4usGjOGlzgHXY2SdCG3PlR64 Ov3ja1zS0Zbs6OhTnOUakjQ4+ifDNRLsTXMUZSbk5cBNyCTpxfyCUSHnk8S9PCn3CXht9v5gD+nN OH9oQF+JynozwQx0uQXdgc6KUluauzVSP5FrrPd1hateCQ1RRrGJbt3cUPsh0ONhWrWB+/F/SDd1 bBTosCpZ7Vcn8o6BLt4UIIugjSY1TrgNPuvHqAqiQ8PYnx2uFZ6b/Bwj3dN3Xhf4uh26TyoSghmW xx6ISmo2Cqwkm3dbDSZlTK2RVrOyXB4u0gEnfHD1+UZmxNCFYtseX8we/pHN1T1N1sUejqdmvneC N6/1vwvyeT/fZwFsDthwnAwMfRrRIRzfWp+LUpvmfbYutjMH7pMbD70FDjOfrzJvk9ShtXWzcVJx h8CflmJSJ4bcMCium8ZPvVEP3MIOxmNfOAyfSvQWlXvlFgDKy+aS22iN1s3h9OYkE2iT/5rvkrZs KK+VXW9AKKnBqx2s38eA93WgzouIZMyET2p0sl5Tc2H4JPOZkZ6oH8Ww/EQXiLqiqvc0zUh0D1vy qLpkwVg0pI8khkoRNZ+iXeO+66o5pE/ToC7/EAkLMuRHx8UbpvkqsvpQM9bBxrwEFkAjmoTQf27B UGZvmEWNyA4uIo+7okqPgMOejvnNqfEWkmJ2KPBxLZECzg1CeSkD2uULsElFITNUdTDN4ng3oW3C SxkjJgbGaqzcj0dOh8T5muOWYycY7RShgOmUXhasJ1oE4jlzKlfs3DPWEqqcWsx6OOl/zCLdzu47 SFJFTfataArZarKPsJmYVtAZc/PKJHTBjTaXQ/BGhRPg98Ty+6kDpU1sG1h1KkYFAhnYl3mTQpaS KNe3dO3kr/dVXvUVKZtrxnkM8mcbq7hze4DwUM86ufGaCV5YQPA97l4X6/XH3IiomZzDVcZ9W3Cq 77ak00llA3TW55ny4Zvn3tSbJpO6+fejdHA7VwKweMCzxrN6VKbo7nDxGe3P6Ak8nJ2l3UFOVRQB 0QfbNhEAOsvYXuzilWy9ysSULCBVnwFag5BVfeGLE0oFNewZFee/RpIxLZAAmVjL8nejYpJ436TB rtpc+lU3FD7ZfYmYguZXhqUwSkcAKN2KlBmWOE8MUM6MBvKpuElhtHhqoVFZ30prl6P701gF/RCd ++ULaav1aIGag2UKlNkn8rIbGt2PaMB+3ZBb0R41vgJns3cvkW9wejCAiWm0qXH/asmsn8kqbTxo a1zhZChIDBrQX5mjsTxkh+EwB9LKaTSSJnBUQvW5v0Qp/oIvuK+7RqHVPWw+oFoH+N2v83Vyy82b kCLwPiBchdf+sPsXUepcMY1O0lvJvBWyoq17kAfPkICRNGg4B22DSI1LsgafDWiiJlwnFeETS9zh cnYSq63FL8QpgxCAHMSiNTb6xFwFeWrGwoLZUzJFdtE6pKtumZtY73KA6voTaYV4pPAVZrhjjcw3 Az59LT8q9EUKFDRc93dXCn1WNgwzZGR76iEZjRtnI/zN8pLmTEg10VzEd2XJXZwC1lpgraqoClPu Wc5c6MZ3YOYCWyV3mPBHK7c5KgE8T2vJBKeUGGN7vUo6brkxsMpGdUpUDo/PaT9E/bNf+iceQUsh 1TmIX8K4SToCuKd9ZJ6A573tnbaKp4cSFOxsbExWDM5jgfgdkuEXh7Q0L31HjFEvUDek/rGq5zQa LQOdksJerc/4ODnhaqjmXTmeNDET/pmI4pMGnooLimTRyWOExlbNz11KWfVTDWPumnJWrBn6I789 3oim1J9xaF1/ERu9bJsZLXGqsJKyeW1tHRv1Xo62ti9v3Q4JK/7+yCB5vOBES5oVLKQcOLCsE2xi PNP7MU5G+J8BRgQJEZqsn3YM2woDTdqtKwvhC5CesVBd+uehvGd7mYK2+Bek8/Dsa18RZC00ZmEv HtX+RgVtzBICrgF6g/fdcBQFiZgQ6c0ykeGZx1fg993NAoHoLq/B7YxK9AX7DkglCVYv4XRMBuFG dMRfzUc4VAzD6i+okOR8aj/F/d2vnZ/4sknVIxbK/lONkx6de7bLC72fPomqKR5ICE5krakIOGfT TD4iXiKa4oVFRGNtk9tMKRQQnmTxppI9Ja6GL3ayZaX0OmOgkwMUaKhokPekKyfNcHonUy0McbIl wy2LO9idzemGnHmkI50sVxdtmu/9cdK0JoNxHK30PXVGxmh8geixMehdmyy7Cfj01YkGG9hzbtNE EVR/pGY6ZC3RrzN/moSDJ+Gs7iRBNPZ/Xwy8g8jpuodNrWwgTh8NZ/9Rw9Y4Kpg3HQ2ghi9EtZMk gsgVvnMV9KmdjEWgTVtI2r2inYAPbUEf3lFaUFOEVps9NpgyP2mTa/DrrgCEB7mFFApyNr4zZ9t6 jeORSoo3fIgQu+KgReaF5KXaLkwUz/Qo9aytE/53hA8GqJvUprXeH/xMaK1kmbNZlLZ0BdwDXnWu efFK0/HLx/EzcrxZ1AYVyDjnotwskA3CbFov3QBk9bIt5YYraQkvhlRvaYMq1WV/K9trd2D8cTuz T2mlKof4H801QJoBIN7SJIETL7U1vTYtShDFbMQYleE2wXaj/8zaM8ApTePENPdjYhOOWdfiHxnZ HNO8LeJdgA7aaa9pZQNSG38eVF9PmIzM9xhATwbqBrxDlLem2ebiqlKgv8fUBC+srqqjpxNRR4Dw rDt8Y6f3oFWF9yCNS1wlSiUojspTY9jakNH4ybL2390P30/y+IqQja2zbtijg9PndjnUusxUqwEc eN8RCRG+RRkhkVqk7QzVujiCmPftAU25O5b1SKj+VD2fm3dnPaN8+3ToTV6rqZnpDv107R1KVahk 3iGA+vIPf+BqMAMwOsc8azwQpmWW2XU7H8mvTMxAqan4bSAePXCPyXlJA8k+LRkgFhfWwZqWQ1Ol Bsfbv7lvgK/cwDvh8CxxyvNK4aLApFgNYBZB7hycAmap4Nvln31PYNFgTpBN5GyR8dI4ianq30u9 FT8l7u6NruZCxyioKo0tQVTQmEpbk+rS31T6X0k4qbuJ8FDOTLf8ixeDP2ri59ttB+D+Qb8M4G1D N5Hw02EjgPn+NUU6J5eovkFylmIC4PABME/N7ziBbskl0NbiWg8t6NBcKSxhjQQYSkDBXUEJRWsV FYcJlZAEWT/Vn0j/ZVF99jVPtrW2BEUhLHyPvevxBMQ2RmPE1dmDmLoLEPgNCQOrXAWkrCaRQiU/ qcswA9RdMfkFydqHTAjvae2V9J3CdtoirbNoh6bOcQEWAckRuWHrQR2sxlil1VIasFxKGOsqj9sQ blNiBKT8eQlz9cdfsuDwISP2XXrTqPWAPcJHTq9tWZZkNPVd9zkrtZsByMN2OLlIWSQJEGRl8mNZ poU+r8pNd03xPISTXGcjAv442nvN7zrFaBY0SwXRSAW67qcsegqj+AYm3cGPzGz9LgLBmNu0q7e7 ONP2R5hYMWRWF+JJCEGlRRJVjxNKauPWwsIJ1+HnX/9UXCaHL1VjR9RzsglcY0knqWQ7Lon9louK WwoCyIglgE8o0su4hc2fwmn2K2tPSvuRr8cKS87s0zEhaJ+k0pulmWFnIGK/rKxlZEDm2SSx1g6l Dh0JtCxqXrMoLjr0Dvur0uATDBsa6ttzMaqz8L7V+eBqX/CY2VR3Et1padIngjLtn6xgWMMwwuAk jDFEUM9zGZ03yzRgoNbE34z+MBgBexvN3Xph2qvmbyoBdoFFkBGTgcGyWtN7mBrmvqUarCnG4397 Nc/YzJrqWPZSXUdW30RTI0dec4eIrUtO9oYu3KpPSMpULJ2ikTPUlS6T1abOK3SSl6vLB8BZmVJB caeHErkPlz/eyEdnIkGJ+875nUZg5+VxkYp6hXvhr+O6d5rUOked7gZzEkPk11KRwr32F3T6p29D s/0RqPUzMDQl3JV4Uh5wLpDKkWAe35hE5AQ92K18lnzh/rx+FLa6bcc7gIdCO6G0kdib9pwsUqLy 9Q9v4/RlzI0BZZUCyZ0hEdXUSfFLX1W1WDPtoHkotRw5Vp+ItVJTYoZvv/TROoy56h9YMrO84f86 7J6mnkO7BiWk4tGzMTql3UnpiE566KZEvMyXF39NeC/+vBrBng7rH4NBAvq04U3HywiiD4cMn6J6 QgsNlZS1LfmDxTr1M0RMjrY9f15oZmI1IOS6mP1HVHB2FQWHEDukV20m56sPkjxupMxMDPCquU07 tX2fml0QSK6Fx5RPR/mPCx4PWvRncLg/xEXGBccTytjEf9TGJHvq0g0gvt0DJyVwXSpQo83CAEk6 MOMMM3v2lHmYv4owIS5KW8Mk6ygiBaT6CTuLholavi9nfZ8uL/oP0xjLH+dsBP/2bVijPzCRMkFh Tg3wl9Enu0EGN1Va9KpmLQOg+uedlk+cpBYGZikTbDiPSDxHJEEqsiOPbj4ldVeL1C77Za730vFJ N4Ozs12NMtCXV+pytjEv3ZLiOJ9zYwHI3HLIxjgpp9b8kZ87AV1KmNkOOvQ4GkKHiMBtDlkoudct /cbYJtOfI7uQLz9km/bWXEPZVpBwpdx5gEQEF2pp7e15bwaG1K3PHcw6DX7hofDPw1l98ZoRLgr8 3Sb6up5j7WIGs3xAj6cCA3DklXSuv5TsNqqBnms01z/JnPFKngCHv6SXxvgKr3BNRAmf/FFJb7HS Op2/wmi1I3z1ZA969iMaO8f4tA7DpPf2NKSaECD1Wso151Dd7+TcLJpZj9ij1CtvsmVf4L9CMp6H AyvPxnT5apI7vf6YwrIYZGgc3/2As8RmD8Led3Y7bqit4/6Uri96lJGiHQZfCidjnOY+vVMMUvWM uMRSx2UoMemgorycKtvOGbGwqlnJYh/r8CyXSksMRfQpHN09ZbxNBsEB5GGna8XD2rrDsCuIfnBi ssMkUcorlPu21wbc96YML2S/O2QAqqBtaUzM6lAXN3YAKYRNiDmJY40Ab7pQ/FafS65Wp3Qdyp9Y jBUjn056B5yN+e9wU1l0aMdrrWNgaDYzGJcf13+0HJQIepHl3ownViMw/KNw9ae03FNnfZlBm0Gu 0oYHpmHHapkjYd2hKJtcwtuk7CIUOxFV3vYQ7pYAdvnfE9HVoD5Rqpz0I6pFbOyflnfksBgLgaQB HTiONovPU94+9DiUOk5Ce6jzWKwTFNXDHreLY73ds+vcclwm/0poW86DzuxO8QZqd1dNaQqCWpAX T/YP93euCg1HOaYtHRv1WNSyWrPhbSWBVZSzhNDNvibhpBAFLkQ2SNufjKuyPXiI88AbL3NwJXCR MjxoZHBHdgKrqpjZXB6fcxQLCnmLuLZdrIB5RZ5Q3cnZh/bV/++iwEEVZEmi+D/UucSsL7xBZI81 i7Hb+sTnPIpmrRb6RP6MFE6i4GLljYISWHAFnHhVDJ343fJqyZ/int0y3zi1/hsIMADtzfnwwWo3 yUWPhz/JR5zAB+7a0zMWdPC73DiK9R9pwaXfKqzx6emW6Z8hrnhmydrjEelnEO2bkloBEfVoJsSE 2KQrzq45UkOE1krn8CeCAPxExjHJuujTL8wGD1PczwwKYSfNBUuQtPn4Pa53iSIGeGFYFmqq61dS DYbR4vCAu4yngo7cSVXHkzN0+ZMR4aTyT7UqmXQa4EVOBzAeKDb4z44oR/MluCsiqkVq2018ZXSA 4HxdjcEZXsPRQhf1zmVvE4HJIypFQIeBAvz7d2smICNls6X45+2f+Cfg8ChRlUCC4gk3buKxr+s0 bn4nTICPDsAcI2iHiflKq78LBiAsxAYZIlfUtbLwDAYK5OYD0KO++ZDt/ogLcM3uuouT94C0EdpO o19YDbkCZtA9jzdFjx9dFjnhQx3z7UOP5hrOpEFfid3Ap3g22POj0zWAcE3a+HDyOq+j378NM+Fy buqEIu95vCQg0tQcg8yRYtszoQSj8aybCiHIOCiPXcWPyiciI0uyQDwUF+nPg2KKws0AI6Ojw8Pm kji1YFf08S1/bDZro1TKKaGAyxFhSQx0xLbd5mDfbiPXdEw5X65q2xjLmF1Ohj41mLwIXeHeQm5S El/A3Z9HNxYWPTXLhkdOBkWwF/giHAmKs4t6pxJDgziFPOtMASTgstDkhG3/FmtnR/a8NTC+knCN 4shM5LbrZ88Ymg8BCXdvs7D6l+jvrr5C9jYSJFvMxpgtJ8/PSynkQGdK9gLbo0Je96PW6Kuz+iq+ umwBKA8We8LPa/bnH8iGObJz38IPcSXCpezZbCtHpt4x6yXhHyaqcnCi7+8IVpJ7rJLmj7yaOc1B TC9Q9ec9WfGd1oVdQh69cIBkh1uKktQVccCJ1U0pFSSaec5bl6C+33ed6E8z4nIlsanVLycWpJON D8BmAPvIfq/rzjVeghXJjxtMyYSPAYruJdIbJ/xtVwQtJ2uR16elB9fldK2JFD05U+KuW+0D6pmv odzflJrcFBzQpWTsMSyqJQy/b3wwFpkJpsRyEp/HXMzAmWpC1KY0HsHtKvqKVFFy4CTn2jLeYC8x yACUnpLqZ3NBcJ9EDNMaOfKUdq0SouOKZ9sdTWOfURGO4bKS4RSTWOfac5iIylFO1AzkClkX0Onz S0QMe+hYFn1rgUISxeodo1Xf7cJA1Pqk5kvhlt3W/xCIZ/i/eJErpvQdLVaNh9BjsgJix+RP0N1M 9Kg77WpVNq3h/j6NGO2g2cSfi33uRASykeZ23I5rYNbHPS6cOdi5Tk5JMzSYlAzpHwoA+itSR7VY mXPePCDTXYyFBZGmQOkLdrtpLJuCIsfYULvPQvAWNObRWZXScFukiowP8+/xHrAWHB3P0buwuShc u/Loi3p6aZQmA04DZ/rJsEn2iv+DvSWoR098KDjr9p4s4NCwp8hOkw3yvnc9mNbeBWxQL10rR1Tk qGoO/k2FIN98uxW58qIScgnetM9o5lip/jhHw3f7nn9VAEZauvgHmq/6WbUM/xqkHObXfgjg62cY ZJjTJ0dlnnbO0o84OYC5CONTHIiK7n9I98MOSlnW5DTC85qsIRrISbWfmY70TWe+ZgWI6zALEHV7 6ZEkF/8DP3fRLLkHxmOB+aH1n0H76YRGGrhV2f2ICDK4bFnXSuLG13YS47LyTM9ixDT8azZVtsps t2HtVtkG6hgjhPmmt8qYdFVFZNwEvMFznEVsbrCDUJnUUMy366LLo3vt8YVzOJfjw7dsX7t6QdDu Q9BzjmHOg+lfm/YPj3FF8o1/CWz2l1Do8Nu9ycb22mcr5cxptbqFyTGLSNBDhkF0byw/yb+oL7FG LDIjfhNIivoPEg/2EnX1W3iE4lNwTyRJqLOaS7/qnxdCPg7laHat5WSz9q+jfqjAAClTR9bF1TJC jhZ1iCqfD5wqYHb3WmXmz7ZC8ecYSdvrpPcDbVP7ETK4sFmDp6iA1WyqdiWl7S6hmT3X3PiW86+c NSBp//qFkGcRWKAzDamBHbqTAAO8xw3PTfL0x91YnaHFTC1dddvaFP41ZaKQgmEvmrqHm1qUCiZ3 usfvHv91nc3MewDaJ9Pui8TZzn41kxsXmHn4l9svpVDYEl2qpy2aYyB3nq1pIhhBt5RSaI0jfLnF RKwL8Z4EAMouhO5F5eghknS9vskny0WvDBIsdpwo6q777akFG/cPkLr4Knsfg95aYTg6YlJOTO5Z Uh6osQfYI0Sbosy1WRXW5Z5N5JtAxPb0CMKoxSSuh35JQtQIzZ7qdPn1oiKD/MOeWvuhuSQUGiI+ vTVBPzqLLecndKcmu5rx8vQyJHwX8f9GHkqeR8F0IDgfgM8in1IpdyGr/9OAoUHjQIpqQMqwFzOg sluf8HV1KV0uO1Lf4WUhTAQxXdShnn3yjd8tyextfrAtTKn5bHn52P/6paxSxiMNOMWHk1laumA4 f2NeZwxl/AWfVS4hUWtUmazQU2nbu3EdBmuy2AKo1lZhyazjrMw1p5WUj/pyEIvCEzUTEo7h0wrG bBA5EMQRA/7xAeuJu2pw8Zi5gVp8h1Oa22ynh5wI6++ZVFWtiUb1xhp7pR+mAd4/4zY3EwGNmwMT B6LMKBSmIfwkIe4JJAlNzW9HnS30pOxLv2gDU27QA2pQyBJv1qFfLhEhgyXTg9BiYTAFDdurXjTR Uq9TO5DETw3cCNOGvjXJEX8UxCiSg50zzog96Oj+0JwGsE0p/IoItYaka18a2RSRxlPN3sZ36j7e spKKPQv0YKrTbNou6Hx9sSZRGPxAjI0A8foj7i5BTnPHgDzYFG8u7wAyIO1r0zyObjdq4qrDnJTh NYE8+OlSzCKJcPa3neKfIzdaCoHS/RERFUt6i/GjFCtu6ik6igGTiUY80a8OAgIwqi5UWoPDfV85 1siUWhJHNe4hasfzP45EWxh6TqM6RVZIkLbG4PNGWQPN1Qv3xHh7ZkO6l7e5qDO9+n519zzd/or8 bBiiwg1s19s3IOVNizlbJbmTYLsJATwM0k/GrYPyagLQkX3gMWPVpgB3NDw/ZrGzfkveWIQHXieJ CHVo2yrVf+3RMXns0zN5S+Qt7IZZ+EKnaMm+uAn+grCQVXGknp5pqt+neSakSGKszbX+o6I1gWBH B+7NO5HRA6MkRFdAQjSD+9vnmkQGMuhnDAsPI/S/zSwA/KC8ogwx3kw8LoL6aV+I4ivwbUuSKxEF zFSNDc5OSNk2crIsQB2kXG5K4DNfm6S7LJWjtFATD9hvseWvhqDHgplIWrGRG/aLq33jJ3IjKO+b uplQx7VgtuXgWDaOOBYNMP/BYgrR/c4qo2mRSspBDqkq4XdLMJ8CcAFokFD2yBgRB8y8OZQ00QUE bMTug7wCAirBTOZuwyWjvIiwFfZK4s5HXsWITJHqWcnadd+v2D9UJ3oA6+sTK/KURkkTdLD8FAx+ jTehKuTX8PCWBfXHmp8yFRGIgG7984fRFuTAmaseLl2wRPHGr+ewxd2gYqWaF7UCN4Z4ZB2oBmpL yhqzM2j5T4iXcFxThFklEwCf990n2RKcdfn4I1zrJXO656/Y3Za9A1IQmqoWDs/1a/tv+yXJ66a6 bNNuyRVYCsOn5edDWS40mQl1Y4+6em09Zloa3ap6rZZTU4oOw6R3wJdF7jp7fFkuZWWJRPGXYKuU 4lv0G99MH7IkO9LvmtJaVkWY9VDVpOSk0Wud3glt64oW9kfjyu2KHUnvyn5RTTIJrlLrKbm5ogkG PJ2iB7rKwFQqm5BIHNjy2J/8FRQnhP8Qi5uncDchRpt8lEUW3n3WWZcrYCioUqYWNMDv/q2lHMD+ GXi+TAAEr61AJZb1Gc9TNvSgwt0h6iOaYx8qx3vGxFZI9BKDqvlhLrzB369nuCU7kuTtd4TFG49I vw79Ve5OpvnG1qWdg1jRPSo/RQw704xSsm2RbFfjtCXo7xHXLVj7DAyziPYFJZ9ouvIkOv7Xso/4 yL5CoKHKBmt3foCeGPf8VTEUez9OWjyP+S1puHv24HrvP2p6vFhN7CgID4rcNk1Mf6YoSgG/jFbb +jZnqW5H1fn6FwWC3xJaOjQv9eMuzzjPDl4wWh1+uMh+dKdy6cf/MGfq5DuscMX2mgOdz8JDFS2K lnjIsmBzIlO7zKFW7vQ9fDE8KMxUsAg1GjbO2Tjd7YaEn+ykFkm6MRcUDp4tsz9v3xpRQc2Yez49 SEGafW0ke/jharYtFjep+m4IDQhoK859PYMcWrV/RmbgAdhV4UDnQa38RDVyOBjMGF5aFQXDAjyV 6IZ2f8d4cWvKiAxtx0GfrdXiV4qyeMad0mq+r026yxwCMvP3zxnV993cUJii3l8lM6WQ8jkvA7vH RgTdwtGJ+aPtcYv1YQVVagE7c2SLV4+8Bn7Dcz8k7+X+7v0a7k9zTre6+ebobM9/KvuZD5BqZDj7 4Cyqv4Lqw58VTP+Oua0Oe8tu/sVcRUeoFrLT7kXW17qIAiwF4RC9qw/JmYIWRsO7JphrUv/1atCn OB2YjJ81sQcDg7rDrot+RecJEiuu/3agpt7eBDsB14KlURTXlhywNneMRDkwyXKRv06JWrOwswrr RdpwIdk+wAmw0Il7OIQRuDoot9tR1p86aL0yYCBn+aKVwst+/x9A+ppSdXJb6qJsjweCOpUsDydj 6PCdLRf6e5KHyYCW4TN2dVmyfYoPU0jbHBCL4e/73Pe11jueUNBgR8+qbcrN2v/iZ+/Y0okIH9HN Uv9D2LluBZza56N9k5fF8FOilS0PyFO2nCJocBIoZUnNQNax/orZIm/5v/knO1QCOLw6a+pX1k/y pxIUZBzQvA3CF5Dgez70JAc9QyZO/LAOZZu5h1bCXg4/jrDPWjyVtVDdbRvxbc1fSgDbiUk9KpEJ Y4+Fo9X9KWMZi8tBTvavLqYumpB/5wuQswbEx9EOdkQZxOq0TgvXqMIE91dB7YmmD0WMz5jfsp9b Q1y5cdMey8XJllH6+1Sk7Vaz1nMWAl6CYYPMDYXChZ8DgP2MkmGskN43n3Yvh/ibXe3BVUqGeWb0 HbJeElI6+IFRBqkveJA2pG/NNoVnapQBZzH82F6ia2DyMhUgrhJubl79m4QfdXmMWUTzSqi12Rdz mxVnZZA89M00jv7uIETAja+ZQUk5l/7RfIO4xXgeMWftkPlmQ9yJKaGDACijsgnvqng7Qb2MCW4t z+apKvB9rkDpTLdVoqDxEEPVFPdVOuiJdJfwO6M2SLDXIcp9G7gaVnprz/RCNtnH6P2+Ol7vAGkh GDws0ay/ww2WqG/meRBj2rmLoGdt9AMxYt/4CXttaZthQkRgQpc5DXhWH0Yeq3296vfpPEItl8yl /yfsPum5PH5NJqsE9Xee5IlrYmLLLBSgyNqb07N2n5gcOnPXZFwWD4bmON/tSjzw5l/NxyP6zuA6 y7w9T42/xgZ7/TH5E43Qjh1wzx+up6zoO8i5iCFm7Q1CaVGBB9pTkzCfLSlghb/aJoKa70c0p8sk vcbFmXhlYceD16NfySZDzzj2coslDCtvO5ONXdhjKUk9JLF9xo6tjLsRNTP308fWhMU3miq8IWTg bdecUbzHWcJ8shIC2JkgaPzeVe2jb1zseOjnKpHuDaeHhD8/plu3NnKm+JImFy2KKaBvKVPpVXET 1IOPmiS+KQsqVr1Ar3YCl+9GMia5a0BGfxrxs2ej64LyOEoRYo22Hsylho7DplZEtwB6tFLz8Lbc 7ceMH8m+NDIpxhc/3/Vo9owd34kYIzrsNItfIsj2vUDNKkGpNa4IIueWdpWxw+5VfwlWxzyuzomC tvH1N/61VuZLFyLQ+2FW69pq1uVQhb1As5PcicMujv/TzybFob5JOo2pfo3EhqxkcWTUWwiG1H1a zqG/mkBroWV4nldNR8xCeJTWw0tGH0riEpVhVE2FjqpxcE/gZN3gdu4EOm5amLNzDXLxXmA9B+/Q 356+2mVIMMOn1FuC9Mp+hLp+ycbeyasDC6k5ULaWrBNAe1YZBmrfs12wR2CcQ6+GoIbNvZukAoVe Wd7YfsB4Ey8H/EPuUnfwMuA8/tGRMnjPwFTuDU6gHzHsmENC4sginces9Op0z6M6+ZRE6QMYTFvB HCiFAvxxm4S1v5KRqkYkUKPymJcMPFYrx2TUH19IInyoPZequkNCkziZM3RjgHjoYh2CIszTxrjD pbdhUNGaqXaHVsI1748GT2Im2j9tlizIFAg+RFrqY2Sil6pIyMzfWV210Nmr7PNLqFENzwPc0Nyo USVSiV+e6RyAjJgQ1sEEIasnphviWkkk5Aqv305y1JjHNYcack3h9nX1Lcdac2x3mQU080XRT1Dc uTAfmN0DbJ41UMH28Cd518kFM8aDpVznlLFkvDyp1X4kCeL3Vv5QnnSBAqrlZxPs8z5kCSkZN0t4 I8mVv7hDgF/mD8poQKsoBBosQ1l51F7+eUY234I5NX/gij+G6HsmYf/MXBBlIcGqFdrcWQjg90H3 WuAi8OUHbTEcDAZjjGc4p+Ymf9ATVHzzSOcL+izUdQyRuvDrUHoN9N2XS7BjOC/LV30EOlpg21/3 La/TvDo4gVrokL5dzKzObZ3LqSDfZdEu2pXHqabvBMsRA/aDSSQsrz6kjrtcJzm2+N//vWmvp6sZ 2hksz6jClyMhx1V+JkXT95iLZd6CPy52emYKQGXSWZ/D4VJV379d7Sm8DIgF+lufyLi91rCathZk ctEFnLMiTsU9enLXnZeJqbw4steCF80nSNam/pSZ+qkPnxnQvXqK1bi6ursqpdKgzDaeHQSBiZNC 21ZY7StQAWC1rnQ0oJOr9gatJggnzG3WX8VxwgOHl62j8oafeXz74fFo+rma8bULNF2p5ADCMwku 4sE/3ukbbIoupseZkv1sFyq4S9n3Ltt6WoFiRtvxHN53ZdkKzc4DlXBRX84+cAvhVfyscncyfcFk 512ozaYhM8uFXAuoRFNfDoDaWQjhcbUfjQ8kSbfLzxQB7+88UpLoQHCCs3dmowOeAbxn4pZyXeDl Eycr3nGxV0vYCH1Yjye0jvvEU5ugef26pG5tA1GXM7OiJp6DYS0XBPeeecB310/prvW70Oqpx/qC 6naK1ihOlujiNAYEEwhfcwIySfoSHdRIL94/5ulDoSgymQZTgmNUtUzEQB7oWopnKRMefS3iYUIT +N2Waru1peqm+7vB1RCHTdo2sgOCwAEkzpM8Z4UNV4j1BaRpvTN2t2ozCpMTnWL6hJ4IE+H2DFZX lCEB01JloS72SPVmfU6mTEmg266ZHCz04RFUQvqCVTw17XdzIre+FmAEh67J71U5Oz0PsoRQQKEj kn0Vgw35quoTbM4tMgZAecb0z4o+9FPxmrxRnvW6eKaSceYYbRtYxkGTRi84jeH5gKbFY3d4wy4K 6LYUigmcVblsoIFf1/O3w12hy2qj9OmGe9dNR58Y9PiXkK9Husma0VmbKqTC6Bscz2548NrrLwWP eakaikHo4hS4YiTEwt/qlUjXH7CQn8BModpPgXX30CLjFt/xkfezaH3DzuSGibMHMDlODuYWQO/d Z/jHE9F6p1w+3pXLoWX/Gbuzv9D65+bWwg4mZpIC/uhgCpPb2F0uJvGKm1Y+7EwpW9OMW59+UpNH GWFhE8wB/rONfA4nqOpInjEl7mKaH+nhZ56cmgK6oOIpRbOewlAs9x596cY2lVU6i/gD5bE/f6IE oGtJ/AYxFJDx0NiAoXlxHsm7//aCBAxu5fD2wlq7PqnizAbYbQHeAVpHR3VPr7mvm42UwqVM5JUq qI7ebRh4w6TLHDzw/rYAs3daIVqHiJFQ9VBqLa4JvvFjBKA/iCUTpSoezzCj73ymyob4ck+e1GtS G4aEPpr+G/S2JTxe15miRJjTIBVRXDr4DH3PFYnblRfAOk9KAoy+s4ZJempyDMKpBKjOwKCBD0On mmEbx/xGCeoupgkPJol0lfbHXyYqZydHmY/IUDNHYRnveBBHKUhifJCFECjH1zKgVJI0kp3xqD3n ZJEurmLBG1i/YWqIxRHIm+utYgHv/C7dcQdFnnJ7s2ikrpozlw8IqHeBD+CC2Am7GGmXvSabE8Yc jeR1PwJ081K52TG3GOFER45DQ2vh+eemDS5CJEjsnEH7sca4XVSGH4F+HBI4P0sXkrsZi2g8pZqe sR+EUTydisy+gFnQU55DuBo5Cv+1hc/oZABYG79nLjlmByyKF0FaXXT53l5AH/7lWetKnxiVmNNf YPjHWv3nXYbqJ9OYNQosdP/H2jwtnhDfGEgRam1esJdyATGg8gYobXVqu4BKNstIIzmjsafYW8Ij dNcJhJMyFFw4Nexh9pHolYjLMHC4Qmnb3k7OyTldaRaTB6NjQgr6sZI8wK1U5tB1Ua1KDI6Y1FIW vznmGCpcEqxlowI45h07uNYV16kHTEHBiMaZ1snNzSoI6KVjhgs+IktdN1LF2LY2nBPH+qgShvNR EnyfW/fLMqUlNeQ4FY2RF/XWJp6yFVLWtyLreR+se0rzSxEAD6tDypuJBol6FEg0kcCtttiklcc2 JOl+dnEaGZ1yVvxwvULTUKawMq7hWfYBQ7RZDR1cxY4P76H3CemiCcpjPKqvI9b6buNFlB0XmzJB yEKZKxEQeBmue4Rfd1UdWWX2tQRD2zL0hKaBfisc7RdcxxS9TOEc/j9hHUpYRDkzno4ha8xIQZSO S9QK9G8uMkAKdzmspX+Fkay/D9qU4q9CHgF7chNiSMQk+0OoHMrgxtahUkKpbxZTCX8x5NgoYJ4W jLkZ7IHUlmGQqQFhdvAEkNwpoZS3/dfe80tQZSSZwZKzn5T8WzDNQXn0p/56iEJ5ReNODTiwstCX NOlTfFklOEpfewV4+W4vLNlv7RplogGTotCu+Pjg5DRvooy/FmyEJgsWDwhLjnJJ/dZxlWO/hldb dOXF2kurt28ldAhmFZ3EUeqIrbYvpy4MP1XBsLlPmLbkCq1nYOAZWmmAaW9j+SScF4wdh/QfnCXs TrF0ndAGHuK7csrB5ir5502gg6vxA3KxWzUS1YecOq+jqF5/KXsSN9qKHF1vsBKYij92luqzLf6P 7MXYh5dOnUtCkiA15MlTDimFtHXnHnIl8EHjH7HnNUWpe7/MSx6fdl08GAc+gU3+yxmKvFfgdKob BbofpEcWwh0+HYLVi6jYuW2Qfc00k8XAdrioDM6RwkxKyha1JFzLqSokJkM3abq4WviYWxH5bld9 TG6GWdHu4DLYH7SIMUZoaRR2O4Kt33roqUucwrPfSmWPrOlRcX/AFt/YcXg+HqZxkf94CUirbp8k 5kEoFbnGM3U7hH7iR64VvYKTrNG0VTbTgqVrv2FguuPjs1ebYaF3SadvHaDdJiYE7c+5wlAo7u9Z bS99o38rTS4rDI7CzEkAKcIw9ufYwklvFtuVK8bYsklxX2BPM2JXN10XIDvyONWwXk9Th2G7bDh3 f2uGpAYIYqLcPYRPPlXu5A22KV9F+OwDWgnw/pPw/eeMwP/nwfVGe2s8CfUTYqu6V8xbbLz+05zI SdJKvNOMJ6D06UqmmAELV5jk9UnPclXGE7IxrnAVchCV5dk4V7YemSXvYTAMOsiT35gDZBRRkfQJ +0Kpi2La0mFD8VdgiCiA0TIlCx+b8j6keNT71gUf4c4/PTt1KBEoJypMWrxUNuyjk3FA1N4WBWiE oxq4NZsqx9xISQCaJKp0YeACkpSxlzFTM8LZk8BSF6Z0EwjWlf3NUl8iZPgik8noOh+wSHFNenYB u8eiTNlmNgO2o30s6Aqb5YrchSvNZhU3gmAwzJ+xlyFLdWwblaZh7sbNHaNOjEVqE52Z6mlp5dXY w+9/Smedo4JltsvKQ+6p9Ernx3guITxFXedBjpUBtFgCjhrQGVhpdVCyTMbamrV4KlMTmc3BpFw9 r8ST1AGA5F1+Dk6dj2EPxOgfK3LQFEYeUoED6SiKRkGVjzrdy0/D1aBT4qH93XEvJw89V8wnElAk L7t8O8NCN1pPZSvOSKLqkzUoqXId/dJNM8k7xTRuHMc+bogRARtctHnfH2B3yZkIye+tz3zPuZUb 7wY2Fe+x4Ka5LEEvcpQOhus4Q83ohhf3kccQWyc2DF23H2qtj0hE3A8wCC8RAHjzD688LLfLW8wK 7kAvPnJ/fVA3EOHJM14OuYvAx6NHX9x1I/HnRESooevmYWZmigKyA41BXBZ21LvOvyVkxeuzqogP jWPqBYu32laF59R379PwPe7vhxWXz+l122lgwhNz5bxqtDDtAGMfgZH53i1zvee5+D6+Lgs94zxM 9Gvo6M/79wJDbMN1FplVso8ZRICP1SCvvgYl5KICixyGGIA9t2vuHzKvWug765QlOjwtrr2Hq09o UDo5tdnltg4i27ZYesjJkTT9Og3eSXbLeqySoo/CzaKt4z2+NGidWcI0iGfvK4qMBwFF8X7B6X8l aNgqraG/yBnXKsqF9cDfy9E2NbXUAT7RpOYj8fWtKKTD7vmvSwqmEW0mP89lJeR9XrwRvxSHo1ox 6+Jn3TEuA+d4cxM0orBtAGEkMp8yu/JquHyXO3CVWBemlFMb5coULfI7ygjiWP0jC8TmCEeK5LE4 +TG3/zDO2GuLrecTTjasQGKrmbBf0AFxxDEWdQ/ytYB/Bw0Pd4KYh9a6NBnr369HR22NbGVIvmYK EnF35jQViVn4qWBqvEoAHyOPB9pQ5e3nvcmgzsZE5NgvdmcmIX1rGZdNs8Nl56rTGyRajw8fCnoV bsirxmudY7p85cW5CDSCOAHxoGdab0kvPYkL/xgsy5dm7z8RAZ296SGFYu9K2ZAKdnB6CLOy9Lgw KGiNzBX5sC96zsUIrvZzPdY3Zjp27XK+d4MAr9CN7uU7O0A0mqzbSe0cgAT6jJ4UkupXNDQ9dSA9 BNBjUjEDHXFAkw7qSGqD4jT0wcjPyJVrpSjtISLV5ddoTJABgx6ydPIhb1EN6dtc5/2zmCB3cLLQ bhFhodmxTIN+1nhraSSAF60dKnw7mvMDU8da3n3how8Puu9Fatdgq87l0uzIe0i3BCoEjltpiX2+ N3s1uP3uoddOFNr2A8zuSOUDKqLvARt5mWaMCfpMotyCIvBwbQU11VUjwWcldgtLO0jXYJ4ZXVKe tF8de/5tcQBhiuUjlYxOeo1jccr4GhFGajgk9e0lBhIaLgD9uylB4hxmRR5nXdiZJbFTGuRfUMNQ SoZt2UXjM0KV3yz6UK4yQgpN2g6r5URFtEgaqGOFFBS5iv6T+RkvTC97kLkJNh3vosbB6B4SjIKG t6I671SmBHgcUfaIKkYCp57lOEX6NzL4Poj3jlw36Ni162JiBKsXtvvj48YICNph5re1gxZiiR6a WSzfxJnk5N7BYtUitW8MpUkGhgzIB+7jLj1KXk10TPu8AMxyPJ4Aobm0kgkC6w2Nv3x480Pf9O5G tw0Fl1m3zv83fZCtIhprVeoAzv13ZVNByey/7y0OPvof9/icDoYhyBVWXn5jhWhE7LS01YfHYrSE h24FCClJmIb68Qw1GooV+0/pzCsoR1zsjkSW+OKaeJHjK/J9UHC6ZX1NzKFfRT2Sn9/M13c9iAtA LW0TZCuHgTUVoOoyJmoBoxwpLBK9+0q7jbViRvOOszWgEbhdGOylbqaAO4lPZCRIih57u1jZKaRM h3Zdeler3tIX29B3EYJKnL/dbcPfkfsXmnbtJfAQpfdrl4UV0PcnONKk+x8FLVTAnX677yJ6sOmJ I0TJq0N2Wf1Af2RqxcJrYk4wdqLOatWjG/hnTkw4g/jc315dc5mXb2ApTpzRFEbUIAwmoscHkKrc v9Ih6oGwGRE5qMZlYYQJkGjMY6PUqQ50QJ0OIOkqYI6I7qPp2N+oTpAUVs4Q2sF+bBpbdELXbtWt /f8HXHXZvWhlPkZ3W/FgoBuPTXZSObi0Ze4Ioq3GLlcgNiGbWMC780GXrWk/jIWjc8WmiizV6xS4 wdKXuyiwHhgBeOgG2YhUkJjYs/h8NBAWX0kw+nzt45fUtzrcqnz7au3c4QECpUvaYdXFxBFcOkrg c9Pp8dU8UDRq5m/StKQJVaNpLudULEdnnHI8mgxFGS5loBlwCGEtBreOw8iFIv6Imp7q9a2+umOd 949JCz28/5Bfmn4H7SxAiaIy39MJKlv+UUOcq3k+Sz2Bkfq+m+OMsBI1X+69mFZtCJgJByKVDiKg L592WBX0bytkB5OB2/Ib0kd1i4kNkHdTZP0Rj9kg1D+nEMMUJJtyLc5dd1fe/9TiW1Jb1xMsw1yo HNUU0E3BM2kAYv3D8D6S+DvHXgNVPdPwD0znVuj2EIBPAxMOxOViRewQZmDDCeKVpjuwC6VzEzae Z/cXxdE+fajJJKpaxooSXz+0+sd1hDORwgzaX9wVCVBFS/otvgBIF9+48aNczZUnCGg0QVuxhQJj aXyA3SXcMElviU3lmmkeQjimWqqTTXlXYCxjU1UExMMzrgamsFnszwg+Tkz642nTb4Oev4TMX4xG BMbG9wq+XdNHDTYfbj/Arv3gJjn9aidYZC27VhI2/qXPbAV4bYhJwxxenFbIUobbj5pvhoQ0jO0j 3Nsf49WkkaNk0h65HrgG0gwKPf4GO80zQiO1kxyj1Sv32prWLe0tldAsLV7qPfaUqsYl95Is+v4B YCJ45LBb//ayBKXh79jsPrVK/p/mRKGUXdi9CZCLcswMqM1YXPDyEpXBPvURzmn5vGGN366RUn4n IQENEE/bXrEuvUlSwqbTFTKJBN02uh3W+ylBX40ts73LTofgj46O2pDbxGMTKbFvQ+8lkUntq7cB p1EnaaourJcM8rfmQD4Gb3ln6kg6YnZydj35qVgSG9TrT9XyPR12Z+b+6l7G2hAE75FwjkTR49ZT 0yjNJTeQRk/Hlt/55y4SAZij7bFSrXDxC69LzEP7MiWAzER3oBGNOwSj9EvR0qFXu4Yp86rtWrch PGL25ej9SvUJKp2lfT6c8uPCUxZSsf+/0b9QVDfSkxdC1FF7x8NpcK00RmAP634lIMq0CYFjwSO9 5PFnR9ZFv6lFOLF2xMnJ1Lor2NqM6dS1VyvlKKNHR9nG8UZO5MkK5duX828wzeQjH0PKvXZbcF6k HHr0zgepqjgDZvGi3k5Q+sBXVoEIItow05Yyq3/JlHqN/TOiMJeiSrolOsOD9U4ylOUypDWtbDva irXK2o/cZLnHOcJMjC+RVNYdpITIV1cxWp+YMgXNb3Q/Gwp/8M87cD3xepZovuRjg8tzCDz9LwLr vVC8Zce6gcRDc0J34TC4QHrlS6Dplzrj5p+IMSwQ7ri1g6h/D5n84KYMSNM8KZPY8FQgNby2VyWq tE/jz39t6saFQXYLDffwQnR3H0DNmdIlxQ+iU6/8ycVngzYaQ8VYuO7+CrprIVaoH2ZBfdOv1u/2 czrBRQGaRvqO3o3rqAiyI5oT3vYFtOD2wm1DUz1YGV5zeBTVgCNKJA/1nnIVI7GsjLDzrNNocnqj oIxc6c7U24FkdXZz8U8ghDLhO1cRhK0XrP4da1A4/JDmigiVISnk3Kp+1oGoZnsDendwqTM1/S+E LEknSL5Zv0M39yU8fYsS4tVnT1kBEslvnzvTOyObQMwHE+KqkRgxeAoyeP/OM7HbmbsUTtqpz4u+ 4e6X/Ji0QR9IBZp+zHLa1HIIGfQ8dcOuTe5dSrGay4/ghw2xUiQogKDQpSKbs710WlDnsMqSPWOd vYcf+LQIcggCu70jc08/xGaf4705W/ypTK1PGU4M4ZwsmpWHs5p2XfhlG5TqzrP/8L95aCIpdUza ANdEL+OdXl3hH1lTcK8O8unJ/UVXbdLj6R6eL01dzESy56vTrHWT0624mmVYhhI9Af/lsoOekLZI 2wts+2mrOgfitVIDBqe0c/iJ2FKs1mOoOEfytVyMyKlrArnNSvaTM2yDFD42KlEShI7kWNgsqAmE xO4CPScWaCZD8ESUUb8DHYsSJA37X8nc7K1j7YyhlSpNFg5S6F3T9CYDK0QMpNygaCMnXxfW498R SQjs6t9z75pxF73wfbB0zMiAb0Pm2G8WPuPAhYH3qTZleGXEfMXWfelaEcCNKO1yLKV3gXprTbbL s517aGUpUBruEq8k170UMc2HBejPtWAkklQbb5jxgUnb88PJqJlVDfY//saujauygm75oyZqduNY o0z+KJaXBJZ9ByNo21f2Od+0seCVP05kkOjxiInouU3OsPnQxAdH3Wiz8FzhS3iwQX2A2YKQPzf0 RpCD43Eft4WMvM+O5X99NWEqj9szBH/bHv6wq6AGbmpzmdm1AMUNj7Yhna4+RBwgUqqccE7DmA9B Bj/vJLFxqjJVDOrFdrCUMDOy/lB+M1cx4TKMLQIiYShuQj4+vWyVDpbu8/4DUB1NpVQrWbDTkrcL Udf8C7lV3d7rsgnAgCQS0M03chZu069n//kOIFo359VG9PorqcJzGeRKXiiIJAoifX8yE8wk+oLE w1LSkaAS2pSZBPb2J12w0eOW8bAFaZILsaC1hwhSgyzpILOKL65NsEoTKLt0zq7QY2r3C8M4uc9a gXonkH3xy98bJqOmWLLTqj0WmLd6vZ79n0J18L6eRMiQo6TE++KMSMDU/Aalb36S/YW/zI/JCY8R wXmVBhvDzOPVTyd5IFKkiplyj8HKZEivLwDiEnSrdtv2q/DDP923W2VFvR+3Xe36CH7O5OETtk1O eZVl6xBJwY5cfyQyRpkP05LUNiwrvX5uR97DP8gVMwXq5UQSavNAea7v5k4LK2D7yQgaJXJUcMn1 5+I87/aQsD4R7XWj/97XYymdRlo2lPT5p2AKp0dJ8fNwPKDRSaak+c2dvBOFq/T28hHRIo/K0yum 5bZkU3iO4SUAspoyoMsHGpz/IEEsvbAld2Z7ECWSFaR14lkVhcTpFV6UcyIY9yMeYyG1o8+IYsx8 7X2GSAk17jKhrff6lPrDtoJiNJ6EkaQUyTs4qcF8suqpXYHoSLlpIwx86wVP/ReTc3mQfyktbRTD gSyca9G2CLSfkpQ5zREryG9f/nsS8w6WVtTb4ZYvDu2LKbATuT6uSSJquqgrVFnHGQguko7DwJaw trv9s3lJinxx+cQR9B5QbNBe19m89WmOlBslRvyChoG+RIxCVbI0vmbRc9N0AXD+DMdZkLtk5oCL CDvjtLs7CbyVzP88o4iDIbmgG5qQM4oalw+rkfdJ9fTSKB7tcaAumeEc3QuVr3UfXS0/MQVG2ysx r0qH7ZPINQX+JiZ/Zdg5bz7tje1mYuPJ0JafJl9gvapcd/VM3Yd1mlbzRC8FM+Drnwiv1vywvEag bwdh6c6dpzPx2zUh8o7nBgRVsXP1Lb/8ksEMxAH4P+0++nTMc0LPLungGMuvX5OG1K2xhwGJXvym 9QB/mtcLGzGo6LAXfRfMpj3ivRy1ct81aAUoO5rjqW3mXlHRWRuKKRiA/dug4R1tbhgccaIUSKAY 36r/2nA/FgqEpAzTRRFqTpsoymisa0v6r90ma7ZtV8cpo8yWQ+H8cdRdZweneCcpXfSvCta4gNtr ppQ2rDBQ1PBPYS+Y1L9k2+8luCrzsgZqmERFlMZjjZfnIXm3qdoKFziEXpO1jln9FUmfrkPGHj29 CGuVsDxpZqb+SXzgk3tnzcGv/Y6gpiH7RYSMPY+7IsEYczv43hjPLXuZm6JZMySBFoPDrNvFwLac og1jVHaMqhjITRqieZ4rc5on4W0sALWWv5FF4IvEaCeEKQGRSDY/k3Ykn2CFNvnaSK+LdDg7vAEM 1XWe+qtF2Rky2NrVXOkpHkCC+25x4n2H/KPhJhLIadmqXMVyRKsVmbrAfJY6Ia945tmJSHsX68Ae cL9BgsjyEe2minOSZsY7k+FYznC/YHsmAjH7Dg0/4wTy4L+WGq75UeNDh9VNq+hIVpi85IjyQko5 892yBaecmUHB7ubGreG2chSPz3TSy7iqIfW5sBZ+gGS7V9Vxvx57Zn0l34DawLgiwCkFpVuL6mya /6LaPVVzroEekuB1BiUWzmjd8fQL2UOD3VKeKMhzfHZPjfjsKNMi8z36ccm9Lk/+7bVurO8+nIcI QYyXHp9pOADS54qPFmI= `protect end_protected
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:52:36 04/24/2016 -- Design Name: -- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab2/ProgramCounter/ProgramCounter/Fetch_tb.vhd -- Project Name: ProgramCounter -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY Fetch_tb IS END Fetch_tb; ARCHITECTURE behavior OF Fetch_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Instruction_Memory_TL PORT( CLK : IN std_logic; RST : IN std_logic; BRANCH : IN std_logic; BRNCH_ADR : IN std_logic_vector(4 downto 0); RA : OUT std_logic_vector(3 downto 0); RB : OUT std_logic_vector(3 downto 0); OP : OUT std_logic_vector(3 downto 0); IMM : OUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal RST : std_logic := '0'; signal BRANCH : std_logic := '0'; signal BRNCH_ADR : std_logic_vector(4 downto 0) := (others => '0'); --Outputs signal RA : std_logic_vector(3 downto 0); signal RB : std_logic_vector(3 downto 0); signal OP : std_logic_vector(3 downto 0); signal IMM : std_logic_vector(7 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Instruction_Memory_TL PORT MAP ( CLK => CLK, RST => RST, BRANCH => BRANCH, BRNCH_ADR => BRNCH_ADR, RA => RA, RB => RB, OP => OP, IMM => IMM ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; RST <= '1'; wait for CLK_period*3; RST <= '0'; wait for CLK_period*20; BRNCH_ADR <= "00110"; BRANCH <= '1'; wait for CLK_period; BRANCH <= '0'; -- insert stimulus here wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:52:36 04/24/2016 -- Design Name: -- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab2/ProgramCounter/ProgramCounter/Fetch_tb.vhd -- Project Name: ProgramCounter -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY Fetch_tb IS END Fetch_tb; ARCHITECTURE behavior OF Fetch_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Instruction_Memory_TL PORT( CLK : IN std_logic; RST : IN std_logic; BRANCH : IN std_logic; BRNCH_ADR : IN std_logic_vector(4 downto 0); RA : OUT std_logic_vector(3 downto 0); RB : OUT std_logic_vector(3 downto 0); OP : OUT std_logic_vector(3 downto 0); IMM : OUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal RST : std_logic := '0'; signal BRANCH : std_logic := '0'; signal BRNCH_ADR : std_logic_vector(4 downto 0) := (others => '0'); --Outputs signal RA : std_logic_vector(3 downto 0); signal RB : std_logic_vector(3 downto 0); signal OP : std_logic_vector(3 downto 0); signal IMM : std_logic_vector(7 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Instruction_Memory_TL PORT MAP ( CLK => CLK, RST => RST, BRANCH => BRANCH, BRNCH_ADR => BRNCH_ADR, RA => RA, RB => RB, OP => OP, IMM => IMM ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; RST <= '1'; wait for CLK_period*3; RST <= '0'; wait for CLK_period*20; BRNCH_ADR <= "00110"; BRANCH <= '1'; wait for CLK_period; BRANCH <= '0'; -- insert stimulus here wait; end process; END;
library ieee; library ieee; --comment -- Violations below library ieee; library ieee; -- comment library ieee; -- comment library ieee; library ieee; library ieee; -- Comment -- Comment -- Comment
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2895.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s01b01x00p05n02i02895ent IS END c02s01b01x00p05n02i02895ent; ARCHITECTURE c02s01b01x00p05n02i02895arch OF c02s01b01x00p05n02i02895ent IS function exp_type_check (variable c1: in integer) return integer is -- Failure_here begin null; end exp_type_check; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s01b01x00p05n02i02895 - The object class for formal parameters of a function cannot be of object class variable." severity ERROR; wait; END PROCESS TESTING; END c02s01b01x00p05n02i02895arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2895.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s01b01x00p05n02i02895ent IS END c02s01b01x00p05n02i02895ent; ARCHITECTURE c02s01b01x00p05n02i02895arch OF c02s01b01x00p05n02i02895ent IS function exp_type_check (variable c1: in integer) return integer is -- Failure_here begin null; end exp_type_check; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s01b01x00p05n02i02895 - The object class for formal parameters of a function cannot be of object class variable." severity ERROR; wait; END PROCESS TESTING; END c02s01b01x00p05n02i02895arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2895.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s01b01x00p05n02i02895ent IS END c02s01b01x00p05n02i02895ent; ARCHITECTURE c02s01b01x00p05n02i02895arch OF c02s01b01x00p05n02i02895ent IS function exp_type_check (variable c1: in integer) return integer is -- Failure_here begin null; end exp_type_check; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s01b01x00p05n02i02895 - The object class for formal parameters of a function cannot be of object class variable." severity ERROR; wait; END PROCESS TESTING; END c02s01b01x00p05n02i02895arch;
-- -- File Name: MemoryPkg_2019.vhd -- Design Unit Name: MemoryPkg_2019 -- Revision: STANDARD VERSION -- -- Maintainer: Jim Lewis email: [email protected] -- Contributor(s): -- Jim Lewis email: [email protected] -- -- Description -- Package defines a protected type, MemoryPType, and methods -- for efficiently implementing memory data structures -- -- Developed for: -- SynthWorks Design Inc. -- VHDL Training Classes -- 11898 SW 128th Ave. Tigard, Or 97223 -- http://www.SynthWorks.com -- -- Revision History: -- Date Version Description -- 05/2005 0.1 Initial revision -- 06/2015 2015.06 Updated for Alerts, ... -- Numerous revisions for VHDL Testbenches and Verification -- 01/2016 2016.01 Update for buf.all(buf'left) -- 11/2016 2016.11 Refinement to MemRead to return value, X (if X), U (if not initialized) -- 01/2020 2020.01 Updated Licenses to Apache -- 12/2020 2020.12 Beta version of MemoryPType with VHDL-2019 generics. -- Used in place of MemoryPkg. Tested in RivieraPro. -- -- -- This file is part of OSVVM. -- -- Copyright (c) 2005 - 2020 by SynthWorks Design Inc. -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- https://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- use std.textio.all ; library IEEE ; use IEEE.std_logic_1164.all ; use IEEE.numeric_std.all ; use IEEE.numeric_std_unsigned.all ; use IEEE.math_real.all ; use work.TextUtilPkg.all ; use work.TranscriptPkg.all ; use work.AlertLogPkg.all ; package MemoryPkg_2019 is type MemoryPType is protected generic ( constant AddrWidth : integer ; constant DataWidth : integer ) ; ------------------------------------------------------------ procedure MemWrite ( Addr, Data : in std_logic_vector ) ; ------------------------------------------------------------ procedure MemRead ( Addr : in std_logic_vector ; Data : out std_logic_vector ) ; impure function MemRead ( Addr : std_logic_vector ) return std_logic_vector ; ------------------------------------------------------------ procedure MemErase ; procedure deallocate ; ------------------------------------------------------------ procedure SetAlertLogID (A : AlertLogIDType) ; procedure SetAlertLogID (Name : string ; ParentID : AlertLogIDType := ALERTLOG_BASE_ID ; CreateHierarchy : Boolean := TRUE) ; impure function GetAlertLogID return AlertLogIDType ; ------------------------------------------------------------ procedure FileReadH ( -- Hexadecimal File Read FileName : string ; StartAddr : std_logic_vector ; EndAddr : std_logic_vector ) ; procedure FileReadH (FileName : string ; StartAddr : std_logic_vector) ; procedure FileReadH (FileName : string) ; ------------------------------------------------------------ procedure FileReadB ( -- Binary File Read FileName : string ; StartAddr : std_logic_vector ; EndAddr : std_logic_vector ) ; procedure FileReadB (FileName : string ; StartAddr : std_logic_vector) ; procedure FileReadB (FileName : string) ; ------------------------------------------------------------ procedure FileWriteH ( -- Hexadecimal File Write FileName : string ; StartAddr : std_logic_vector ; EndAddr : std_logic_vector ) ; procedure FileWriteH (FileName : string ; StartAddr : std_logic_vector) ; procedure FileWriteH (FileName : string) ; ------------------------------------------------------------ procedure FileWriteB ( -- Binary File Write FileName : string ; StartAddr : std_logic_vector ; EndAddr : std_logic_vector ) ; procedure FileWriteB (FileName : string ; StartAddr : std_logic_vector) ; procedure FileWriteB (FileName : string) ; end protected MemoryPType ; end MemoryPkg_2019 ; package body MemoryPkg_2019 is constant BLOCK_WIDTH : integer := 10 ; type MemoryPType is protected body type MemBlockType is array (integer range <>) of integer ; type MemBlockPtrType is access MemBlockType ; type MemArrayType is array (integer range <>) of MemBlockPtrType ; type ArrayPtrVarType is access MemArrayType ; --!! variable ArrayPtrVar : ArrayPtrVarType := NULL ; --!! variable AddrWidthVar : integer := -1 ; -- set by MemInit - merges addr length and initialized checks. --!! variable DataWidthVar : natural := 1 ; -- set by MemInit --!! variable BlockkWidthVar : natural := 0 ; -- set by MemInit --!! --!! TODO: AddrWidthVar f(AddrWidth, DataWidth) --!! TODO: DataWidthVar f(DataWidth mod 16) --!! variable DataWidthVar : natural := DataWidth ; -- set by Generic variable AddrWidthVar : integer := AddrWidth ; -- set by Generic variable BlockkWidthVar : natural := minimum(BLOCK_WIDTH, AddrWidth) ; -- set by Generic variable ArrayPtrVar : ArrayPtrVarType := new MemArrayType(0 to 2**(AddrWidth-BlockkWidthVar)-1) ; variable AlertLogIDVar : AlertLogIDType := OSVVM_ALERTLOG_ID ; type FileFormatType is (BINARY, HEX) ; ------------------------------------------------------------ procedure MemWrite ( Addr, Data : in std_logic_vector ) is ------------------------------------------------------------ variable BlockAddr, WordAddr : integer ; alias aAddr : std_logic_vector (Addr'length-1 downto 0) is Addr ; begin -- Check Bounds of Address and if memory is initialized if Addr'length /= AddrWidthVar then if (ArrayPtrVar = NULL) then Alert(AlertLogIDVar, "MemoryPType.MemWrite: Memory not initialized, Write Ignored.", FAILURE) ; else Alert(AlertLogIDVar, "MemoryPType.MemWrite: Addr'length: " & to_string(Addr'length) & " /= Memory Address Width: " & to_string(AddrWidthVar), FAILURE) ; end if ; return ; end if ; -- Check Bounds on Data if Data'length /= DataWidthVar then Alert(AlertLogIDVar, "MemoryPType.MemWrite: Data'length: " & to_string(Data'length) & " /= Memory Data Width: " & to_string(DataWidthVar), FAILURE) ; return ; end if ; if is_X( Addr ) then Alert(AlertLogIDVar, "MemoryPType.MemWrite: Address X, Write Ignored.") ; return ; end if ; -- Slice out upper address to form block address if aAddr'high >= BlockkWidthVar then BlockAddr := to_integer(aAddr(aAddr'high downto BlockkWidthVar)) ; else BlockAddr := 0 ; end if ; -- If empty, allocate a memory block if (ArrayPtrVar(BlockAddr) = NULL) then ArrayPtrVar(BlockAddr) := new MemBlockType(0 to 2**BlockkWidthVar-1) ; end if ; -- Address of a word within a block WordAddr := to_integer(aAddr(BlockkWidthVar -1 downto 0)) ; -- Write to BlockAddr, WordAddr if (Is_X(Data)) then ArrayPtrVar(BlockAddr)(WordAddr) := -1 ; else ArrayPtrVar(BlockAddr)(WordAddr) := to_integer( Data ) ; end if ; end procedure MemWrite ; ------------------------------------------------------------ procedure MemRead ( ------------------------------------------------------------ Addr : In std_logic_vector ; Data : Out std_logic_vector ) is variable BlockAddr, WordAddr : integer ; alias aAddr : std_logic_vector (Addr'length-1 downto 0) is Addr ; begin -- Check Bounds of Address and if memory is initialized if Addr'length /= AddrWidthVar then if (ArrayPtrVar = NULL) then Alert(AlertLogIDVar, "MemoryPType.MemRead: Memory not initialized. Returning U", FAILURE) ; else Alert(AlertLogIDVar, "MemoryPType.MemRead: Addr'length: " & to_string(Addr'length) & " /= Memory Address Width: " & to_string(AddrWidthVar), FAILURE) ; end if ; Data := (Data'range => 'U') ; return ; end if ; -- Check Bounds on Data if Data'length /= DataWidthVar then Alert(AlertLogIDVar, "MemoryPType.MemRead: Data'length: " & to_string(Data'length) & " /= Memory Data Width: " & to_string(DataWidthVar), FAILURE) ; Data := (Data'range => 'U') ; return ; end if ; -- If Addr X, data = X if is_X( aAddr ) then Data := (Data'range => 'X') ; return ; end if ; -- Slice out upper address to form block address if aAddr'high >= BlockkWidthVar then BlockAddr := to_integer(aAddr(aAddr'high downto BlockkWidthVar)) ; else BlockAddr := 0 ; end if ; -- Empty Block, return all U if (ArrayPtrVar(BlockAddr) = NULL) then Data := (Data'range => 'U') ; return ; end if ; -- Address of a word within a block WordAddr := to_integer(aAddr(BlockkWidthVar -1 downto 0)) ; if ArrayPtrVar(BlockAddr)(WordAddr) >= 0 then -- Get the Word from the Array Data := to_slv(ArrayPtrVar(BlockAddr)(WordAddr), Data'length) ; elsif ArrayPtrVar(BlockAddr)(WordAddr) = -1 then -- X in Word, return all X Data := (Data'range => 'X') ; else -- Location Uninitialized, return all X Data := (Data'range => 'U') ; end if ; end procedure MemRead ; ------------------------------------------------------------ impure function MemRead ( Addr : std_logic_vector ) return std_logic_vector is ------------------------------------------------------------ variable BlockAddr, WordAddr : integer ; alias aAddr : std_logic_vector (Addr'length-1 downto 0) is Addr ; variable Data : std_logic_vector(DataWidthVar-1 downto 0) ; begin MemRead(Addr, Data) ; return Data ; end function MemRead ; ------------------------------------------------------------ procedure MemErase is -- Deallocate the memory, but not the array of pointers ------------------------------------------------------------ begin for BlockAddr in ArrayPtrVar'range loop if (ArrayPtrVar(BlockAddr) /= NULL) then deallocate (ArrayPtrVar(BlockAddr)) ; end if ; end loop ; end procedure ; ------------------------------------------------------------ procedure deallocate is -- Deallocate all allocated memory ------------------------------------------------------------ begin MemErase ; deallocate(ArrayPtrVar) ; AddrWidthVar := -1 ; DataWidthVar := 1 ; BlockkWidthVar := 0 ; end procedure ; ------------------------------------------------------------ procedure SetAlertLogID (A : AlertLogIDType) is ------------------------------------------------------------ begin AlertLogIDVar := A ; end procedure SetAlertLogID ; ------------------------------------------------------------ procedure SetAlertLogID(Name : string ; ParentID : AlertLogIDType := ALERTLOG_BASE_ID ; CreateHierarchy : Boolean := TRUE) is ------------------------------------------------------------ begin AlertLogIDVar := GetAlertLogID(Name, ParentID, CreateHierarchy) ; end procedure SetAlertLogID ; ------------------------------------------------------------ impure function GetAlertLogID return AlertLogIDType is ------------------------------------------------------------ begin return AlertLogIDVar ; end function GetAlertLogID ; ------------------------------------------------------------ -- PT Local procedure FileReadX ( -- Hexadecimal or Binary File Read ------------------------------------------------------------ FileName : string ; DataFormat : FileFormatType ; StartAddr : std_logic_vector ; EndAddr : std_logic_vector ) is -- Format: -- @hh..h -- Address in hex -- hhh_XX_ZZ -- data values in hex - space delimited -- "--" or "//" -- comments file MemFile : text open READ_MODE is FileName ; variable Addr : std_logic_vector(AddrWidthVar - 1 downto 0) ; variable SmallAddr : std_logic_vector(AddrWidthVar - 1 downto 0) ; variable BigAddr : std_logic_vector(AddrWidthVar - 1 downto 0) ; variable Data : std_logic_vector(DataWidthVar - 1 downto 0) ; variable LineNum : natural ; variable ItemNum : natural ; variable AddrInc : std_logic_vector(AddrWidthVar - 1 downto 0) ; variable buf : line ; variable ReadValid : boolean ; variable Empty : boolean ; variable MultiLineComment : boolean ; variable NextChar : character ; variable StrLen : integer ; begin MultiLineComment := FALSE ; if StartAddr'length /= AddrWidthVar and EndAddr'length /= AddrWidthVar then if (ArrayPtrVar = NULL) then Alert(AlertLogIDVar, "MemoryPType.FileReadX: Memory not initialized, FileRead Ignored.", FAILURE) ; else Alert(AlertLogIDVar, "MemoryPType.FileReadX: Addr'length: " & to_string(Addr'length) & " /= Memory Address Width: " & to_string(AddrWidthVar), FAILURE) ; end if ; return ; end if ; Addr := StartAddr ; LineNum := 0 ; if StartAddr <= EndAddr then SmallAddr := StartAddr ; BigAddr := EndAddr ; AddrInc := (AddrWidthVar -1 downto 0 => '0') + 1 ; else SmallAddr := EndAddr ; BigAddr := StartAddr ; AddrInc := (others => '1') ; -- -1 end if; ReadLineLoop : while not EndFile(MemFile) loop ReadLine(MemFile, buf) ; LineNum := LineNum + 1 ; ItemNum := 0 ; ItemLoop : loop EmptyOrCommentLine(buf, Empty, MultiLineComment) ; exit ItemLoop when Empty ; ItemNum := ItemNum + 1 ; NextChar := buf.all(buf'left) ; if (NextChar = '@') then -- Get Address read(buf, NextChar) ; ReadHexToken(buf, Addr, StrLen) ; exit ReadLineLoop when AlertIf(AlertLogIDVar, StrLen = 0, "MemoryPType.FileReadX: Address length 0 on line: " & to_string(LineNum), FAILURE) ; exit ItemLoop when AlertIf(AlertLogIDVar, Addr < SmallAddr, "MemoryPType.FileReadX: Address in file: " & to_hstring(Addr) & " < StartAddr: " & to_hstring(StartAddr) & " on line: " & to_string(LineNum)) ; exit ItemLoop when AlertIf(AlertLogIDVar, Addr > BigAddr, "MemoryPType.FileReadX: Address in file: " & to_hstring(Addr) & " > EndAddr: " & to_hstring(BigAddr) & " on line: " & to_string(LineNum)) ; elsif DataFormat = HEX and ishex(NextChar) then -- Get Hex Data ReadHexToken(buf, data, StrLen) ; exit ReadLineLoop when AlertIfNot(AlertLogIDVar, StrLen > 0, "MemoryPType.FileReadH: Error while reading data on line: " & to_string(LineNum) & " Item number: " & to_string(ItemNum), FAILURE) ; log("MemoryPType.FileReadX: MemWrite(Addr => " & to_hstring(Addr) & ", Data => " & to_hstring(Data) & ")", DEBUG) ; MemWrite(Addr, data) ; Addr := Addr + AddrInc ; elsif DataFormat = BINARY and isstd_logic(NextChar) then -- Get Binary Data -- read(buf, data, ReadValid) ; ReadBinaryToken(buf, data, StrLen) ; -- exit ReadLineLoop when AlertIfNot(AlertLogIDVar, ReadValid, exit ReadLineLoop when AlertIfNot(AlertLogIDVar, StrLen > 0, "MemoryPType.FileReadB: Error while reading data on line: " & to_string(LineNum) & " Item number: " & to_string(ItemNum), FAILURE) ; log("MemoryPType.FileReadX: MemWrite(Addr => " & to_hstring(Addr) & ", Data => " & to_string(Data) & ")", DEBUG) ; MemWrite(Addr, data) ; Addr := Addr + AddrInc ; else -- Invalid Text, Issue Warning and skip it Alert(AlertLogIDVar, "MemoryPType.FileReadX: Invalid text on line: " & to_string(LineNum) & " Item: " & to_string(ItemNum) & ". Skipping text: " & buf.all) ; exit ItemLoop ; end if ; end loop ItemLoop ; end loop ReadLineLoop ; -- -- must read EndAddr-StartAddr number of words if both start and end specified -- if (StartAddr /= 0 or (not EndAddr) /= 0) and (Addr /= EndAddr) then -- Alert("MemoryPType.FileReadH: insufficient data values", WARNING) ; -- end if ; file_close(MemFile) ; end FileReadX ; ------------------------------------------------------------ procedure FileReadH ( -- Hexadecimal File Read ------------------------------------------------------------ FileName : string ; StartAddr : std_logic_vector ; EndAddr : std_logic_vector ) is begin FileReadX(FileName, HEX, StartAddr, EndAddr) ; end FileReadH ; ------------------------------------------------------------ procedure FileReadH (FileName : string ; StartAddr : std_logic_vector) is -- Hexadecimal File Read ------------------------------------------------------------ constant EndAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '1') ; begin FileReadX(FileName, HEX, StartAddr, EndAddr) ; end FileReadH ; ------------------------------------------------------------ procedure FileReadH (FileName : string) is -- Hexadecimal File Read ------------------------------------------------------------ constant StartAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '0') ; constant EndAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '1') ; begin FileReadX(FileName, HEX, StartAddr, EndAddr) ; end FileReadH ; ------------------------------------------------------------ procedure FileReadB ( -- Binary File Read ------------------------------------------------------------ FileName : string ; StartAddr : std_logic_vector ; EndAddr : std_logic_vector ) is begin FileReadX(FileName, BINARY, StartAddr, EndAddr) ; end FileReadB ; ------------------------------------------------------------ procedure FileReadB (FileName : string ; StartAddr : std_logic_vector) is -- Binary File Read ------------------------------------------------------------ constant EndAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '1') ; begin FileReadX(FileName, BINARY, StartAddr, EndAddr) ; end FileReadB ; ------------------------------------------------------------ procedure FileReadB (FileName : string) is -- Binary File Read ------------------------------------------------------------ constant StartAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '0') ; constant EndAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '1') ; begin FileReadX(FileName, BINARY, StartAddr, EndAddr) ; end FileReadB ; ------------------------------------------------------------ -- PT Local procedure FileWriteX ( -- Hexadecimal or Binary File Write ------------------------------------------------------------ FileName : string ; DataFormat : FileFormatType ; StartAddr : std_logic_vector ; EndAddr : std_logic_vector ) is -- Format: -- @hh..h -- Address in hex -- hhhhh -- data one per line in either hex or binary as specified file MemFile : text open WRITE_MODE is FileName ; alias normStartAddr : std_logic_vector(StartAddr'length-1 downto 0) is StartAddr ; alias normEndAddr : std_logic_vector(EndAddr'length-1 downto 0) is EndAddr ; variable StartBlockAddr : natural ; variable EndBlockAddr : natural ; variable StartWordAddr : natural ; variable EndWordAddr : natural ; variable Data : std_logic_vector(DataWidthVar - 1 downto 0) ; variable FoundData : boolean ; variable buf : line ; begin if StartAddr'length /= AddrWidthVar and EndAddr'length /= AddrWidthVar then -- Check StartAddr and EndAddr Widths and Memory not initialized if (ArrayPtrVar = NULL) then Alert(AlertLogIDVar, "MemoryPType.FileWriteX: Memory not initialized, FileRead Ignored.", FAILURE) ; else AlertIf(AlertLogIDVar, StartAddr'length /= AddrWidthVar, "MemoryPType.FileWriteX: StartAddr'length: " & to_string(StartAddr'length) & " /= Memory Address Width: " & to_string(AddrWidthVar), FAILURE) ; AlertIf(AlertLogIDVar, EndAddr'length /= AddrWidthVar, "MemoryPType.FileWriteX: EndAddr'length: " & to_string(EndAddr'length) & " /= Memory Address Width: " & to_string(AddrWidthVar), FAILURE) ; end if ; return ; end if ; if StartAddr > EndAddr then -- Only support ascending addresses Alert(AlertLogIDVar, "MemoryPType.FileWriteX: StartAddr: " & to_hstring(StartAddr) & " > EndAddr: " & to_hstring(EndAddr), FAILURE) ; return ; end if ; -- Slice out upper address to form block address if AddrWidthVar >= BlockkWidthVar then StartBlockAddr := to_integer(normStartAddr(AddrWidthVar-1 downto BlockkWidthVar)) ; EndBlockAddr := to_integer( normEndAddr(AddrWidthVar-1 downto BlockkWidthVar)) ; else StartBlockAddr := 0 ; EndBlockAddr := 0 ; end if ; BlockAddrLoop : for BlockAddr in StartBlockAddr to EndBlockAddr loop next BlockAddrLoop when ArrayPtrVar(BlockAddr) = NULL ; if BlockAddr = StartBlockAddr then StartWordAddr := to_integer(normStartAddr(BlockkWidthVar-1 downto 0)) ; else StartWordAddr := 0 ; end if ; if BlockAddr = EndBlockAddr then EndWordAddr := to_integer(normEndAddr(BlockkWidthVar-1 downto 0)) ; else EndWordAddr := 2**BlockkWidthVar-1 ; end if ; FoundData := FALSE ; WordAddrLoop : for WordAddr in StartWordAddr to EndWordAddr loop if (ArrayPtrVar(BlockAddr)(WordAddr) < 0) then -- X in Word, return all X Data := (Data'range => 'X') ; FoundData := FALSE ; else -- Get the Word from the Array Data := to_slv(ArrayPtrVar(BlockAddr)(WordAddr), Data'length) ; if not FoundData then -- Write Address write(buf, '@') ; hwrite(buf, to_slv(BlockAddr, AddrWidthVar-BlockkWidthVar) & to_slv(WordAddr, BlockkWidthVar)) ; writeline(MemFile, buf) ; end if ; FoundData := TRUE ; end if ; if FoundData then -- Write Data if DataFormat = HEX then hwrite(buf, Data) ; writeline(MemFile, buf) ; else write(buf, Data) ; writeline(MemFile, buf) ; end if; end if ; end loop WordAddrLoop ; end loop BlockAddrLoop ; file_close(MemFile) ; end FileWriteX ; ------------------------------------------------------------ procedure FileWriteH ( -- Hexadecimal File Write ------------------------------------------------------------ FileName : string ; StartAddr : std_logic_vector ; EndAddr : std_logic_vector ) is begin FileWriteX(FileName, HEX, StartAddr, EndAddr) ; end FileWriteH ; ------------------------------------------------------------ procedure FileWriteH (FileName : string ; StartAddr : std_logic_vector) is -- Hexadecimal File Write ------------------------------------------------------------ constant EndAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '1') ; begin FileWriteX(FileName, HEX, StartAddr, EndAddr) ; end FileWriteH ; ------------------------------------------------------------ procedure FileWriteH (FileName : string) is -- Hexadecimal File Write ------------------------------------------------------------ constant StartAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '0') ; constant EndAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '1') ; begin FileWriteX(FileName, HEX, StartAddr, EndAddr) ; end FileWriteH ; ------------------------------------------------------------ procedure FileWriteB ( -- Binary File Write ------------------------------------------------------------ FileName : string ; StartAddr : std_logic_vector ; EndAddr : std_logic_vector ) is begin FileWriteX(FileName, BINARY, StartAddr, EndAddr) ; end FileWriteB ; ------------------------------------------------------------ procedure FileWriteB (FileName : string ; StartAddr : std_logic_vector) is -- Binary File Write ------------------------------------------------------------ constant EndAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '1') ; begin FileWriteX(FileName, BINARY, StartAddr, EndAddr) ; end FileWriteB ; ------------------------------------------------------------ procedure FileWriteB (FileName : string) is -- Binary File Write ------------------------------------------------------------ constant StartAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '0') ; constant EndAddr : std_logic_vector(AddrWidthVar - 1 downto 0) := (others => '1') ; begin FileWriteX(FileName, BINARY, StartAddr, EndAddr) ; end FileWriteB ; end protected body MemoryPType ; end MemoryPkg_2019 ;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2246.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p01n01i02246ent IS END c07s02b06x00p01n01i02246ent; ARCHITECTURE c07s02b06x00p01n01i02246arch OF c07s02b06x00p01n01i02246ent IS BEGIN TESTING: PROCESS -- record types. type DATE is record DAY : INTEGER range 1 to 31; MONTH : INTEGER range 1 to 12; YEAR : INTEGER range -10000 to 1988; end record; variable RECV : DATE; variable k : integer; BEGIN k := RECV mod ( DAY => 14, MONTH => 2, YEAR => 1988 ); assert FALSE report "***FAILED TEST: c07s02b06x00p01n01i02246 - Operators mod and rem are predefined for any integer type only." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p01n01i02246arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2246.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p01n01i02246ent IS END c07s02b06x00p01n01i02246ent; ARCHITECTURE c07s02b06x00p01n01i02246arch OF c07s02b06x00p01n01i02246ent IS BEGIN TESTING: PROCESS -- record types. type DATE is record DAY : INTEGER range 1 to 31; MONTH : INTEGER range 1 to 12; YEAR : INTEGER range -10000 to 1988; end record; variable RECV : DATE; variable k : integer; BEGIN k := RECV mod ( DAY => 14, MONTH => 2, YEAR => 1988 ); assert FALSE report "***FAILED TEST: c07s02b06x00p01n01i02246 - Operators mod and rem are predefined for any integer type only." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p01n01i02246arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2246.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p01n01i02246ent IS END c07s02b06x00p01n01i02246ent; ARCHITECTURE c07s02b06x00p01n01i02246arch OF c07s02b06x00p01n01i02246ent IS BEGIN TESTING: PROCESS -- record types. type DATE is record DAY : INTEGER range 1 to 31; MONTH : INTEGER range 1 to 12; YEAR : INTEGER range -10000 to 1988; end record; variable RECV : DATE; variable k : integer; BEGIN k := RECV mod ( DAY => 14, MONTH => 2, YEAR => 1988 ); assert FALSE report "***FAILED TEST: c07s02b06x00p01n01i02246 - Operators mod and rem are predefined for any integer type only." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p01n01i02246arch;
library verilog; use verilog.vl_types.all; entity Matrix5x8 is port( HCLK : in vl_logic; HRESETn : in vl_logic; F2_TESTREMAPENABLE: in vl_logic; F2_TESTESRAM1REMAP: in vl_logic; F2_ESRAMSIZE : in vl_logic_vector(1 downto 0); F2_ENVMPOWEREDDOWN: in vl_logic; COM_ESRAMFWREMAP: in vl_logic; COM_ENVMREMAPSIZE: in vl_logic_vector(4 downto 0); COM_ENVMREMAPBASE: in vl_logic_vector(19 downto 0); COM_ENVMFABREMAPBASE: in vl_logic_vector(19 downto 0); COM_PROTREGIONSIZE: in vl_logic_vector(4 downto 0); COM_PROTREGIONBASE: in vl_logic_vector(31 downto 0); COM_MASTERENABLE: in vl_logic_vector(2 downto 0); COM_CLEARSTATUS : in vl_logic_vector(4 downto 0); COM_WEIGHTEDMODE: in vl_logic; COM_ERRORSTATUS : out vl_logic_vector(4 downto 0); COM_ERRORINTERRUPT: out vl_logic; HADDR_M0 : in vl_logic_vector(31 downto 0); HMASTLOCK_M0 : in vl_logic; HSIZE_M0 : in vl_logic_vector(2 downto 0); HTRANS1_M0 : in vl_logic; HWRITE_M0 : in vl_logic; HWDATA_M0 : in vl_logic_vector(31 downto 0); HRESP_M0 : out vl_logic; HRDATA_M0 : out vl_logic_vector(31 downto 0); HREADY_M0 : out vl_logic; HADDR_M1 : in vl_logic_vector(31 downto 0); HMASTLOCK_M1 : in vl_logic; HSIZE_M1 : in vl_logic_vector(2 downto 0); HTRANS1_M1 : in vl_logic; HWRITE_M1 : in vl_logic; HWDATA_M1 : in vl_logic_vector(31 downto 0); HRESP_M1 : out vl_logic; HRDATA_M1 : out vl_logic_vector(31 downto 0); HREADY_M1 : out vl_logic; HADDR_M2 : in vl_logic_vector(31 downto 0); HMASTLOCK_M2 : in vl_logic; HSIZE_M2 : in vl_logic_vector(2 downto 0); HTRANS1_M2 : in vl_logic; HWRITE_M2 : in vl_logic; HWDATA_M2 : in vl_logic_vector(31 downto 0); HRESP_M2 : out vl_logic; HRDATA_M2 : out vl_logic_vector(31 downto 0); HREADY_M2 : out vl_logic; HADDR_M3 : in vl_logic_vector(31 downto 0); HMASTLOCK_M3 : in vl_logic; HSIZE_M3 : in vl_logic_vector(2 downto 0); HTRANS1_M3 : in vl_logic; HWRITE_M3 : in vl_logic; HWDATA_M3 : in vl_logic_vector(31 downto 0); HRESP_M3 : out vl_logic; HRDATA_M3 : out vl_logic_vector(31 downto 0); HREADY_M3 : out vl_logic; HADDR_M4 : in vl_logic_vector(31 downto 0); HMASTLOCK_M4 : in vl_logic; HSIZE_M4 : in vl_logic_vector(2 downto 0); HTRANS1_M4 : in vl_logic; HWRITE_M4 : in vl_logic; HWDATA_M4 : in vl_logic_vector(31 downto 0); HRESP_M4 : out vl_logic; HRDATA_M4 : out vl_logic_vector(31 downto 0); HREADY_M4 : out vl_logic; HRDATA_S0 : in vl_logic_vector(31 downto 0); HREADYOUT_S0 : in vl_logic; HRESP_S0 : in vl_logic; HSEL_S0 : out vl_logic; HADDR_S0 : out vl_logic_vector(31 downto 0); HSIZE_S0 : out vl_logic_vector(2 downto 0); HTRANS1_S0 : out vl_logic; HWRITE_S0 : out vl_logic; HWDATA_S0 : out vl_logic_vector(31 downto 0); HREADY_S0 : out vl_logic; HRDATA_S1 : in vl_logic_vector(31 downto 0); HREADYOUT_S1 : in vl_logic; HRESP_S1 : in vl_logic; HSEL_S1 : out vl_logic; HADDR_S1 : out vl_logic_vector(31 downto 0); HSIZE_S1 : out vl_logic_vector(2 downto 0); HTRANS1_S1 : out vl_logic; HWRITE_S1 : out vl_logic; HWDATA_S1 : out vl_logic_vector(31 downto 0); HREADY_S1 : out vl_logic; HRDATA_S2 : in vl_logic_vector(31 downto 0); HREADYOUT_S2 : in vl_logic; HRESP_S2 : in vl_logic; HSEL_S2 : out vl_logic; HADDR_S2 : out vl_logic_vector(31 downto 0); HSIZE_S2 : out vl_logic_vector(2 downto 0); HTRANS1_S2 : out vl_logic; HWRITE_S2 : out vl_logic; HWDATA_S2 : out vl_logic_vector(31 downto 0); HREADY_S2 : out vl_logic; HRDATA_S3 : in vl_logic_vector(31 downto 0); HREADYOUT_S3 : in vl_logic; HRESP_S3 : in vl_logic; HSEL_S3 : out vl_logic; HADDR_S3 : out vl_logic_vector(31 downto 0); HSIZE_S3 : out vl_logic_vector(2 downto 0); HTRANS1_S3 : out vl_logic; HWRITE_S3 : out vl_logic; HWDATA_S3 : out vl_logic_vector(31 downto 0); HREADY_S3 : out vl_logic; HRDATA_S4 : in vl_logic_vector(31 downto 0); HREADYOUT_S4 : in vl_logic; HRESP_S4 : in vl_logic; HSEL_S4 : out vl_logic; HADDR_S4 : out vl_logic_vector(31 downto 0); HSIZE_S4 : out vl_logic_vector(2 downto 0); HTRANS1_S4 : out vl_logic; HWRITE_S4 : out vl_logic; HWDATA_S4 : out vl_logic_vector(31 downto 0); HREADY_S4 : out vl_logic; HRDATA_S5 : in vl_logic_vector(31 downto 0); HREADYOUT_S5 : in vl_logic; HRESP_S5 : in vl_logic; HSEL_S5 : out vl_logic; HADDR_S5 : out vl_logic_vector(31 downto 0); HSIZE_S5 : out vl_logic_vector(2 downto 0); HTRANS1_S5 : out vl_logic; HWRITE_S5 : out vl_logic; HWDATA_S5 : out vl_logic_vector(31 downto 0); HREADY_S5 : out vl_logic; HMASTLOCK_S5 : out vl_logic; HRDATA_S6 : in vl_logic_vector(31 downto 0); HREADYOUT_S6 : in vl_logic; HRESP_S6 : in vl_logic; HSEL_S6 : out vl_logic; HADDR_S6 : out vl_logic_vector(31 downto 0); HSIZE_S6 : out vl_logic_vector(2 downto 0); HTRANS1_S6 : out vl_logic; HWRITE_S6 : out vl_logic; HWDATA_S6 : out vl_logic_vector(31 downto 0); HREADY_S6 : out vl_logic; HRDATA_S7 : in vl_logic_vector(31 downto 0); HREADYOUT_S7 : in vl_logic; HRESP_S7 : in vl_logic; HSEL_S7 : out vl_logic; HADDR_S7 : out vl_logic_vector(31 downto 0); HSIZE_S7 : out vl_logic_vector(2 downto 0); HTRANS1_S7 : out vl_logic; HWRITE_S7 : out vl_logic; HWDATA_S7 : out vl_logic_vector(31 downto 0); HREADY_S7 : out vl_logic ); end Matrix5x8;
library verilog; use verilog.vl_types.all; entity Matrix5x8 is port( HCLK : in vl_logic; HRESETn : in vl_logic; F2_TESTREMAPENABLE: in vl_logic; F2_TESTESRAM1REMAP: in vl_logic; F2_ESRAMSIZE : in vl_logic_vector(1 downto 0); F2_ENVMPOWEREDDOWN: in vl_logic; COM_ESRAMFWREMAP: in vl_logic; COM_ENVMREMAPSIZE: in vl_logic_vector(4 downto 0); COM_ENVMREMAPBASE: in vl_logic_vector(19 downto 0); COM_ENVMFABREMAPBASE: in vl_logic_vector(19 downto 0); COM_PROTREGIONSIZE: in vl_logic_vector(4 downto 0); COM_PROTREGIONBASE: in vl_logic_vector(31 downto 0); COM_MASTERENABLE: in vl_logic_vector(2 downto 0); COM_CLEARSTATUS : in vl_logic_vector(4 downto 0); COM_WEIGHTEDMODE: in vl_logic; COM_ERRORSTATUS : out vl_logic_vector(4 downto 0); COM_ERRORINTERRUPT: out vl_logic; HADDR_M0 : in vl_logic_vector(31 downto 0); HMASTLOCK_M0 : in vl_logic; HSIZE_M0 : in vl_logic_vector(2 downto 0); HTRANS1_M0 : in vl_logic; HWRITE_M0 : in vl_logic; HWDATA_M0 : in vl_logic_vector(31 downto 0); HRESP_M0 : out vl_logic; HRDATA_M0 : out vl_logic_vector(31 downto 0); HREADY_M0 : out vl_logic; HADDR_M1 : in vl_logic_vector(31 downto 0); HMASTLOCK_M1 : in vl_logic; HSIZE_M1 : in vl_logic_vector(2 downto 0); HTRANS1_M1 : in vl_logic; HWRITE_M1 : in vl_logic; HWDATA_M1 : in vl_logic_vector(31 downto 0); HRESP_M1 : out vl_logic; HRDATA_M1 : out vl_logic_vector(31 downto 0); HREADY_M1 : out vl_logic; HADDR_M2 : in vl_logic_vector(31 downto 0); HMASTLOCK_M2 : in vl_logic; HSIZE_M2 : in vl_logic_vector(2 downto 0); HTRANS1_M2 : in vl_logic; HWRITE_M2 : in vl_logic; HWDATA_M2 : in vl_logic_vector(31 downto 0); HRESP_M2 : out vl_logic; HRDATA_M2 : out vl_logic_vector(31 downto 0); HREADY_M2 : out vl_logic; HADDR_M3 : in vl_logic_vector(31 downto 0); HMASTLOCK_M3 : in vl_logic; HSIZE_M3 : in vl_logic_vector(2 downto 0); HTRANS1_M3 : in vl_logic; HWRITE_M3 : in vl_logic; HWDATA_M3 : in vl_logic_vector(31 downto 0); HRESP_M3 : out vl_logic; HRDATA_M3 : out vl_logic_vector(31 downto 0); HREADY_M3 : out vl_logic; HADDR_M4 : in vl_logic_vector(31 downto 0); HMASTLOCK_M4 : in vl_logic; HSIZE_M4 : in vl_logic_vector(2 downto 0); HTRANS1_M4 : in vl_logic; HWRITE_M4 : in vl_logic; HWDATA_M4 : in vl_logic_vector(31 downto 0); HRESP_M4 : out vl_logic; HRDATA_M4 : out vl_logic_vector(31 downto 0); HREADY_M4 : out vl_logic; HRDATA_S0 : in vl_logic_vector(31 downto 0); HREADYOUT_S0 : in vl_logic; HRESP_S0 : in vl_logic; HSEL_S0 : out vl_logic; HADDR_S0 : out vl_logic_vector(31 downto 0); HSIZE_S0 : out vl_logic_vector(2 downto 0); HTRANS1_S0 : out vl_logic; HWRITE_S0 : out vl_logic; HWDATA_S0 : out vl_logic_vector(31 downto 0); HREADY_S0 : out vl_logic; HRDATA_S1 : in vl_logic_vector(31 downto 0); HREADYOUT_S1 : in vl_logic; HRESP_S1 : in vl_logic; HSEL_S1 : out vl_logic; HADDR_S1 : out vl_logic_vector(31 downto 0); HSIZE_S1 : out vl_logic_vector(2 downto 0); HTRANS1_S1 : out vl_logic; HWRITE_S1 : out vl_logic; HWDATA_S1 : out vl_logic_vector(31 downto 0); HREADY_S1 : out vl_logic; HRDATA_S2 : in vl_logic_vector(31 downto 0); HREADYOUT_S2 : in vl_logic; HRESP_S2 : in vl_logic; HSEL_S2 : out vl_logic; HADDR_S2 : out vl_logic_vector(31 downto 0); HSIZE_S2 : out vl_logic_vector(2 downto 0); HTRANS1_S2 : out vl_logic; HWRITE_S2 : out vl_logic; HWDATA_S2 : out vl_logic_vector(31 downto 0); HREADY_S2 : out vl_logic; HRDATA_S3 : in vl_logic_vector(31 downto 0); HREADYOUT_S3 : in vl_logic; HRESP_S3 : in vl_logic; HSEL_S3 : out vl_logic; HADDR_S3 : out vl_logic_vector(31 downto 0); HSIZE_S3 : out vl_logic_vector(2 downto 0); HTRANS1_S3 : out vl_logic; HWRITE_S3 : out vl_logic; HWDATA_S3 : out vl_logic_vector(31 downto 0); HREADY_S3 : out vl_logic; HRDATA_S4 : in vl_logic_vector(31 downto 0); HREADYOUT_S4 : in vl_logic; HRESP_S4 : in vl_logic; HSEL_S4 : out vl_logic; HADDR_S4 : out vl_logic_vector(31 downto 0); HSIZE_S4 : out vl_logic_vector(2 downto 0); HTRANS1_S4 : out vl_logic; HWRITE_S4 : out vl_logic; HWDATA_S4 : out vl_logic_vector(31 downto 0); HREADY_S4 : out vl_logic; HRDATA_S5 : in vl_logic_vector(31 downto 0); HREADYOUT_S5 : in vl_logic; HRESP_S5 : in vl_logic; HSEL_S5 : out vl_logic; HADDR_S5 : out vl_logic_vector(31 downto 0); HSIZE_S5 : out vl_logic_vector(2 downto 0); HTRANS1_S5 : out vl_logic; HWRITE_S5 : out vl_logic; HWDATA_S5 : out vl_logic_vector(31 downto 0); HREADY_S5 : out vl_logic; HMASTLOCK_S5 : out vl_logic; HRDATA_S6 : in vl_logic_vector(31 downto 0); HREADYOUT_S6 : in vl_logic; HRESP_S6 : in vl_logic; HSEL_S6 : out vl_logic; HADDR_S6 : out vl_logic_vector(31 downto 0); HSIZE_S6 : out vl_logic_vector(2 downto 0); HTRANS1_S6 : out vl_logic; HWRITE_S6 : out vl_logic; HWDATA_S6 : out vl_logic_vector(31 downto 0); HREADY_S6 : out vl_logic; HRDATA_S7 : in vl_logic_vector(31 downto 0); HREADYOUT_S7 : in vl_logic; HRESP_S7 : in vl_logic; HSEL_S7 : out vl_logic; HADDR_S7 : out vl_logic_vector(31 downto 0); HSIZE_S7 : out vl_logic_vector(2 downto 0); HTRANS1_S7 : out vl_logic; HWRITE_S7 : out vl_logic; HWDATA_S7 : out vl_logic_vector(31 downto 0); HREADY_S7 : out vl_logic ); end Matrix5x8;
library verilog; use verilog.vl_types.all; entity Matrix5x8 is port( HCLK : in vl_logic; HRESETn : in vl_logic; F2_TESTREMAPENABLE: in vl_logic; F2_TESTESRAM1REMAP: in vl_logic; F2_ESRAMSIZE : in vl_logic_vector(1 downto 0); F2_ENVMPOWEREDDOWN: in vl_logic; COM_ESRAMFWREMAP: in vl_logic; COM_ENVMREMAPSIZE: in vl_logic_vector(4 downto 0); COM_ENVMREMAPBASE: in vl_logic_vector(19 downto 0); COM_ENVMFABREMAPBASE: in vl_logic_vector(19 downto 0); COM_PROTREGIONSIZE: in vl_logic_vector(4 downto 0); COM_PROTREGIONBASE: in vl_logic_vector(31 downto 0); COM_MASTERENABLE: in vl_logic_vector(2 downto 0); COM_CLEARSTATUS : in vl_logic_vector(4 downto 0); COM_WEIGHTEDMODE: in vl_logic; COM_ERRORSTATUS : out vl_logic_vector(4 downto 0); COM_ERRORINTERRUPT: out vl_logic; HADDR_M0 : in vl_logic_vector(31 downto 0); HMASTLOCK_M0 : in vl_logic; HSIZE_M0 : in vl_logic_vector(2 downto 0); HTRANS1_M0 : in vl_logic; HWRITE_M0 : in vl_logic; HWDATA_M0 : in vl_logic_vector(31 downto 0); HRESP_M0 : out vl_logic; HRDATA_M0 : out vl_logic_vector(31 downto 0); HREADY_M0 : out vl_logic; HADDR_M1 : in vl_logic_vector(31 downto 0); HMASTLOCK_M1 : in vl_logic; HSIZE_M1 : in vl_logic_vector(2 downto 0); HTRANS1_M1 : in vl_logic; HWRITE_M1 : in vl_logic; HWDATA_M1 : in vl_logic_vector(31 downto 0); HRESP_M1 : out vl_logic; HRDATA_M1 : out vl_logic_vector(31 downto 0); HREADY_M1 : out vl_logic; HADDR_M2 : in vl_logic_vector(31 downto 0); HMASTLOCK_M2 : in vl_logic; HSIZE_M2 : in vl_logic_vector(2 downto 0); HTRANS1_M2 : in vl_logic; HWRITE_M2 : in vl_logic; HWDATA_M2 : in vl_logic_vector(31 downto 0); HRESP_M2 : out vl_logic; HRDATA_M2 : out vl_logic_vector(31 downto 0); HREADY_M2 : out vl_logic; HADDR_M3 : in vl_logic_vector(31 downto 0); HMASTLOCK_M3 : in vl_logic; HSIZE_M3 : in vl_logic_vector(2 downto 0); HTRANS1_M3 : in vl_logic; HWRITE_M3 : in vl_logic; HWDATA_M3 : in vl_logic_vector(31 downto 0); HRESP_M3 : out vl_logic; HRDATA_M3 : out vl_logic_vector(31 downto 0); HREADY_M3 : out vl_logic; HADDR_M4 : in vl_logic_vector(31 downto 0); HMASTLOCK_M4 : in vl_logic; HSIZE_M4 : in vl_logic_vector(2 downto 0); HTRANS1_M4 : in vl_logic; HWRITE_M4 : in vl_logic; HWDATA_M4 : in vl_logic_vector(31 downto 0); HRESP_M4 : out vl_logic; HRDATA_M4 : out vl_logic_vector(31 downto 0); HREADY_M4 : out vl_logic; HRDATA_S0 : in vl_logic_vector(31 downto 0); HREADYOUT_S0 : in vl_logic; HRESP_S0 : in vl_logic; HSEL_S0 : out vl_logic; HADDR_S0 : out vl_logic_vector(31 downto 0); HSIZE_S0 : out vl_logic_vector(2 downto 0); HTRANS1_S0 : out vl_logic; HWRITE_S0 : out vl_logic; HWDATA_S0 : out vl_logic_vector(31 downto 0); HREADY_S0 : out vl_logic; HRDATA_S1 : in vl_logic_vector(31 downto 0); HREADYOUT_S1 : in vl_logic; HRESP_S1 : in vl_logic; HSEL_S1 : out vl_logic; HADDR_S1 : out vl_logic_vector(31 downto 0); HSIZE_S1 : out vl_logic_vector(2 downto 0); HTRANS1_S1 : out vl_logic; HWRITE_S1 : out vl_logic; HWDATA_S1 : out vl_logic_vector(31 downto 0); HREADY_S1 : out vl_logic; HRDATA_S2 : in vl_logic_vector(31 downto 0); HREADYOUT_S2 : in vl_logic; HRESP_S2 : in vl_logic; HSEL_S2 : out vl_logic; HADDR_S2 : out vl_logic_vector(31 downto 0); HSIZE_S2 : out vl_logic_vector(2 downto 0); HTRANS1_S2 : out vl_logic; HWRITE_S2 : out vl_logic; HWDATA_S2 : out vl_logic_vector(31 downto 0); HREADY_S2 : out vl_logic; HRDATA_S3 : in vl_logic_vector(31 downto 0); HREADYOUT_S3 : in vl_logic; HRESP_S3 : in vl_logic; HSEL_S3 : out vl_logic; HADDR_S3 : out vl_logic_vector(31 downto 0); HSIZE_S3 : out vl_logic_vector(2 downto 0); HTRANS1_S3 : out vl_logic; HWRITE_S3 : out vl_logic; HWDATA_S3 : out vl_logic_vector(31 downto 0); HREADY_S3 : out vl_logic; HRDATA_S4 : in vl_logic_vector(31 downto 0); HREADYOUT_S4 : in vl_logic; HRESP_S4 : in vl_logic; HSEL_S4 : out vl_logic; HADDR_S4 : out vl_logic_vector(31 downto 0); HSIZE_S4 : out vl_logic_vector(2 downto 0); HTRANS1_S4 : out vl_logic; HWRITE_S4 : out vl_logic; HWDATA_S4 : out vl_logic_vector(31 downto 0); HREADY_S4 : out vl_logic; HRDATA_S5 : in vl_logic_vector(31 downto 0); HREADYOUT_S5 : in vl_logic; HRESP_S5 : in vl_logic; HSEL_S5 : out vl_logic; HADDR_S5 : out vl_logic_vector(31 downto 0); HSIZE_S5 : out vl_logic_vector(2 downto 0); HTRANS1_S5 : out vl_logic; HWRITE_S5 : out vl_logic; HWDATA_S5 : out vl_logic_vector(31 downto 0); HREADY_S5 : out vl_logic; HMASTLOCK_S5 : out vl_logic; HRDATA_S6 : in vl_logic_vector(31 downto 0); HREADYOUT_S6 : in vl_logic; HRESP_S6 : in vl_logic; HSEL_S6 : out vl_logic; HADDR_S6 : out vl_logic_vector(31 downto 0); HSIZE_S6 : out vl_logic_vector(2 downto 0); HTRANS1_S6 : out vl_logic; HWRITE_S6 : out vl_logic; HWDATA_S6 : out vl_logic_vector(31 downto 0); HREADY_S6 : out vl_logic; HRDATA_S7 : in vl_logic_vector(31 downto 0); HREADYOUT_S7 : in vl_logic; HRESP_S7 : in vl_logic; HSEL_S7 : out vl_logic; HADDR_S7 : out vl_logic_vector(31 downto 0); HSIZE_S7 : out vl_logic_vector(2 downto 0); HTRANS1_S7 : out vl_logic; HWRITE_S7 : out vl_logic; HWDATA_S7 : out vl_logic_vector(31 downto 0); HREADY_S7 : out vl_logic ); end Matrix5x8;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library stratixiii; use stratixiii.all; entity adqsin is port( dqs_pad : in std_logic; -- DQS pad dqsn_pad : in std_logic; -- DQSN pad dqs : out std_logic ); end; architecture rtl of adqsin is component stratixiii_io_ibuf IS generic ( differential_mode : string := "false"; bus_hold : string := "false"; simulate_z_as : string := "z"; lpm_type : string := "stratixiii_io_ibuf" ); port ( i : in std_logic := '0'; ibar : in std_logic := '0'; o : out std_logic ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal dqs_buf : std_logic; begin vcc <= '1'; gnd <= (others => '0'); -- In buffer (DQS, DQSN) ------------------------------------------------------------ dqs_buf0 : stratixiii_io_ibuf generic map( differential_mode => "true", bus_hold => "false", simulate_z_as => "z", lpm_type => "stratixiii_io_ibuf" ) port map( i => dqs_pad, ibar => dqsn_pad, o => dqs_buf ); dqs <= dqs_buf; end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library stratixiii; use stratixiii.all; entity adqsin is port( dqs_pad : in std_logic; -- DQS pad dqsn_pad : in std_logic; -- DQSN pad dqs : out std_logic ); end; architecture rtl of adqsin is component stratixiii_io_ibuf IS generic ( differential_mode : string := "false"; bus_hold : string := "false"; simulate_z_as : string := "z"; lpm_type : string := "stratixiii_io_ibuf" ); port ( i : in std_logic := '0'; ibar : in std_logic := '0'; o : out std_logic ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal dqs_buf : std_logic; begin vcc <= '1'; gnd <= (others => '0'); -- In buffer (DQS, DQSN) ------------------------------------------------------------ dqs_buf0 : stratixiii_io_ibuf generic map( differential_mode => "true", bus_hold => "false", simulate_z_as => "z", lpm_type => "stratixiii_io_ibuf" ) port map( i => dqs_pad, ibar => dqsn_pad, o => dqs_buf ); dqs <= dqs_buf; end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library stratixiii; use stratixiii.all; entity adqsin is port( dqs_pad : in std_logic; -- DQS pad dqsn_pad : in std_logic; -- DQSN pad dqs : out std_logic ); end; architecture rtl of adqsin is component stratixiii_io_ibuf IS generic ( differential_mode : string := "false"; bus_hold : string := "false"; simulate_z_as : string := "z"; lpm_type : string := "stratixiii_io_ibuf" ); port ( i : in std_logic := '0'; ibar : in std_logic := '0'; o : out std_logic ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal dqs_buf : std_logic; begin vcc <= '1'; gnd <= (others => '0'); -- In buffer (DQS, DQSN) ------------------------------------------------------------ dqs_buf0 : stratixiii_io_ibuf generic map( differential_mode => "true", bus_hold => "false", simulate_z_as => "z", lpm_type => "stratixiii_io_ibuf" ) port map( i => dqs_pad, ibar => dqsn_pad, o => dqs_buf ); dqs <= dqs_buf; end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library stratixiii; use stratixiii.all; entity adqsin is port( dqs_pad : in std_logic; -- DQS pad dqsn_pad : in std_logic; -- DQSN pad dqs : out std_logic ); end; architecture rtl of adqsin is component stratixiii_io_ibuf IS generic ( differential_mode : string := "false"; bus_hold : string := "false"; simulate_z_as : string := "z"; lpm_type : string := "stratixiii_io_ibuf" ); port ( i : in std_logic := '0'; ibar : in std_logic := '0'; o : out std_logic ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal dqs_buf : std_logic; begin vcc <= '1'; gnd <= (others => '0'); -- In buffer (DQS, DQSN) ------------------------------------------------------------ dqs_buf0 : stratixiii_io_ibuf generic map( differential_mode => "true", bus_hold => "false", simulate_z_as => "z", lpm_type => "stratixiii_io_ibuf" ) port map( i => dqs_pad, ibar => dqsn_pad, o => dqs_buf ); dqs <= dqs_buf; end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library stratixiii; use stratixiii.all; entity adqsin is port( dqs_pad : in std_logic; -- DQS pad dqsn_pad : in std_logic; -- DQSN pad dqs : out std_logic ); end; architecture rtl of adqsin is component stratixiii_io_ibuf IS generic ( differential_mode : string := "false"; bus_hold : string := "false"; simulate_z_as : string := "z"; lpm_type : string := "stratixiii_io_ibuf" ); port ( i : in std_logic := '0'; ibar : in std_logic := '0'; o : out std_logic ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal dqs_buf : std_logic; begin vcc <= '1'; gnd <= (others => '0'); -- In buffer (DQS, DQSN) ------------------------------------------------------------ dqs_buf0 : stratixiii_io_ibuf generic map( differential_mode => "true", bus_hold => "false", simulate_z_as => "z", lpm_type => "stratixiii_io_ibuf" ) port map( i => dqs_pad, ibar => dqsn_pad, o => dqs_buf ); dqs <= dqs_buf; end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library stratixiii; use stratixiii.all; entity adqsin is port( dqs_pad : in std_logic; -- DQS pad dqsn_pad : in std_logic; -- DQSN pad dqs : out std_logic ); end; architecture rtl of adqsin is component stratixiii_io_ibuf IS generic ( differential_mode : string := "false"; bus_hold : string := "false"; simulate_z_as : string := "z"; lpm_type : string := "stratixiii_io_ibuf" ); port ( i : in std_logic := '0'; ibar : in std_logic := '0'; o : out std_logic ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal dqs_buf : std_logic; begin vcc <= '1'; gnd <= (others => '0'); -- In buffer (DQS, DQSN) ------------------------------------------------------------ dqs_buf0 : stratixiii_io_ibuf generic map( differential_mode => "true", bus_hold => "false", simulate_z_as => "z", lpm_type => "stratixiii_io_ibuf" ) port map( i => dqs_pad, ibar => dqsn_pad, o => dqs_buf ); dqs <= dqs_buf; end;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity dec_to_fir_mux is port ( DecRate: in std_logic_vector(31 downto 0); Mux3: out std_logic_vector(1 downto 0); Mux2: out std_logic_vector(1 downto 0); Mux1: out std_logic_vector(1 downto 0); Mux0: out std_logic_vector(1 downto 0) ); end dec_to_fir_mux; architecture V1 of dec_to_fir_mux is begin -- Persistent signal mappings p_converter: process(DecRate) begin case to_integer(unsigned(DecRate)) is when 5 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "00"; when 25 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "01"; Mux3 <= "00"; when 125 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "01"; when 625 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "01"; Mux3 <= "01"; when 1250 => Mux0 <= "01"; Mux1 <= "10"; Mux2 <= "00"; Mux3 <= "10"; when 2500 => Mux0 <= "01"; Mux1 <= "01"; Mux2 <= "00"; Mux3 <= "10"; when others => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "00"; end case; end process; end V1;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity dec_to_fir_mux is port ( DecRate: in std_logic_vector(31 downto 0); Mux3: out std_logic_vector(1 downto 0); Mux2: out std_logic_vector(1 downto 0); Mux1: out std_logic_vector(1 downto 0); Mux0: out std_logic_vector(1 downto 0) ); end dec_to_fir_mux; architecture V1 of dec_to_fir_mux is begin -- Persistent signal mappings p_converter: process(DecRate) begin case to_integer(unsigned(DecRate)) is when 5 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "00"; when 25 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "01"; Mux3 <= "00"; when 125 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "01"; when 625 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "01"; Mux3 <= "01"; when 1250 => Mux0 <= "01"; Mux1 <= "10"; Mux2 <= "00"; Mux3 <= "10"; when 2500 => Mux0 <= "01"; Mux1 <= "01"; Mux2 <= "00"; Mux3 <= "10"; when others => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "00"; end case; end process; end V1;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------- -- synthesis translate_off library ims; use ims.coprocessor.all; -- synthesis translate_on ------------------------------------------------------------------------- entity Q16_8_SIGN is port ( INPUT_1 : in STD_LOGIC_VECTOR(31 downto 0); OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0) ); end; architecture rtl of Q16_8_SIGN is begin ------------------------------------------------------------------------- -- synthesis translate_off PROCESS BEGIN WAIT FOR 1 ns; printmsg("(IMS) Q16_8_SIGN : ALLOCATION OK !"); WAIT; END PROCESS; -- synthesis translate_on ------------------------------------------------------------------------- ------------------------------------------------------------------------- PROCESS (INPUT_1) begin OUTPUT_1 <= "0000000000000000000000000000000" & (not INPUT_1(15)); END PROCESS; ------------------------------------------------------------------------- end;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_sync_ref:1.0 -- IP Revision: 65 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_sync_ref_0_0 IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; start : OUT STD_LOGIC; active : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END system_vga_sync_ref_0_0; ARCHITECTURE system_vga_sync_ref_0_0_arch OF system_vga_sync_ref_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_ref_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_sync_ref IS GENERIC ( H_SIZE : INTEGER; H_SYNC_SIZE : INTEGER; V_SIZE : INTEGER; DELAY : INTEGER ); PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; start : OUT STD_LOGIC; active : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT vga_sync_ref; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_sync_ref GENERIC MAP ( H_SIZE => 640, H_SYNC_SIZE => 144, V_SIZE => 480, DELAY => 2 ) PORT MAP ( clk => clk, rst => rst, hsync => hsync, vsync => vsync, start => start, active => active, xaddr => xaddr, yaddr => yaddr ); END system_vga_sync_ref_0_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_sync_ref:1.0 -- IP Revision: 65 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_sync_ref_0_0 IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; start : OUT STD_LOGIC; active : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END system_vga_sync_ref_0_0; ARCHITECTURE system_vga_sync_ref_0_0_arch OF system_vga_sync_ref_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_ref_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_sync_ref IS GENERIC ( H_SIZE : INTEGER; H_SYNC_SIZE : INTEGER; V_SIZE : INTEGER; DELAY : INTEGER ); PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; start : OUT STD_LOGIC; active : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT vga_sync_ref; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_sync_ref GENERIC MAP ( H_SIZE => 640, H_SYNC_SIZE => 144, V_SIZE => 480, DELAY => 2 ) PORT MAP ( clk => clk, rst => rst, hsync => hsync, vsync => vsync, start => start, active => active, xaddr => xaddr, yaddr => yaddr ); END system_vga_sync_ref_0_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_sync_ref:1.0 -- IP Revision: 65 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_sync_ref_0_0 IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; start : OUT STD_LOGIC; active : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END system_vga_sync_ref_0_0; ARCHITECTURE system_vga_sync_ref_0_0_arch OF system_vga_sync_ref_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_ref_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_sync_ref IS GENERIC ( H_SIZE : INTEGER; H_SYNC_SIZE : INTEGER; V_SIZE : INTEGER; DELAY : INTEGER ); PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; start : OUT STD_LOGIC; active : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT vga_sync_ref; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_sync_ref GENERIC MAP ( H_SIZE => 640, H_SYNC_SIZE => 144, V_SIZE => 480, DELAY => 2 ) PORT MAP ( clk => clk, rst => rst, hsync => hsync, vsync => vsync, start => start, active => active, xaddr => xaddr, yaddr => yaddr ); END system_vga_sync_ref_0_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_sync_ref:1.0 -- IP Revision: 65 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_sync_ref_0_0 IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; start : OUT STD_LOGIC; active : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END system_vga_sync_ref_0_0; ARCHITECTURE system_vga_sync_ref_0_0_arch OF system_vga_sync_ref_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_ref_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_sync_ref IS GENERIC ( H_SIZE : INTEGER; H_SYNC_SIZE : INTEGER; V_SIZE : INTEGER; DELAY : INTEGER ); PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; start : OUT STD_LOGIC; active : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT vga_sync_ref; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_sync_ref GENERIC MAP ( H_SIZE => 640, H_SYNC_SIZE => 144, V_SIZE => 480, DELAY => 2 ) PORT MAP ( clk => clk, rst => rst, hsync => hsync, vsync => vsync, start => start, active => active, xaddr => xaddr, yaddr => yaddr ); END system_vga_sync_ref_0_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_sync_ref:1.0 -- IP Revision: 65 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_sync_ref_0_0 IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; start : OUT STD_LOGIC; active : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END system_vga_sync_ref_0_0; ARCHITECTURE system_vga_sync_ref_0_0_arch OF system_vga_sync_ref_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_ref_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_sync_ref IS GENERIC ( H_SIZE : INTEGER; H_SYNC_SIZE : INTEGER; V_SIZE : INTEGER; DELAY : INTEGER ); PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; start : OUT STD_LOGIC; active : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT vga_sync_ref; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_sync_ref GENERIC MAP ( H_SIZE => 640, H_SYNC_SIZE => 144, V_SIZE => 480, DELAY => 2 ) PORT MAP ( clk => clk, rst => rst, hsync => hsync, vsync => vsync, start => start, active => active, xaddr => xaddr, yaddr => yaddr ); END system_vga_sync_ref_0_0_arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package filter_pkg is type coefficient_array is array(natural range <>) of real; end package; package body filter_pkg is end package body;
entity driver7 is end entity; architecture test of driver7 is type int_vec is array (natural range <>) of integer; function resolved (v : int_vec) return integer is variable result : integer := 0; begin for i in v'range loop result := result + v(i); end loop; return result; end function; subtype rint is resolved integer; signal x : rint := 0; begin p1: process is begin x <= 1; wait for 1 ns; assert x = 3; assert x'driving; assert x'driving_value = 1; wait; end process; p2: process is begin assert x'driving; -- Error wait; end process; end architecture;
--***************************************************************************** -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %Version -- \ \ Application: MIG -- / / Filename: circ_buffer.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:18:12 $ -- \ \ / \ Date Created: Mon Jun 23 2008 -- \___\/\___\ -- --Device: Virtex-6 --Design Name: DDR3 SDRAM --Purpose: -- Circular Buffer for synchronizing signals between clock domains. Assumes -- write and read clocks are the same frequency (but can be varying phase). -- Parameter List; -- DATA_WIDTH: # bits in data bus -- BUF_DEPTH: # of entries in circular buffer. -- Port list: -- rdata: read data -- wdata: write data -- rclk: read clock -- wclk: write clock -- rst: reset - shared between read and write sides --Reference: --Revision History: -- Rev 1.1 - Initial Checkin - jlogue 03/06/09 --***************************************************************************** --****************************************************************************** --**$Id: circ_buffer.vhd,v 1.1 2011/06/02 07:18:12 mishra Exp $ --**$Date: 2011/06/02 07:18:12 $ --**$Author: mishra $ --**$Revision: 1.1 $ --**$Source: /devl/xcs/repo/env/Databases/ip/src2/O/mig_v3_9/data/dlib/virtex6/ddr3_sdram/vhdl/rtl/phy/circ_buffer.vhd,v $ --****************************************************************************** library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity circ_buffer is generic ( TCQ : integer := 100; BUF_DEPTH : integer := 5; -- valid values are 5, 6, 7, and 8 DATA_WIDTH : integer := 1 ); port ( rdata : out std_logic_vector(DATA_WIDTH-1 downto 0); wdata : in std_logic_vector(DATA_WIDTH-1 downto 0); rclk : in std_logic; wclk : in std_logic; rst : in std_logic ); end circ_buffer; architecture trans of circ_buffer is --*************************************************************************** -- Local parameters --*************************************************************************** constant SHFTR_MSB : integer := (BUF_DEPTH-1)/2; --*************************************************************************** -- Internal signals --*************************************************************************** signal SyncResetRd : std_logic; signal RdCEshftr : std_logic_vector(SHFTR_MSB downto 0); signal RdAdrsCntr : std_logic_vector(2 downto 0); signal SyncResetWt : std_logic; signal WtAdrsCntr_ce : std_logic; signal WtAdrsCntr : std_logic_vector(2 downto 0); signal wdata_xhdl : std_logic_vector(DATA_WIDTH-1 downto 0); begin --*************************************************************************** -- read domain registers --*************************************************************************** process (rclk, rst) begin if (rst = '1') then SyncResetRd <= '1' after TCQ*1 ps; elsif (rclk'event and rclk = '1') then SyncResetRd <= '0' after TCQ*1 ps; end if; end process; process (rclk, SyncResetRd) begin if (SyncResetRd = '1') then RdCEshftr <= (others => '0') after TCQ*1 ps; RdAdrsCntr <= (others => '0') after TCQ*1 ps; elsif (rclk'event and rclk = '1') then RdCEshftr(0) <= WtAdrsCntr_ce after TCQ*1 ps; RdCEshftr(SHFTR_MSB downto 1) <= RdCEshftr(SHFTR_MSB-1 downto 0) after TCQ*1 ps; if (RdCEshftr(SHFTR_MSB) = '1') then if (RdAdrsCntr = (BUF_DEPTH-1)) then RdAdrsCntr <= (others => '0') after TCQ*1 ps; else RdAdrsCntr <= (RdAdrsCntr + '1') after TCQ*1 ps; end if; end if; end if; end process; --*************************************************************************** -- write domain registers --*************************************************************************** process (wclk, SyncResetRd) begin if (SyncResetRd = '1') then SyncResetWt <= '1' after TCQ*1 ps; elsif (wclk'event and wclk = '1') then SyncResetWt <= '0' after TCQ*1 ps; end if; end process; process (wclk, SyncResetWt) begin if (SyncResetWt = '1') then WtAdrsCntr_ce <= '0' after TCQ*1 ps; WtAdrsCntr <= (others => '0') after TCQ*1 ps; elsif (wclk'event and wclk = '1') then WtAdrsCntr_ce <= '1' after TCQ*1 ps; if (WtAdrsCntr_ce = '1') then if (WtAdrsCntr = (BUF_DEPTH-1)) then WtAdrsCntr <= (others => '0') after TCQ*1 ps; else WtAdrsCntr <= (WtAdrsCntr + '1') after TCQ*1 ps; end if; end if; end if; end process; --*************************************************************************** -- instantiate one RAM64X1D for each data bit --*************************************************************************** gen_ram: for i in 0 to (DATA_WIDTH-1) generate u_RAM64X1D: RAM64X1D generic map ( INIT => X"0000000000000000" ) port map ( DPO => rdata(i), SPO => open, A0 => WtAdrsCntr(0), A1 => WtAdrsCntr(1), A2 => WtAdrsCntr(2), A3 => '0', A4 => '0', A5 => '0', D => wdata(i), DPRA0 => RdAdrsCntr(0), DPRA1 => RdAdrsCntr(1), DPRA2 => RdAdrsCntr(2), DPRA3 => '0', DPRA4 => '0', DPRA5 => '0', WCLK => wclk, WE => '1' ); end generate; end trans;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 07/17/2015 02:58:32 PM -- Design Name: -- Module Name: SAR8Bit - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity SAR8Bit is Port ( Input : in BIT_VECTOR(7 downto 0); -- 8-bit input value Output : out BIT_VECTOR(7 downto 0); -- 8-bit output value Cout : out BIT -- Carry-out flag ); end SAR8Bit; architecture Behavioral of SAR8Bit is begin Cout <= Input(0); Output(0) <= Input(1); Output(1) <= Input(2); Output(2) <= Input(3); Output(3) <= Input(4); Output(4) <= Input(5); Output(5) <= Input(6); Output(6) <= Input(7); Output(7) <= Input(7); end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.std_logic_unsigned.all; use ieee.math_real.all; use ieee.std_logic_textio.all; use std.textio.all; entity SystemTest is end SystemTest; architecture Behavioral of SystemTest is component top is Port ( vgaRed : out std_logic_vector (3 downto 0); vgaGreen : out std_logic_vector (3 downto 0); vgaBlue : out std_logic_vector (3 downto 0); Hsync : out std_logic; Vsync : out std_logic; led : out std_logic_vector (15 downto 0); sw : in std_logic_vector (15 downto 0); clk : in std_logic; btnC : in std_logic; btnU : in std_logic; btnL : in std_logic; btnR : in std_logic; btnD : in std_logic; PS2Clk : in std_logic; PS2Data : in std_logic; RsRx : inout std_logic; RsTx : inout std_logic ); end component; constant clk_period : time := 1 ns; signal vgaRed : std_logic_vector (3 downto 0); signal vgaGreen :std_logic_vector (3 downto 0); signal vgaBlue :std_logic_vector (3 downto 0); signal Hsync :std_logic; signal Vsync :std_logic; signal led :std_logic_vector (15 downto 0); signal sw :std_logic_vector (15 downto 0); signal clk :std_logic := '0'; signal btnC :std_logic; signal btnU :std_logic; signal btnL :std_logic; signal btnR :std_logic; signal btnD :std_logic; signal PS2Clk :std_logic; signal PS2Data :std_logic; signal RsRx : std_logic; signal RsTx : std_logic; begin top0: top port map ( vgaRed => vgaRed, vgaGreen => vgaGreen, vgaBlue => vgaBlue, Hsync => Hsync, sw => sw, clk => clk, btnC => btnC, btnU => btnU, btnL => btnL, btnR => btnR, btnD => btnD, PS2Clk => PS2Clk, PS2Data => PS2Data, RsRx => RsRx, RsTx => RsTx ); process begin clk <= not clk; wait for clk_period/2; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Almudena Garcia Jurado-Centurion, based on vhdlguru's digital clock code. -- -- Create Date: 22:54:18 02/12/2016 -- Design Name: -- Module Name: Reloj - Behavioral -- Project Name: RelojBinario -- Target Devices: Papilio One 500K -- Tool versions: -- Description: Binary Clock. Show hours, minutes and seconds using binary system -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: Original code from http://vhdlguru.blogspot.com.es/2010/03/digital-clock-in-vhdl.html -- Adapted for Papilio One 500K -- ---------------------------------------------------------------------------------- --Copyright (C) 2016 Almudena Garcia Jurado-Centurion --This program is free software: you can redistribute it and/or modify --it under the terms of the GNU General Public License as published by --the Free Software Foundation, either version 3 of the License, or --(at your option) any later version. --This program is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --GNU General Public License for more details. --You should have received a copy of the GNU General Public License --along with this program. If not, see <http://www.gnu.org/licenses/> library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; entity digi_clk is port (clk1 : in std_logic; seconds : inout std_logic_vector(5 downto 0); minutes : inout std_logic_vector(5 downto 0); hours : inout std_logic_vector(3 downto 0); set_hour: in std_logic; set_minutes: in std_logic; set_t: in std_logic; set_enable: out std_logic ); end digi_clk; architecture Behavioral of digi_clk is signal min_set, sec_clk, min_clk: integer range 0 to 60 := 0; signal hour_set, hour_clk: integer range 0 to 12 := 0; signal count : integer := 1; signal clk : std_logic :='0'; constant clock: std_logic := '0'; constant set_time: std_logic := '1'; signal state: std_logic := clock; begin seconds <= conv_std_logic_vector(sec_clk,6) when(state = clock) else conv_std_logic_vector(0,6); minutes <= conv_std_logic_vector(min_clk,6) when(state = clock and min_clk > min_set) else conv_std_logic_vector(min_set, 6); hours <= conv_std_logic_vector(hour_clk,4) when(state = clock and hour_clk > hour_set) else conv_std_logic_vector(hour_set,4); set_enable <= state; transitions: process(set_t) begin if(set_t = '1') then case state is when clock => state <= set_time; when set_time => state <= clock; when others => state <= clock; end case; end if; end process; process(set_hour, set_minutes, state) begin if(state = set_time) then if(set_hour = '1') then if(hour_set = 11) then hour_set <= 0; else hour_set <= hour_set + 1; end if; end if; if(set_minutes='1') then if(min_set < 59) then min_set <= min_set + 1; else min_set <= 0; end if; end if; end if; end process; --clk generation.For 32 MHz clock this generates 1 Hz clock. process(clk1) begin if(clk1'event and clk1='1') then count <=count+1; if(count = 16000000) then clk <= not clk; count <=1; end if; end if; end process; process(clk) --period of clk is 1 second. begin if(clk'event and clk='1') then min_clk <= conv_integer(minutes); hour_clk <= conv_integer(hours); if(sec_clk < 59) then sec_clk <= sec_clk + 1; else sec_clk <= 0; if(min_clk < 59) then min_clk <= min_clk + 1; else min_clk <= 0; if(hour_clk < 12) then hour_clk <= hour_clk + 1; else hour_clk <= 0; end if; end if; end if; end if; end process; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity alien22_rom is port( addr: in std_logic_vector(9 downto 0); data: out std_logic_vector(2 downto 0) ); end alien22_rom; architecture content of alien22_rom is type rgb_array is array(0 to 31) of std_logic_vector(2 downto 0); type rom_type is array(0 to 31) of rgb_array; signal rgb_row: rgb_array; constant ALIEN: rom_type := ( ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "111", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "100", "100", "100", "100", "111", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "100", "111", "111", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "100", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "111", "000", "111", "111", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "111", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "111", "000", "000", "111", "111", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "111", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "111", "000", "000", "000", "111", "111", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "000", "111", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "100", "111", "000", "000", "000", "111", "100", "100", "100", "100", "100", "100", "111", "000", "000", "000", "111", "100", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "100", "100", "111", "111", "111", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "111", "100", "100", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "100", "100", "100", "100", "100", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "111", "100", "100", "111", "111", "000", "111", "000", "111", "000", "111", "000", "111", "000", "111", "000", "111", "100", "100", "100", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "111", "111", "100", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "100", "100", "100", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "000", "111", "000", "111", "000", "111", "000", "111", "000", "111", "000", "111", "111", "100", "100", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "100", "100", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "100", "100", "100", "100", "111", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "111", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000") ); begin rgb_row <= ALIEN(conv_integer(addr(9 downto 5))); data <= rgb_row(conv_integer(addr(4 downto 0))); end content;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity alien22_rom is port( addr: in std_logic_vector(9 downto 0); data: out std_logic_vector(2 downto 0) ); end alien22_rom; architecture content of alien22_rom is type rgb_array is array(0 to 31) of std_logic_vector(2 downto 0); type rom_type is array(0 to 31) of rgb_array; signal rgb_row: rgb_array; constant ALIEN: rom_type := ( ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "111", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "100", "100", "100", "100", "111", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "100", "111", "111", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "100", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "111", "000", "111", "111", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "111", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "111", "000", "000", "111", "111", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "111", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "111", "000", "000", "000", "111", "111", "100", "100", "100", "100", "100", "100", "111", "111", "000", "000", "000", "111", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "100", "111", "000", "000", "000", "111", "100", "100", "100", "100", "100", "100", "111", "000", "000", "000", "111", "100", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "100", "100", "100", "100", "100", "111", "111", "111", "100", "100", "100", "100", "100", "100", "100", "100", "111", "111", "111", "100", "100", "100", "100", "100", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "100", "100", "100", "100", "100", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "100", "100", "100", "100", "100", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "111", "100", "100", "111", "111", "000", "111", "000", "111", "000", "111", "000", "111", "000", "111", "000", "111", "100", "100", "100", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "111", "111", "100", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "100", "100", "100", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "000", "111", "000", "111", "000", "111", "000", "111", "000", "111", "000", "111", "111", "100", "100", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "111", "100", "100", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "100", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "100", "100", "100", "100", "111", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "111", "111", "111", "111", "111", "111", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000"), ("000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000", "000") ); begin rgb_row <= ALIEN(conv_integer(addr(9 downto 5))); data <= rgb_row(conv_integer(addr(4 downto 0))); end content;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity CoPro6809 is port ( -- GOP Signals fastclk : in std_logic; tp : out std_logic_vector(8 downto 2); test : out std_logic_vector(6 downto 1); sw : in std_logic_vector(2 downto 1); fcs : out std_logic; -- Tube signals (use 16 out of 22 DIL pins) h_phi2 : in std_logic; -- 1,2,12,21,23 are global clocks h_addr : in std_logic_vector(2 downto 0); h_data : inout std_logic_vector(7 downto 0); h_rdnw : in std_logic; h_cs_b : in std_logic; h_rst_b : in std_logic; h_irq_b : inout std_logic; -- Ram Signals ram_cs : out std_logic; ram_oe : out std_logic; ram_wr : out std_logic; ram_addr : out std_logic_vector (18 downto 0); ram_data : inout std_logic_vector (7 downto 0) ); end CoPro6809; architecture BEHAVIORAL of CoPro6809 is component dcm_49_16 port ( CLKIN_IN : in std_logic; CLK0_OUT : out std_logic; CLK0_OUT1 : out std_logic; CLK2X_OUT : out std_logic ); end component; component tuberom_6809 port ( CLK : in std_logic; ADDR : in std_logic_vector(10 downto 0); DATA : out std_logic_vector(7 downto 0)); end component; component cpu09 port ( clk : in std_logic; rst : in std_logic; data_in : in std_logic_vector(7 downto 0); irq : in std_logic; firq : in std_logic; nmi : in std_logic; halt : in std_logic; hold : in std_logic; vma : out std_logic; lic_out : out std_logic; ifetch : out std_logic; opfetch : out std_logic; ba : out std_logic; bs : out std_logic; addr : out std_logic_vector(15 downto 0); rw : out std_logic; data_out : out std_logic_vector(7 downto 0) ); end component; component tube port( h_addr : in std_logic_vector(2 downto 0); h_cs_b : in std_logic; h_data : inout std_logic_vector(7 downto 0); h_phi2 : in std_logic; h_rdnw : in std_logic; h_rst_b : in std_logic; h_irq_b : inout std_logic; -- drq : out std_logic; -- dackb : in std_logic; p_addr : in std_logic_vector(2 downto 0); p_cs_b : in std_logic; p_data_in : in std_logic_vector(7 downto 0); p_data_out : out std_logic_vector(7 downto 0); p_rdnw : in std_logic; p_phi2 : in std_logic; p_rst_b : out std_logic; p_nmi_b : inout std_logic; p_irq_b : inout std_logic ); end component; ------------------------------------------------- -- clock and reset signals ------------------------------------------------- signal clk_16M00 : std_logic; signal phi0 : std_logic; signal phi1 : std_logic; signal phi2 : std_logic; signal phi3 : std_logic; signal cpu_clken : std_logic; signal clken_counter : std_logic_vector (3 downto 0); signal bootmode : std_logic; signal RSTn : std_logic; signal bs : std_logic; signal ba : std_logic; signal vma : std_logic; signal ifetch : std_logic; signal opfetch : std_logic; ------------------------------------------------- -- parasite signals ------------------------------------------------- signal p_cs_b : std_logic; signal p_data_out : std_logic_vector (7 downto 0); ------------------------------------------------- -- ram/rom signals ------------------------------------------------- signal ram_cs_b : std_logic; signal ram_oe_int : std_logic; signal ram_wr_int : std_logic; signal rom_cs_b : std_logic; signal rom_data_out : std_logic_vector (7 downto 0); ------------------------------------------------- -- cpu signals ------------------------------------------------- signal cpu_R_W_n : std_logic; signal cpu_addr_int : std_logic_vector (15 downto 0); signal cpu_addr : std_logic_vector (15 downto 0); signal cpu_din : std_logic_vector (7 downto 0); signal cpu_dout : std_logic_vector (7 downto 0); signal cpu_IRQ_n : std_logic; signal cpu_NMI_n : std_logic; signal cpu_IRQ_sync : std_logic; signal cpu_NMI_sync : std_logic; begin --------------------------------------------------------------------- -- instantiated components --------------------------------------------------------------------- inst_dcm_49_16 : dcm_49_16 port map ( CLKIN_IN => fastclk, CLK0_OUT => clk_16M00, CLK0_OUT1 => open, CLK2X_OUT => open); inst_tuberom : tuberom_6809 port map ( CLK => clk_16M00, ADDR => cpu_addr(10 downto 0), DATA => rom_data_out ); Inst_cpu09: cpu09 PORT MAP( clk => phi2, -- E clock input (falling edge) rst => not RSTn, -- reset input (active high) vma => vma, -- valid memory address (active high) lic_out => open, -- last instruction cycle (active high) ifetch => ifetch, -- instruction fetch cycle (active high) opfetch => opfetch, -- opcode fetch (active high) ba => ba, -- bus available (high on sync wait or DMA grant) bs => bs, -- bus status (high on interrupt or reset vector fetch or DMA grant) addr => cpu_addr_int, -- address bus output rw => cpu_R_W_n, -- read not write output data_out => cpu_dout, -- data bus output data_in => cpu_din, -- data bus input irq => cpu_NMI_sync, -- interrupt request input (active high) firq => cpu_IRQ_sync, -- fast interrupt request input (active high) nmi => '0', -- non maskable interrupt request input (active high) halt => '0', -- halt input (active high) grants DMA hold => '0' -- hold input (active high) extend bus cycle ); -- Remap the hardware vectors from 0xFFFx to 0xFEFx cpu_addr <= cpu_addr_int when bs = '0' else cpu_addr_int(15 downto 9) & '0' & cpu_addr_int(7 downto 0); inst_tube: tube port map ( h_addr => h_addr, h_cs_b => h_cs_b, h_data => h_data, h_phi2 => h_phi2, h_rdnw => h_rdnw, h_rst_b => h_rst_b, h_irq_b => h_irq_b, p_addr => cpu_addr(2 downto 0), p_cs_b => p_cs_b, p_data_in => cpu_dout, p_data_out => p_data_out, p_rdnw => cpu_R_W_n, p_phi2 => phi2, p_rst_b => RSTn, p_nmi_b => cpu_NMI_n, p_irq_b => cpu_IRQ_n ); p_cs_b <= '0' when vma = '1' and cpu_addr(15 downto 4) = "111111101110" else '1'; rom_cs_b <= '0' when vma = '1' and cpu_addr(15 downto 11) = "11111" and cpu_R_W_n = '1' and bootmode = '1' else '1'; ram_cs_b <= '0' when vma = '1' and p_cs_b = '1' and rom_cs_b = '1' else '1'; cpu_din <= p_data_out when p_cs_b = '0' else rom_data_out when rom_cs_b = '0' else ram_data when ram_cs_b = '0' else x"f1"; ram_cs <= ram_cs_b; ram_oe_int <= not ((not ram_cs_b) and cpu_R_W_n); ram_oe <= ram_oe_int; ram_wr_int <= not ((not ram_cs_b) and (not cpu_R_W_n) and Phi2); ram_wr <= ram_wr_int; ram_addr <= "000" & cpu_addr(15 downto 0); ram_data <= cpu_dout when cpu_R_W_n = '0' else "ZZZZZZZZ"; fcs <= '1'; testpr : process(sw) begin if (sw(1) = '1' and sw(2) = '1') then test(6) <= opfetch and Phi0; test(5) <= cpu_addr(11); test(4) <= cpu_addr(10); test(3) <= cpu_addr(9); test(2) <= cpu_addr(8); test(1) <= cpu_addr(7); tp(8) <= cpu_addr(6); tp(7) <= cpu_addr(5); tp(6) <= cpu_addr(4); tp(5) <= cpu_addr(3); tp(4) <= cpu_addr(2); tp(3) <= cpu_addr(1); tp(2) <= cpu_addr(0); -- test(6) <= CPU_NMI_n; -- test(5) <= cpu_wr_n; -- if h_addr(2 downto 0) = "101" and h_cs_b = '0' then -- test(4) <= '1'; -- else -- test(4) <= '0'; -- end if; -- if cpu_addr(2 downto 0) = "101" and p_cs_b = '0' then -- test(3) <= '1'; -- else -- test(3) <= '0'; -- end if; -- test(2) <= clk_6M00; -- test(1) <= cpu_dout(7); -- tp(8) <= cpu_dout(6); -- tp(7) <= cpu_dout(5); -- tp(6) <= cpu_dout(4); -- tp(5) <= cpu_dout(3); -- tp(4) <= cpu_dout(2); -- tp(3) <= cpu_dout(1); -- tp(2) <= cpu_dout(0); else test(6) <= phi2; -- 12 test(5) <= vma; -- 11 test(4) <= bs; -- 10 test(3) <= ba; -- 9 test(2) <= cpu_R_W_n; -- 8 test(1) <= rom_cs_b; -- 7 tp(8) <= RSTn; -- 6 tp(7) <= ram_wr_int; -- 5 tp(6) <= ram_oe_int; -- 4 tp(5) <= p_cs_b; -- 3 tp(4) <= CPU_IRQ_n; -- 2 tp(3) <= CPU_NMI_n; -- 1 tp(2) <= bootmode; -- 0 end if; end process; sync_gen : process(phi2, RSTn) begin if RSTn = '0' then cpu_NMI_sync <= '0'; cpu_IRQ_sync <= '0'; elsif rising_edge(phi2) then cpu_NMI_sync <= not cpu_NMI_n; cpu_IRQ_sync <= not cpu_IRQ_n; end if; end process; -------------------------------------------------------- -- boot mode generator -------------------------------------------------------- boot_gen : process(clk_16M00, RSTn) begin if RSTn = '0' then bootmode <= '1'; elsif rising_edge(clk_16M00) then if p_cs_b = '0' then bootmode <= '0'; end if; end if; end process; -------------------------------------------------------- -- clock enable generator -- 4MHz -- cpu_clken active on cycle 0, 4, 8, 12 -- address/data changes on cycle 1, 5, 9, 13 -- phi0 active on cycle 1..2 -- phi1 active on cycle 2..3 -- phi2 active on cycle 3..4 -- phi3 active on cycle 4..5 -- alternative -- phi0 active on cycle 1 -- phi1 active on cycle 2 -- phi2 active on cycle 3 -- phi3 active on cycle 4 -------------------------------------------------------- clk_gen : process(clk_16M00) begin if rising_edge(clk_16M00) then clken_counter <= clken_counter + 1; cpu_clken <= clken_counter(0) and clken_counter(1); --phi0 <= not clken_counter(1); phi0 <= cpu_clken; phi1 <= phi0; phi2 <= phi1; phi3 <= phi2; end if; end process; end BEHAVIORAL;
library ieee; use ieee.std_logic_1164.all; entity cmp_142 is port ( eq : out std_logic; in0 : in std_logic_vector(2 downto 0); in1 : in std_logic_vector(2 downto 0) ); end cmp_142; architecture augh of cmp_142 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in0 /= in1 else '1'; -- Set the outputs eq <= tmp; end architecture;
library ieee; use ieee.std_logic_1164.all; entity cmp_142 is port ( eq : out std_logic; in0 : in std_logic_vector(2 downto 0); in1 : in std_logic_vector(2 downto 0) ); end cmp_142; architecture augh of cmp_142 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in0 /= in1 else '1'; -- Set the outputs eq <= tmp; end architecture;
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --======================================================================================================================== -- This VVC was generated with Bitvis VVC Generator --======================================================================================================================== library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; library bitvis_vip_scoreboard; use bitvis_vip_scoreboard.generic_sb_support_pkg.all; use work.wishbone_bfm_pkg.all; use work.vvc_cmd_pkg.all; use work.td_target_support_pkg.all; --======================================================================================================================== --======================================================================================================================== package vvc_methods_pkg is --======================================================================================================================== -- Types and constants for the WISHBONE VVC --======================================================================================================================== constant C_VVC_NAME : string := "WISHBONE_VVC"; signal WISHBONE_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME); alias THIS_VVCT : t_vvc_target_record is WISHBONE_VVCT; alias t_bfm_config is t_wishbone_bfm_config; -- Type found in UVVM-Util types_pkg constant C_WISHBONE_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := ( delay_type => NO_DELAY, delay_in_time => 0 ns, inter_bfm_delay_violation_severity => WARNING ); type t_vvc_config is record inter_bfm_delay : t_inter_bfm_delay; -- Minimum delay between BFM accesses from the VVC. If parameter delay_type is set to NO_DELAY, BFM accesses will be back to back, i.e. no delay. cmd_queue_count_max : natural; -- Maximum pending number in command queue before queue is full. Adding additional commands will result in an ERROR. cmd_queue_count_threshold : natural; -- An alert with severity 'cmd_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if command queue is almost full. Will be ignored if set to 0. cmd_queue_count_threshold_severity : t_alert_level; -- Severity of alert to be initiated if exceeding cmd_queue_count_threshold result_queue_count_max : natural; result_queue_count_threshold_severity : t_alert_level; result_queue_count_threshold : natural; bfm_config : t_wishbone_bfm_config; -- Configuration for the BFM. See BFM quick reference msg_id_panel : t_msg_id_panel; -- VVC dedicated message ID panel parent_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0 end record; type t_vvc_config_array is array (natural range <>) of t_vvc_config; constant C_WISHBONE_VVC_CONFIG_DEFAULT : t_vvc_config := ( inter_bfm_delay => C_WISHBONE_INTER_BFM_DELAY_DEFAULT, cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX, cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD, cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX, result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY, result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD, bfm_config => C_WISHBONE_BFM_CONFIG_DEFAULT, msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT, parent_msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT ); type t_vvc_status is record current_cmd_idx : natural; previous_cmd_idx : natural; pending_cmd_cnt : natural; end record; type t_vvc_status_array is array (natural range <>) of t_vvc_status; constant C_VVC_STATUS_DEFAULT : t_vvc_status := ( current_cmd_idx => 0, previous_cmd_idx => 0, pending_cmd_cnt => 0 ); -- Transaction information to include in the wave view during simulation type t_transaction_info is record operation : t_operation; addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); end record; type t_transaction_info_array is array (natural range <>) of t_transaction_info; constant C_TRANSACTION_INFO_DEFAULT : t_transaction_info := ( -- Example: operation => NO_OPERATION, addr => (others => '0'), data => (others => '0'), msg => (others => ' ') ); shared variable shared_wishbone_vvc_config : t_vvc_config_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_WISHBONE_VVC_CONFIG_DEFAULT); shared variable shared_wishbone_vvc_status : t_vvc_status_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_VVC_STATUS_DEFAULT); shared variable shared_wishbone_transaction_info : t_transaction_info_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_INFO_DEFAULT); -- Scoreboard package wishbone_sb_pkg is new bitvis_vip_scoreboard.generic_sb_pkg generic map (t_element => std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0), element_match => std_match, to_string_element => to_string); use wishbone_sb_pkg.all; shared variable WISHBONE_VVC_SB : wishbone_sb_pkg.t_generic_sb; --========================================================================================== -- Methods dedicated to this VVC -- - These procedures are called from the testbench in order for the VVC to execute -- BFM calls towards the given interface. The VVC interpreter will queue these calls -- and then the VVC executor will fetch the commands from the queue and handle the -- actual BFM execution. -- For details on how the BFM procedures work, see the QuickRef. --========================================================================================== procedure wishbone_write( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data : in std_logic_vector; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure wishbone_read( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data_routing : in t_data_routing; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure wishbone_read( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure wishbone_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := ERROR; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); --============================================================================== -- VVC Activity --============================================================================== procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic; variable vvc_status : inout t_vvc_status; constant activity : in t_activity; constant entry_num_in_vvc_activity_register : in integer; constant last_cmd_idx_executed : in natural; constant command_queue_is_empty : in boolean; constant scope : in string := C_VVC_NAME); --============================================================================== -- VVC Scoreboard helper method --============================================================================== function pad_wishbone_sb( constant data : in std_logic_vector ) return std_logic_vector; end package vvc_methods_pkg; package body vvc_methods_pkg is --======================================================================================================================== -- Methods dedicated to this VVC --======================================================================================================================== procedure wishbone_write( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data : in std_logic_vector; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "wishbone_write"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(addr, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data, HEX, AS_IS, INCL_RADIX) & ")"; variable v_normalised_addr : unsigned(shared_vvc_cmd.addr'length-1 downto 0) := normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg)); variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data'length-1 downto 0) := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, WRITE); shared_vvc_cmd.addr := v_normalised_addr; shared_vvc_cmd.data := v_normalised_data; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure wishbone_read( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data_routing : in t_data_routing; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "wishbone_read"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(addr, HEX, AS_IS, INCL_RADIX) & ")"; variable v_normalised_addr : unsigned(shared_vvc_cmd.addr'length-1 downto 0) := normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg)); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, READ); shared_vvc_cmd.addr := v_normalised_addr; shared_vvc_cmd.data_routing := data_routing; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure wishbone_read( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is begin wishbone_read(VVCT, vvc_instance_idx, addr, NA, msg, scope, parent_msg_id_panel); end procedure; procedure wishbone_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := ERROR; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "wishbone_check"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(addr, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data, HEX, AS_IS, INCL_RADIX) & ")"; variable v_normalised_addr : unsigned(shared_vvc_cmd.addr'length-1 downto 0) := normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg)); variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data'length-1 downto 0) := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, CHECK); shared_vvc_cmd.addr := v_normalised_addr; shared_vvc_cmd.data := v_normalised_data; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; --============================================================================== -- VVC Activity --============================================================================== procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic; variable vvc_status : inout t_vvc_status; constant activity : in t_activity; constant entry_num_in_vvc_activity_register : in integer; constant last_cmd_idx_executed : in natural; constant command_queue_is_empty : in boolean; constant scope : in string := C_VVC_NAME) is variable v_activity : t_activity := activity; begin -- Update vvc_status after a command has finished (during same delta cycle the activity register is updated) if activity = INACTIVE then vvc_status.previous_cmd_idx := last_cmd_idx_executed; vvc_status.current_cmd_idx := 0; end if; if v_activity = INACTIVE and not(command_queue_is_empty) then v_activity := ACTIVE; end if; shared_vvc_activity_register.priv_report_vvc_activity(vvc_idx => entry_num_in_vvc_activity_register, activity => v_activity, last_cmd_idx_executed => last_cmd_idx_executed); if global_trigger_vvc_activity_register /= 'L' then wait until global_trigger_vvc_activity_register = 'L'; end if; gen_pulse(global_trigger_vvc_activity_register, 0 ns, "pulsing global trigger for vvc activity register", scope, ID_NEVER); end procedure; --============================================================================== -- VVC Scoreboard helper method --============================================================================== function pad_wishbone_sb( constant data : in std_logic_vector ) return std_logic_vector is begin return pad_sb_slv(data, C_VVC_CMD_DATA_MAX_LENGTH); end function pad_wishbone_sb; end package body vvc_methods_pkg;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
-- file: clk_32to200_pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to200_pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to200_pll; architecture xilinx of clk_32to200_pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to200_pll,clk_wiz_v3_6,{component_name=clk_32to200_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1_unused : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals signal locked_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1 <= CLK_IN1; -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 2.0, CLKFBOUT_MULT => 4, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 31.250, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1_unused, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, LOCKED => locked_unused, RST => '0', -- Input clock control CLKFBIN => clkfbout, CLKIN => clkin1); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
architecture RTL of FIFO is procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is begin end procedure proc_name; procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is begin end procedure proc_name; procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is begin end procedure proc_name; begin end architecture RTL;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port ROM -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SROM -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SROM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SROM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SROM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST /= '0' ) THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS GENERIC ( C_ROM_SYNTH : INTEGER := 0 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA: OUT STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); DATA_IN : IN STD_LOGIC_VECTOR (11 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL CHECK_READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL CHECK_DATA : STD_LOGIC := '0'; SIGNAL CHECK_DATA_R : STD_LOGIC := '0'; SIGNAL CHECK_DATA_2R : STD_LOGIC := '0'; SIGNAL DO_READ_REG: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(11 DOWNTO 0):= hex_to_std_logic_vector("0",12); BEGIN SYNTH_COE: IF(C_ROM_SYNTH =0 ) GENERATE type mem_type is array (10239 downto 0) of std_logic_vector(11 downto 0); FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; function char_to_std_logic ( char : in character) return std_logic is variable data : std_logic; begin if char = '0' then data := '0'; elsif char = '1' then data := '1'; elsif char = 'X' then data := 'X'; else assert false report "character which is not '0', '1' or 'X'." severity warning; data := 'U'; end if; return data; end char_to_std_logic; impure FUNCTION init_memory( C_USE_DEFAULT_DATA : INTEGER; C_LOAD_INIT_FILE : INTEGER ; C_INIT_FILE_NAME : STRING ; DEFAULT_DATA : STD_LOGIC_VECTOR(11 DOWNTO 0); width : INTEGER; depth : INTEGER) RETURN mem_type IS VARIABLE init_return : mem_type := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(width-1 DOWNTO 0); VARIABLE bitline : LINE; variable bitsgood : boolean := true; variable bitchar : character; VARIABLE i : INTEGER; VARIABLE j : INTEGER; BEGIN --Display output message indicating that the behavioral model is being --initialized ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator CORE Generator module loading initial data..." SEVERITY NOTE; -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN FOR i IN 0 TO depth-1 LOOP init_return(i) := DEFAULT_DATA; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, bitline); -- read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO width-1 LOOP read(bitline,bitchar,bitsgood); init_return(i)(width-1-j) := char_to_std_logic(bitchar); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** constant c_init : mem_type := init_memory(0, 1, "digit.mif", DEFAULT_DATA, 12, 10240); constant rom : mem_type := c_init; BEGIN EXPECTED_DATA <= rom(conv_integer(unsigned(check_read_addr))); CHECKER_RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH =>10240 ) PORT MAP( CLK => CLK, RST => RST, EN => CHECK_DATA_2R, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => CHECK_READ_ADDR ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R ='1') THEN IF(EXPECTED_DATA = DATA_IN) THEN STATUS<='0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; -- Simulatable ROM --Synthesizable ROM SYNTH_CHECKER: IF(C_ROM_SYNTH = 1) GENERATE PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R='1') THEN IF(DATA_IN=DEFAULT_DATA) THEN STATUS <= '0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; READ_ADDR_INT(13 DOWNTO 0) <= READ_ADDR(13 DOWNTO 0); ADDRA <= READ_ADDR_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 10240 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); RD_PROCESS: PROCESS (CLK) BEGIN IF (RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_READ <= '0'; ELSE DO_READ <= '1'; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(0), CLK =>CLK, RST=>RST, D =>DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(I), CLK =>CLK, RST=>RST, D =>DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; CHECK_DATA_REG_1: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_2R, CLK =>CLK, RST=>RST, D =>CHECK_DATA_R ); CHECK_DATA_REG: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_R, CLK =>CLK, RST=>RST, D =>CHECK_DATA ); END ARCHITECTURE;
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_soc_memory is end entity tb_soc_memory; architecture testbench of tb_soc_memory is -- Clock signal: signal clk : std_logic; constant clk_period : time := 10 ns; -- Reset signal: signal reset : std_logic := '1'; -- Wishbone signals: signal wb_adr_in : std_logic_vector(31 downto 0); signal wb_dat_in : std_logic_vector(31 downto 0); signal wb_dat_out : std_logic_vector(31 downto 0); signal wb_cyc_in : std_logic := '0'; signal wb_stb_in : std_logic := '0'; signal wb_sel_in : std_logic_vector(3 downto 0) := (others => '1'); signal wb_we_in : std_logic := '0'; signal wb_ack_out : std_logic; begin uut: entity work.pp_soc_memory port map( clk => clk, reset => reset, wb_adr_in => wb_adr_in, wb_dat_in => wb_dat_in, wb_dat_out => wb_dat_out, wb_cyc_in => wb_cyc_in, wb_stb_in => wb_stb_in, wb_sel_in => wb_sel_in, wb_we_in => wb_we_in, wb_ack_out => wb_ack_out ); clock: process begin clk <= '1'; wait for clk_period / 2; clk <= '0'; wait for clk_period / 2; end process clock; stimulus: process begin wait for clk_period; reset <= '0'; -- Write 32 bit of data to address 0: wb_adr_in <= x"00000000"; wb_dat_in <= x"deadbeef"; wb_cyc_in <= '1'; wb_stb_in <= '1'; wb_we_in <= '1'; wait for clk_period; wb_stb_in <= '0'; wb_cyc_in <= '0'; wait for clk_period; -- Write a block write of two 32-bit words at address 0 and 1: wb_adr_in <= x"00000000"; wb_dat_in <= x"feedbeef"; wb_cyc_in <= '1'; wb_stb_in <= '1'; wait for clk_period; wb_stb_in <= '0'; wb_adr_in <= x"00000004"; wb_dat_in <= x"f00dd00d"; wait for clk_period; wb_stb_in <= '1'; wait for clk_period; wb_stb_in <= '0'; wb_cyc_in <= '0'; -- Read address 4: wait for clk_period; wb_we_in <= '0'; wb_adr_in <= x"00000000"; wb_cyc_in <= '1'; wb_stb_in <= '1'; wait for clk_period; -- TODO: Make this testbench automatic. wait; end process stimulus; end architecture testbench;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Fri Oct 27 10:20:39 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- c:/RATCPU/Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Decrementer_0_0/RAT_Decrementer_0_0_stub.vhdl -- Design : RAT_Decrementer_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity RAT_Decrementer_0_0 is Port ( I : in STD_LOGIC_VECTOR ( 7 downto 0 ); O : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); end RAT_Decrementer_0_0; architecture stub of RAT_Decrementer_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "I[7:0],O[7:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "Decrementer,Vivado 2016.4"; begin end;
library verilog; use verilog.vl_types.all; entity exception_manager is port( iCLOCK : in vl_logic; inRESET : in vl_logic; iCOREINFO_COMMIT_COUNTER: in vl_logic_vector(5 downto 0); iCOREINFO_EXCEPTION_PROTECT: in vl_logic; iCOREINFO_CURRENT_PC: in vl_logic_vector(31 downto 0); oCOREINFO_FREE_INST_DISCARD: out vl_logic; oCOREINFO_FREE_EVENT: out vl_logic; oCOREINFO_FREE_COMMIT_TAG: out vl_logic_vector(5 downto 0); oCOREINFO_FREE_ADDR_SET: out vl_logic; oCOREINFO_FREE_ADDR: out vl_logic_vector(31 downto 0); oCOREINFO_FREE_RESTART: out vl_logic; oCOREINFO_FREE_CURRENT_PC: out vl_logic_vector(31 downto 0); oCOREINFO_FREE_SET_IRQ_MODE: out vl_logic; oCOREINFO_FREE_CLR_IRQ_MODE: out vl_logic; oCOREINFO_FREE_NEW_SPR_VALID: out vl_logic; oCOREINFO_FREE_NEW_SPR: out vl_logic_vector(31 downto 0); oCOREINFO_MCODE0_VALID: out vl_logic; oCOREINFO_MCODE0: out vl_logic_vector(31 downto 0); oCOREINFO_MCODE1_VALID: out vl_logic; oCOREINFO_MCODE1: out vl_logic_vector(31 downto 0); iCODEINFO_MCODE_LOCK: in vl_logic; iCOREINFO_SYSREG_IDTR: in vl_logic_vector(31 downto 0); iCOREINFO_SYSREG_TISR: in vl_logic_vector(31 downto 0); iCOREINFO_SYSREG_TIDR: in vl_logic_vector(31 downto 0); iCOREINFO_SYSREG_PSR: in vl_logic_vector(31 downto 0); iCOREINFO_SYSREG_PPSR: in vl_logic_vector(31 downto 0); iCOREINFO_SYSREG_PPCR: in vl_logic_vector(31 downto 0); iCOREINFO_SYSREG_SPR: in vl_logic_vector(31 downto 0); oLDST_USE : out vl_logic; oLDST_REQ : out vl_logic; iLDST_BUSY : in vl_logic; oLDST_ORDER : out vl_logic_vector(1 downto 0); oLDST_RW : out vl_logic; oLDST_TID : out vl_logic_vector(13 downto 0); oLDST_MMUMOD : out vl_logic_vector(1 downto 0); oLDST_PDT : out vl_logic_vector(31 downto 0); oLDST_ADDR : out vl_logic_vector(31 downto 0); oLDST_DATA : out vl_logic_vector(31 downto 0); iLDST_REQ : in vl_logic; iLDST_DATA : in vl_logic_vector(31 downto 0); oIO_IRQ_CONFIG_TABLE_REQ: out vl_logic; oIO_IRQ_CONFIG_TABLE_ENTRY: out vl_logic_vector(5 downto 0); oIO_IRQ_CONFIG_TABLE_FLAG_MASK: out vl_logic; oIO_IRQ_CONFIG_TABLE_FLAG_VALID: out vl_logic; oIO_IRQ_CONFIG_TABLE_FLAG_LEVEL: out vl_logic_vector(1 downto 0); oICT_REQ : out vl_logic; oICT_ENTRY : out vl_logic_vector(5 downto 0); oICT_CONF_MASK : out vl_logic; oICT_CONF_VALID : out vl_logic; oICT_CONF_LEVEL : out vl_logic_vector(1 downto 0); iALU_BRANCH_REQ : in vl_logic; iALU_BRANCH_ADDR: in vl_logic_vector(31 downto 0); iALU_BRANCH_COMMIT_TAG: in vl_logic_vector(5 downto 0); iALU_INTRET_REQ : in vl_logic; iALU_INTRET_ADDR: in vl_logic_vector(31 downto 0); iALU_INTRET_COMMIT_TAG: in vl_logic_vector(5 downto 0); iIDT_SET_REQ : in vl_logic; iIDT_SET_R_ADDR : in vl_logic_vector(31 downto 0); iIDT_SET_COMMIT_TAG: in vl_logic_vector(5 downto 0); iIDT_SET_IDTR : in vl_logic_vector(31 downto 0); iHW_TS_REQ : in vl_logic; iHW_TS_ADDR : in vl_logic_vector(31 downto 0); oHW_TS_BUSY : out vl_logic; iIRQ_REQ : in vl_logic; iIRQ_NUM : in vl_logic_vector(6 downto 0); oIRQ_ACK : out vl_logic; oIRQ_BUSY : out vl_logic ); end exception_manager;
-- NEED RESULT: ARCH00377.P1: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00377: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00377: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: P1: Transport transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00377 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (2) -- 9.5.2 (1) -- -- DESIGN UNIT ORDERING: -- -- ENT00377(ARCH00377) -- ENT00377_Test_Bench(ARCH00377_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00377 is port ( s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- end ENT00377 ; -- -- architecture ARCH00377 of ENT00377 is subtype chk_time_type is Time ; signal s_st_rec3_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_rec3_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 3 ; signal st_rec3_select : select_type := 1 ; -- begin CHG1 : process ( s_st_rec3 ) variable correct : boolean ; begin case s_st_rec3_cnt is when 0 => null ; -- s_st_rec3.f2.f2 <= transport -- c_st_rec3_2.f2.f2 after 10 ns, -- c_st_rec3_1.f2.f2 after 20 ns ; -- when 1 => correct := s_st_rec3.f2.f2 = c_st_rec3_2.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00377.P1" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec3_select <= transport 2 ; -- s_st_rec3.f2.f2 <= transport -- c_st_rec3_2.f2.f2 after 10 ns , -- c_st_rec3_1.f2.f2 after 20 ns , -- c_st_rec3_2.f2.f2 after 30 ns , -- c_st_rec3_1.f2.f2 after 40 ns ; -- when 3 => correct := s_st_rec3.f2.f2 = c_st_rec3_2.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; st_rec3_select <= transport 3 ; -- s_st_rec3.f2.f2 <= transport -- c_st_rec3_1.f2.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00377" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00377" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00377" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_rec3_savt <= transport Std.Standard.Now ; chk_st_rec3 <= transport s_st_rec3_cnt after (1 us - Std.Standard.Now) ; s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions completed entirely", chk_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- -- with st_rec3_select select s_st_rec3.f2.f2 <= transport c_st_rec3_2.f2.f2 after 10 ns, c_st_rec3_1.f2.f2 after 20 ns when 1, -- c_st_rec3_2.f2.f2 after 10 ns , c_st_rec3_1.f2.f2 after 20 ns , c_st_rec3_2.f2.f2 after 30 ns , c_st_rec3_1.f2.f2 after 40 ns when 2, -- c_st_rec3_1.f2.f2 after 5 ns when 3 ; -- end ARCH00377 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00377_Test_Bench is signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00377_Test_Bench ; -- -- architecture ARCH00377_Test_Bench of ENT00377_Test_Bench is begin L1: block component UUT port ( s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00377 ( ARCH00377 ) ; begin CIS1 : UUT port map ( s_st_rec3 ) ; end block L1 ; end ARCH00377_Test_Bench ;
-- -- Project: Aurora Module Generator version 2.4 -- -- Date: $Date: 2005/11/07 21:30:50 $ -- Tag: $Name: i+IP+98818 $ -- File: $RCSfile: aurora_pkg_vhd.ejava,v $ -- Rev: $Revision: 1.1.2.4 $ -- -- Company: Xilinx -- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone -- -- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2004 Xilinx, Inc. -- All rights reserved. -- -- -- AURORA -- -- Author: Brian Woodard, -- Xilinx - Garden Valley Design Team -- -- Description: Aurora Package Definition -- library IEEE; use IEEE.STD_LOGIC_1164.all; package AURORA is function std_bool (EXP_IN : in boolean) return std_logic; end; package body AURORA is function std_bool (EXP_IN : in boolean) return std_logic is begin if (EXP_IN) then return('1'); else return('0'); end if; end std_bool; end;
-- -- Project: Aurora Module Generator version 2.4 -- -- Date: $Date: 2005/11/07 21:30:50 $ -- Tag: $Name: i+IP+98818 $ -- File: $RCSfile: aurora_pkg_vhd.ejava,v $ -- Rev: $Revision: 1.1.2.4 $ -- -- Company: Xilinx -- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone -- -- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2004 Xilinx, Inc. -- All rights reserved. -- -- -- AURORA -- -- Author: Brian Woodard, -- Xilinx - Garden Valley Design Team -- -- Description: Aurora Package Definition -- library IEEE; use IEEE.STD_LOGIC_1164.all; package AURORA is function std_bool (EXP_IN : in boolean) return std_logic; end; package body AURORA is function std_bool (EXP_IN : in boolean) return std_logic is begin if (EXP_IN) then return('1'); else return('0'); end if; end std_bool; end;
-- Copyright (c) 2015 CERN -- @author Maciej Suminski <[email protected]> -- -- This source code is free software; you can redistribute it -- and/or modify it in source code form under the terms of the GNU -- General Public License as published by the Free Software -- Foundation; either version 2 of the License, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA -- boolean values test. library ieee; entity vhdl_boolean is end vhdl_boolean; architecture test of vhdl_boolean is signal true_val, false_val, and1, and2, and3, or1, or2, or3, not1, not2 : boolean; begin true_val <= true; false_val <= false; and1 <= true and true; and2 <= true and false; and3 <= false and false; or1 <= true and true; or2 <= true and false; or3 <= false and false; not1 <= not false; not2 <= not true; end architecture test;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc 4F7llsu1fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z 6KlAJEcuLgnW8V7gP+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC 7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6 lhRmghszBT4Zf+kEzAg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+ wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520) `protect data_block Cn7s+WvuQeEWTNZlXBByeqnrw2sHMSxTjxVjZ/nJIn6zi/sN0C4zhyh/0QzLSxy8dtfTWYTskBy1 HpVcJsfMh8cSZhuhLTHQBZyZv9T6Vkh+GlHbx9wpExk5n9KxgT9NT5DGHCqee+7TL1CWD6P5l5Bm 5xP56ZDt9xDaKbddtOD8vrAULwcmL0G4qr51WqYkcO6Ske8IRTKAoMrANO3gUoymgfzWqHRW0wHp dYPmsCafW/chxI2RVrAdbE9QMPFS7kj27JF8QscalT96VNdrh70y3eiHMBzdFrsFnR/DLUiKb0Z6 C36GIGQQYb0arTX1RB7pg8mYXjRY3FMZEbVbSSm9B05UJxF4bmiFW+G6MyQZLIPABfptZccg5DIR fFA4cfqDN0CO70pCe708sf2DkdnIdIZsgWmwCkofyA1CY4rtqXzmVzrQug22uoJrLmXCfYph5nKG DmjwfTAfNuAggSo+3yCx8FYcWGfPbF+z+GeiJ9WbFkIgs6qBspYc0Cmm9Ds3aLjaT1dcqTVw0Fxu EeShQf0F3x3SE07eoOjZYH+CVF88DD6O6xphGQlpRhV7c7GJok0iifkWkOObWZvDjqnKwEwq9SN8 x0iWtqWttGIY+KF6xxvLw2p3WbvHIJ9LWjAn4J41B+WeL3U72+PBpb3Jnm+/ti4FwrCfUd4I/ToE 9iQalFqREuELbz3aELsAwGH+UltZrYb8/aeIxTxhV6LapUC5T1KB/SXd58iSSW6j4T1t+Ii/FE1P PY1UShUoUdahvHrgUxBBIRK+wpBSLPGKqN9toBsVTgefSLCwYwTr0KJQYeqegpQqtmaa9j2MN2xq skc5s7HRMzX/FHJZL8J6VPqQE0BbvgcckZyx98Re1/a048Oprly6xGWhwpqO8JQo9ebfzQxyfjLV yJxItJsY6nAjPnzTAkA5IMfvsjE2PbJpeeYMYWoh//O3xL+LQd+6mIKJeM/+Wp13ibC9hbaah+Hq kUEPMYQr0jAlLsbFdsAJ6Y/KICaywaiLBH3oifTFUAG/1OF1P9RaYpeO7ueL3NumnIwYKiJdWgAT TBmyI9UyDnUcLo/FakGTYJJbTi8ylOD5RJjN95SPJwom4mp2aZyntegFdeHNHfzCfaqodi1joAY2 PWYo+rtC3hWqIXFuCzj/yFjPwHWIrUFqhjaClKoft6k9Qu4IU4vA+dTKxeqZYe8EAloJH72xZniD OzIS0WmAL5jbHTGVDo1i+yLWlM0sT2DoFlwsOyGXez3Tz7cbNSDOZtyTfFBnq1zdHKfrLi7HWAQe 4O3Aw0RbiRaOTI5W6RSQr4pYMnGmeVxLWan7FI3ZqZazEjHy48OZL1T/4uQFNtM5o71W9Jn7PR1g 22AmCUcRbBwXFyYl5JPMYiahmGTAHBY4E8Je9k2J4WEzkhLPoOTYyPBy+VF+gnjH7gqL78lR+4Qx 7DcG+5tOH1xkbzqP/oq+fXJFBAxPEkpPLYmkmD0IvPCLRSrd90wbvn0YyMqPqzsVpYj/LOzu7/uZ jOG4c0cHf8Mzq6jf0X/6jGiKCi2X4j1mIei6CcUZ7s4n6xvHno35XQ0MMX+pSYkhCneC1wDc6jw8 fOBvSNcec340txj4+q5b1aWG2+pPqM82v4ltmpzEb0vdd71iTbpPQ/h+XGbFeJbXKuFXjsuZ6WG6 l7Qn2OpzyJPKTSRhr3WpMROledSjXB4Q8UJRZHZ4dlHe1pGV8xgxYdFOisPi1+Kr6yAt9kythn/D zOGxcfXwf0M7JYeOYRoOTVkQOumWa/lGDxoxeztmg89+q/uGtqU3LVj/dM2THeELBKKOBS9DcSer xeKfaS+LiBWG6DBnYpITcc2HvtISO6a49Fs41UKlIA1JTtjvmPZggzB5cnIXzwv+gfEjATyKPk3A 0qY7bxR1JOIDigi5xL6JKHYLLyjXEqXmKgwYtVUVlexkrw5pZSl3Hk1CZSdcO8wX7AV48PAaPGNY LQq07S0CUteWedwAie/h0Nbx8ETiL1wE24vzDy9NM8a39S+K4eOv2zzzS014HO18t0S7bk884VQx hfQTrxOUmMNK5mWiiiglZH75/DdaYMyapQCGKIBgF+TT5G+qmtocO3DnptJEDh3GcLfWLocOxFGV Y7NzgpmFCc6AbIrXdQmMO5mXfLEbfI5XFlS4Fo5XsY6uknCELMfDV4d1bXAjxNWE1ICF4x3USBYp XsfEyN2886WAtmNXSRwDdOJLIBoVm49hKjHN709n0Zl+2tglWhHCLsYl65f1KD5StWJIDo+9caLE puEX0DLY+8okZHyMC0C0hkSZQN0/7UgEjgzxdri/viTwTAZQTQJBw6hXaLXzF8tqf9TYbfL06laK de2saOrVCRiVGQyQ6wGovttEBzrsyBUYqqvYZH0UcF93lUBl2xB6DRpVToTqYSqdIbGiUYqN65g5 SCiI6yJbc9CnFXo3D5cteXfSMITnX4QQU+bMgKupAicE8w4b5tW+bPjbVvG6roe8z3Hlf+uCVc0M FrpyKF19gTudguKbKx068nYiybuKCuREAeZCUrNhMmbinaWLgUlEm+etxRYv6VWVGcJXaPxxjFhY T0Aav3aExLo98R7EwBk4uv3bocTKI9tnKVPtCZmIvo+i2b41lQob3pgVUdED8YEviFkkvMMsy4cx OjfoneJPSkbP2+mfwNAJ+6ZYG2OXNRMKcd1AvFN4fUYZasgArTeFYMEo3XebhVj4zxTUrAyIboJR pXuCXk/9vLhLUZef6yw/m1GZn30BqSBTXWPfFUuIYqeFxiNIo6ZZ/PosspNBPjLhjz2tZTVwXVNL l/KWWjFZM4+73ayLFlP0WwSdQ7wDvmQ5M2UiWeeYjIJvS5F4DHAOf5kEOm2sN/patk8BAEvc1Rfs oVhBXgo4Bo6sGQRITaE39izYrwLuoK+G7zn5+sPwWjDu4Vl2QLaTtXrE5coOdJCLPTf+QxRIUHIO VviuXc1rvzn5H42/t51Hg8d6MxslI7Vnu5wQjzIqMVpAodyB+7lfwFHjD/sxix9ukOuwrgVIMfXR uJsj4vtOfu0zdN+/pjuh/mEpUts9OR8BNpB5hxi+j4GNSKOYlIPA6dzSKxj+Znhr5OLf4+2pvAd5 /gCWy2DW7JUUVR7ljSwToBhhf6jzq0ieFKnJpUg3PdFpoxfItJ55oRviehz9rL+qa8e9SLHkCUf1 LIxBkYj2t22TU5+E86kB4PqMxEwB/7M4Pda1uib8gF/cdtn9XkLl7ZQ5ohnuOQW/s4fBRMxQD5iV ilfo/ltBggeD1rhPAEP8hdOI4gE9K/7O2cF8xWy1dJdfXZccc7oULTxGwvUvrVL7qAmbXUupTKUB Khgta6pxPvmOWft34xuDaf8nz0l7Z5TpMTZlv/xpHnx5gz4T4K2t/Xvl6/WX+NTPwaoRQEQi1gtA 3Z9RZy3MAoMi8Z6K7SghOKVf2jSReyfPoPMzsmydp5ijY2EjzWXmo2CgWimagp1WUOetztRteZcm FrJ9hKyj4S/nHEJ1Zl+ObrGUejheYoja8KeaEDkFP0PQErRkQyn/PCO2PT1y1eFCtORAa0rT6Bb+ vHU45mX1+a6l9wJ7sDv3cklpwZ/sxOgQQE89Bgk/6txr1+qLbrlH99YJ/JHUEUC8fGOmCQDmySbb 5rDU5qGs4S+lXxpDC0LjFTz9BNMfMGSVfkym8DQgp1C6asyVrv1RJ/RY1kHYa48cW3cxnpzpfLKu E/VIXkAvtCaof8Pmb679z2B3951SjqHfl7U6mimm9nnvzTCdsCHoCA/zOXktOg4Y2V+DMlXaROMD cMDv4ycDOesWhuDD+umJIwoc/pzeUSJE03WtWyv9DameIZeP19+RttWmTca9GYEgViynjgPv4/m9 vOsve345Qpx9Jvmnp4s6ci+D+AP7kmhy3suNO014rRxD4fdBOJIJLmKZJw75RK4P1Pt8BiDNEhLq /k2Xp4csVifYXGIy7eUuY6X4QIHGvi9vyLjO+a29cHq/4y2Gp3Pgl2CRdNMq9LIORLbYmbrxWgvy Wc8WbDpCcG+GbJZqYyds0SNJi7iMj68leBNwlIjdL1og1Bq18dBQxhsrZNjEHsByhneeYyA5zCWG z2UxPEXKthtDQ7E3cQBCC4eMm6NlkVx7+YaBUnE0Ag7gFjFOYhk15AIl9dsDgjuKAiCsnPW4NVl4 o4TQr1zZDLANH5GfZDQ7dnd4ILCNMyd2oqgzA+QDAKZBZm7kaKZgos+X/2FG70tc2i2q2o4reo0z 77oLODAbRuJM/lJbrh96QRirgqiaxvO27GnmO79pVK7J6r8LZI0C7fwzTYS8g9WNpwm2FHIea7Pf s9VrfBRVqJG2hRewHbYYWdTD8zjJwcwlb+UReVudf8ufB/LnRMR5d26eO/2nngM179QCN4E8s6VA MCqTp4f77Jxt5Eo7iuN93J1J0MH/xtBoXdcPaNrs54x9jk204K4Pu12HXx9DDv9jOi862QjD7/rT S5O1Wnb4sz+Y/0iUZiW3DcOM3/m+r/5m+hrB49oVXLNApS7ROqsUmaGrb1gB9HVIm/gv8dXXsZrO xoTgQMoX9Hw/0196xUih81IGBQKs2nAFBuK6J6ACvRsXCbB6FieuCNX/oPw+qZPlPOod4JflzyUq TQfQjFIygwe2AGB03htg078HmmtfdrdQxbHbwYz3J44ev7n+qqiKtvtMeVq4Su0pKey//dn8X7u4 rysdMIv1Klmr3TyWIW8zp/Tq4Dy09LmF/cJPvpd4iiiBVhZq1BBCHfWCNQ+VMjeVnp7SBkUtuJfV 6EnJjlivjKxbT2Z119tFN0qJJU+iDJGdSL2FACR03COZJ11E93hojYfWge0gq8SxNRyMTYnmLsrP aJewDUxAFY5e+y9kzscDhBPDhPIrqo5tWj7PiQfHgk91XtN5JuY5wtT71qJs5G5vUt3lXqtHqfVo 9U6uGr1MUXSFfmqIyIvm9frdTI2KjSKfnb85/Z1ZYKVtX76rvpxrvp5jKso7KebsWhKO6LhBRwqw 7loVT4hb/0npnkTjCmKme0fAJgFIsE2fOm7BzcIonmv1qAqklJ8tMD8zm3jg64/K1p6Ne6hwq814 BhwejilnvtqG9f8TLxvJibpMXVZFhOx0+mYEgNrKSv9Br2qqXoRp72gUMTS71sWZNhI64rCX+1RR jLnI3JFGhkOO9vCn5uOMcWlOKDceVVpXd2IUfjF+0b7W/fm7sw0EjY2wFQzm7UBB5B2aEQL4JmcX 0lpBpWNaCszBINdSrKAHvR3ohR9LdtppbLXH1jH18HKCzyhm2aelyH2kNdSkrT9iCY9qFOylqleN 89RtjB+tqUX4FzU08vIs5jSB2q+04j/lGFIjftganqxrfrJA2yTw3xg8bj0OUVeojozt5yBPZaAL uFmmeRBwTZY9gefJvI4q8sF8C8ttBqqHb2o1SPCSLCxSGfjVEK6ptC3Uk7iO1Eh0pT1gsxUzG1P6 AyomO+BzrLAnXIWqi5yQgc1KQ9FCTpYBHX51x5oEAAbGJTFHGffUOYuN5kgV8sR5JXezis2x8ftj IF+T3t5Cp9shUDAbFmo41GfqBm/n0bQVDBQhcgM+CdRIpKgbZQ9rQkjGXTIQSuhvB9IoGG1q2iEB Aw2l062TT4/H96+zgy8JJbGrwZDr7qqmGSATWl8vhUD3NOUffJaPzr04Xslr0eEiActsBfZPOsaa 3Yp+niraU1XDbR20+BylTovCWjukXR/ZzQC+0f7WbtQ1AQ37aBLWF6t84C90/dEzji/lwCwwTuJe EER67uMAOAb1MeylYlLKNe55R48LkpgdL5te2DO1LYgidtOzMDd9mReZXOrLrAwtQlUVGZpX8Noo m2Y+TlTlEBjh68IaqCg/mVQF8P9mDben+QCsQJsbarcle6GIuABW90cb1J/oBX83rISftX/qKT/u k7UsJR9O5e6uV6U9MvDO7LupAxmwP4pux21/xGLrNdE3b8cSBQ7GcYhQaSUlPZzfxK4iyMwtDNex W6m5QWHm8NxtPeJ39YJ3JP+Av0pIxjOhvA2wCcX6GDcKSr/lYfxLx592Tok+UKT+Vy2pgP/jipeR JOV19Lw0ggaa11t8oQmGgWIcg+W2craJAd2x1Xo+Jvbg6AqIl8OJ41oKSC9ZmDifPgMYCypDixOF 5nzjfFN+qc2blVPQmYtrTgq4IgWwiy2YLHvpWZ8kPeCw/S0jtWGV6bF4LzcXx/7kGMlJjTF1hBH/ T3nDCGZRVlLNfYZVsIy75BGtCn02nZNx8xLLv3GqU5OaC1gej2OrIAHTP7GJAD5IPLnvikFbqX5g /YM5o4oHwwK3p/CT4PQxJpSBI+vAUp2TvKAoK2R9u4fMbPx8Z/Tln8zBb27cy/ab/QrZzPz+eeol KJuUmSXBVlcODa25ODyTXWiNncEIjLw4FdHyF1zYJATopclKWpdwG15/gQuUUMc/Qv8OYoeFjQxR r/iNx8J+C8cy+cibD/Acd/ahHHXysk6nWEMn+JuXpELeMbySOUz4SEWVqQBfWk84kbQs2WOcysDv yQv5Op9ewZks8id4Vq8gfAd19doM4dc4DzL7NKKa/A8UUESuHlOA17j4lHoYlXcp6+h5lMRzhxtX Um0/Lp0oLbP0tHz+rkuiFQXpSa57TxqU6pWclnbO9dIjJdqYv0xQcC6rJksO8uzyyzI/P1NL6nAL 3jauMoNsjz37bsjYqs4XXkSFzEvuZJwhorwy2oNQ270IRfFgJf1LmXHpxxoctk3DU008A98zI2mD ENape6JUlQ77NU7GUO4tnmmjL0ozhBDiHzjZaQGEC/pDBslM56HKZFUhe2Yr8ckIx1NMZDdAvOO6 YFJrK9D59elnMXhEBfARA/cbBt4rZ+SgXZ1zlI+GuwPO4uEpJxLswh8MGRuNJT7KEDsqC2HCTMYk ApwIMliDq5+MBt5svOfI253/1BsgvTVGR9DmwNTPX7rCc9W0TS/qBH7BvGjs/uipowvgkLQwM+1S XIgPVl0mg8iAujB0dL0Hsqlq9luAjdV97EZPNKDA02NiuXKnFZ1v+8u3kemK0D9eYF4ivtYd6Z3f pn3EsPhT7v/dbZ4tLP0Vgr3ynyWOXvZc9lp9KlSwKSJCcEKsvSSYDFcNfK7AncsooZ7Ri/CKrloh bNfmmDmxuGVct3aYxGely+bxJpNOKijG3hIb3zyThEP6vR14UHga4OGo7t5IsplHuEwvUmHrdgj9 90R4S0RfP46/c44gJncFe3sRDqvv+3eJOHZQ4ZSul09K8WUhRuFUIjV+4KRFtZXwzitUsPi2ny2W vGmTaUmirSPQNtIL6RwMDlPokXHEWznIMy15ZX+E2QWP45++WTKzoKFGMCcaAF/K8i7d9qUHJ368 Zc0vEbgusOJ4DK1gr2a9vX6i8SPhDtxnnKsACjcPcpDGTMqAlkIK9oafCDdPqrsnRxpKNT1YKX3l duOe3+Zdt9OzwRCkIiMVViqYjlbPQRNz1mdJqSZ676myJkWH0CtJj6Zitml29lb6lHY2rqjEsj3F UvPgG4fx/i2DJBfPbOHKaoTtSU3b5qvEjuRdN1472ddbei2FwxyhY1U4KD3CZHDqdahaBR2jCAZF uBMnFXb0L1LECLzHKzHoXwvVZRcreQ2t7qvEJB643qirnPgJBrdU1FvT8ZS/G7omy1kLCydiBoSy i1QfK4Es0tV0Yk8zPVDywwc1QmSgdJ2KOJ2XYEwwycqx84xeiUI4LDSdpbDQJITWu+P6yWuw2N8U I8iWhHNg+C0nV6xrSs/s0MQWGcTEAzJTz4/v+MThvclvJCwjcZhDvqNs4HxhGDVWt42ugsncBBAC 3vJP1SMWy9NUdepTsBQhfhfi1VMHwEGOhFP67sVHq2OKtok9XyKaizooZrHmp/8S3x2cPFJBSOwD /qnD45sjVqmKGTl9sIVneEfwzTuzT47J300mdkW/SZ209SJqeUWaGVU1FeWP/Y8Ie8jaERU4M9lJ aRCN/EYjPmChg9cNh0hu3PaQaSfvYZLfGIZJSbGMNQ8JKis7K3rB2Kz2i9BfpYEBhcFHcxZ7myW5 uDczHD62DDeESGeP+UYSegwR/CqaQfZnQT+gffQVfLsgZHTi3O8ceVbsBItr5eXROlC+AYQJmXw8 Qn4tIhWuzzaKYyEtWeJPWvS6WFcMMhsdgSshq0nPipfhfZhInpg9vnHd05kdbuCLSRc6wFJ6jBAB oOTorN1IUADcMGW8PR97JCXQk4J71msPfJqzKzc1yWnGnLPcinp/pR3hXnL/kHaoZjEscgewumHi Xl1ac6CAx9mJTSJtyjD9wIZFLERRZuVnhe1jLyviQ8pMh7ZVEcrjsZieDNb774gwWrQNPMHbIJCA HK825n49M/ZcFelDjFhYUNUUXyNXFav5JdtDN2xrl8/cnh872CSi8lzg6GDmo/eFpbIJDdFXl/QT QGfw6s3degJevZ7GCaDxlotohiQxRv7eiIlTFlwml0NwCFdT7Z1pG71RNkC0S++u/SkBNEqsiIrB ZRQoMzxCJZ+p/37V9V/VcAOMzn6QnoGtfk3OxbYUPn2vx2AX+b/pr43ezCqItkEv/Z9SZsgjkJWw BA30kRg+u50eDrLW1TS2K2Y8IYAmvhj5MndcVQhzhJpvlOi7UDMyyQ9EcRoU6moom29mkP+gKCqT ML2SbIMCzC5sX5NGllNT4KaoY5EzmqpsZTuE6Y9rdyV6DpBXeGwfdhZkw+WSRYoxtHPxS92nV12G jKnrHCvFTj1OuczERZEVKlwknHgE4bWw0l4dfgBc6K77zQdUeh7ohsE31wuC4oQPHfvJy1hp8iKv oI9hj8yMkmEKQx/6fLvTI5Fw1JpjQamS9SaACQZRN+jLa1i63be3wle5zMIzdjanwPlt/60HT8cL AM7FM6RBgtjSvt7p+/OWjR6Rf4+1RkCYmgQ3G/t9Zwaj1eTAhAg6I0lHBec2VD4fnwj9SaGReiId iOZMoR62GmA16szank0YzSXzX/QLSoI18b1xdKoS3l4HGQ5IJQvDOkQPch7S4fXYedk3Cc0UXZqK GTlMkj7+fnaB4mRwkM0jcdCke6Huj93hAsBWuioRxsUFPBHD22iK7z9KaE3fwOAQOreegsW4BmCN lmttRrT/Nh6WHAssjDh1zf5B/6fD7TT5dsnzIED04augwREIosO74q4uHpGXmN8PMirLHALey5vb VWYrPKPLxmrPLTmG2Cs5KzhhNGA6/sOz/Bl0OAA5IoNMX+e+TmzEdVeOX6pAUdEOXUaOfjVLI0Yx ERVRuc7DGcar8zmDT0YljwqMO9QjTJJOsFXNU1aabrtNka5RM9+3G6eES+1s7r9U7hh+/JKSlKmL qiOpMYbJiPQTO8gvIXIcBmjcYI3OeH+FqqfzbVH+2vK3TDksVeycparzvaLXQjDxqcKo0LZIQJ67 +PP6JKD02TU1C/02XURz3tJtT1VyvR5aEhZaJdKAk/wxGZVuwvJGDyVVYDNRFDh5ICeG0Pd23wmw HorbZg28gBG+lKEhf5kgRiMR5Rnq8RjIYnzLW9zju46hTUrctMYuMw7yHo/H0T2JWAXxI2dreRsT 16DAG14lgVLfcPW+SmMJ8/7mt4ZtXdERl6hg8zO30sM2lZExZfXNOP0dKDZM3z+bQB3puoEGk9xf UCU4b7g8JW2RQzgGP4ch2Dz/5mk7aC/aCi7iFzxhhfNB05NkUmKs3Dai5a9se/zB1/psbgoU2Gty dG7rcao57aEzF003IUqQv8U2xsbX5kjJ8w01wq/XiDKUIw5+e85wiXFdRnadqfAtRuSMEkBkX8od s6F50IADobGWZDwX62dgVG/RvDbKcuC90v58bu6/x6TeEaaM2Ll4w+rbbFvXWhvXizNDy9X4quJV dXLDSY/MnVDZvwdU0r7EiRo56Ed/XcptfrQfRpOpTL7l42NvxC8NlpYkzzKIDV0PrdahdyCIRxbL W39nwzTGkbxSAOLPpfykr7dK8cehrcS63eRSLFdQb3jugQXhM1PSDddv/MAU50zSDe6YTRdzZkX5 BJfTDawliyDauloNsp7tNfhur/mh2nYfIbszFEP2QI6F+Dd3BBk35yjzK8XBwqRyHwmZC/OMiGGY UmZ7REOh9QDM5rXU/s0+aSubZQK+G63KIstiBZyNC3VPAu5Ok3+AXwZOR8Pc6Hbl2DcJSjxcj1s+ Em3F+YDTvhr3xoHsfHbnENhQJIhkUbjpzLyn8x+rDBuzFYXhGBvyV3QId4GHzG+vWOAq33fGzS4f HeN1l6Nzsmcsyv7jpwyl0qgClaeuKPIkUF/oTKTLcXcl2B5P/1DcbYw7aU3v4yfAE1s8iiQZURks H67qw/ByqBXfAbFLZkdD7B2UVAHgibjujNGcKAxxFRuAWJ1jz56iXp35wQewlcv9UxUV60uhyWlY GvqXsVCorta1mcfwSb+Pw9Z6Qx5tc6Em2RXUK/ya93nyxfevWbonzBEVs/637MtpzPEaEayjNNIm DDKTcqLXKlUnjm15g/SAgFj3k5cyYSMHfvB2G7iTZnvE0Q0ZWQgRNvtbKTkeMFEDVIIwtVk5mwdn gOZVXtlhOhT2s15JWrak4kFdPiDRDjO8QTEv/2QOPgfIJBdLy5zXWrGaTqxUXZI2/ElgVb7Q270k DowKz5bJgylCnkGpi9lSBf9lY2ziFVLnwLpYxTt0reqls0rKNYVhqYnJ9/W4WKVYgDGRzPFy4sWk 3ZATB0fG8tHWhiUJXL0Mf3J1++TlsyLMgHvLZVW29Q1DHrXV/UvnGbXWgPktTu9QgeMjI6/j6VM/ zj/eNCQVOBJUFxy/XsCHRn2QQ+EhraTe99EFbI8yNaMUP+rOITjEVrkYTvMTHMJorS0XMT6NUQaM 6h1Um/ZWJIRokBP3lpt6F0a2ii6lJOLAaq2XLOWBl/cfV9FTTtX9aFxMp/Ag7Wohtqgyjrbzbgof b6n04t8gIMZJdbJZitcqY5etz+VrSNEZufQEtuc0ucJxz+3YZWRzNnqJiTBuRh2EipoY1z2OOftn WQv9K0HdzN9NjPLyml6Nk0AyOxy/cYA+Ivz3NiEuDzZys+3oBr1Qrq4XkRUIRcUgkddQVgqtgeCo PEMXq33WnASpqtIbX5hBTWsarzC6sTDAF7LHdv+Xs0VjJCeDTuWB9WUp8Xja+qYT5hYnG9suEhD/ EfSkMTzlj/dqGlhvGJj09LwCcnEf+GGZM4JtlbKUBP27mRL3VfVr4gDfsXCiCWynPFJyYemmE4Ql Z5MRGxdy5Kmdh1zLKd6IOkmo8ykvu/8gHuCovWFXqp2ZY4fZw6ipWfZ8uW5BAuItwmjfPSlKIN99 EHkRWvOaDSOcoy5HgHb7ySU7efhe53Zs5d9NeXxYem3qPlJLBSMCKwgj9AICnj9RSFXuL1C76SNZ aJdvD5EJ4aUKpxjCC/eBICS6otjlu5CL3vyHghAZpfIvJPliPmovf087uesBsCy+Ec4MFo1MqQeL XqPNaEd5Q9sQzvzc9bIQsEZ7NT0vwKBda7OlAKBZeS9Kl+mlPzYXDOfkwJWQG/BDa2t2+2QD7mcd 0REuznDykH5/B5UIYBKaK3Duo4tqlOL+gGfgMyejfaSMi8JxdRBpRGdinWOaQF6GUdG8RNKqezOp jb9n4ZwTX9GAiS0B4+y/n0i/A8jONCw6Jj/RBaX/cVh24o8QpPW7pthCZDZ1AeOXwa+wCra4rxz1 I7EpWyGPgBA9KxbnarhFxc9KOn7Sou26WzLjl9ZaM2jDl3w7kSrQl2/KNWOca2eUDVxd8YYebp8z 4Yk1qs/N+Nr99EoB4q9Pohs3RPySU3aL/Wg39m0Q8AzKYvjrY3vQaMAI1HGUiFl+2+iR1iCtYCz8 2QXgXubBpoK/gP3N05lsy9i3DfUFeu1sVtDU2lKXQcOBVoYKrhHRBmXAkdc9p2RDT/J9gDk8TQ9j 1P+GQx5pap9ufw3kTw/duzbQC5nDQvV8XR/S2hTe4r4SMspt+1SosNgZPS3s2/0xGZl7K5YSVjvg C+p8ioTugA6xixFaqn/qPzWDF0wHjjkgnr0pp8KmpqYNeiyMHrxyKdZ9SLArFxswDgI9a7rhgAmy kqPCtzcM2MwtPp2/NmiuGHOEVs0FJ+O+UFkYEQEzyW8KjTvzW2qUo7EJ4cDJN0MrjedFfch3fJU+ tRAKNeHh4wo3AAr8nISBBT7gHX6v/h+irAz/tgyj8Oo0AYJV1XMIDtZmAlNOTy44g4O+leW24KVd xkLQgdF6Df0mqH2XVsECP9i6A+iLk1uorVSnXD1ZRU3/mdoW8obQWWJzMDvy8Xpz06R4apNdQTa+ TH07wTnWAcUQd5L2MFL6t1dfiBOZbfbbWzuLni7MeD984twVjAiHTuuVdoJ4tH//2PVJzbxQOenO 6oyLXzJSZYgWSh37tnTcroQ1ObJvuWi98cn3Kdx9okfaO0MMAA2NJQQoERwms+GmNap+0kVY3tES Y2hXjaO8Ifnmygi6EHsyfRJdun6DNfnIKzvJ5mW8SpBsSrS3sP9lACZXNSgSr9txSpBeGImIPjPo LznOq6kinMVeQEDWZyt5Y3D39P81P1IOQdcWGq+QcNM/ZXRVTi4R4c/I5mzHxTRkK7grkwGgfCmd xQQDktLa0HaXow/Yz+bp0f/iajG6yFIIOYimhBlnTiHXEyFwkoq7idwfOdtIYAKf+dZZXI4twCF6 TAjfwFlZ0eqncrWGNnxTbRjpeOcOzVhtX7ywIhbNYlJyZZ2Okqtj9EcFY5M3P8uGgQcU82NcHmar A2YBh8C0OVOjjmCE05JI9pPIe4fP0BNWG5oMjXXc0NMVmVcz66D2PtbIW3A4vVEsnxodSW2UV451 qxpDDoDXEYWYFC+myHu2kkaZYfaxEtV9O+dt4tVK9T7GVR3hejMQfHWRGM03Pt5Ef0jfXT7GOvCR w2sk9KmXN99cK3rTRbSNuPmLHFzvpXAnCDTiLjTnei+NAQWduZO5SXup86OaLHRHEoUxAnVzDy/+ LnWcICbIHWr4cwxsUyzzA1GALV6EZ67Rglxy9325krvwTAHjPXm20f6XrT799vWHdBYt5VKFEXnT aa4/0V7bb52E3n+J32EQO4OISo2NeBZm6F0H5afeFrtehLGQZEglqrY/bBFIrqpqd5dt284WwK4i ar72kQIBuViDF17POIIfPigjrxKMp3AAbnGdZUuDOFqZBb7HowLXHlmeF1pJInhqbnwiNoIIU0nF tYxuXi2T6sSf6B7xCC3OqAdGFxJnWMNgZfGgSzkxMo3Nn3bqUPRUrtxOsLLrdmrkXxJgerIR7TZR nNyqGdHRY1auh2BTrKVFm23sGML3fe3w/tex509lb0D05vjkEyYETk9YXYjLFm4p5WjLn8rpAN+N zxgkIKf9B/+Y6H8fpIw5rd7MDEKW4QObxcgN+Npz/85QI9A17TntZ16zpg1HtH69x7dg9/N0f+WT /LCzkQqg//fJ9DeIbzxET20ohV3t0dthuuQIa6xPjaZa/H1vNyl5fi3uA1/e6HCKA/rJ8KEELrfI p10KSPPCUiRVT832fjxwiW1YxScwMZFIQrb6wdDtXN3HHrZrwHgrTX36XLXxQ0mFIj/sCmJtJGI+ KbX2Tql3YI12cyXMYCSX4yNrQMAK8szEGyZPeMIVMIJcCk/lAoV5vKGaVDvwZT6UpTCOt/BT3lmO 8m0L9vHc4CSIatgrBzEPXkT3ZwXB/f/8I6vQdS9faSb85docF4Bj1cvEtnADq5mhCWPoc5nkmXbK 4TW8jXaa9nYdol/BdcxzQagoTmvTJBedo9SlygzKCd4U2v0MG0o3NrLodimy3I7CGDF+ZdzXfMWz qH2MiBudbdOQw+yiL094itBh/V/2H/TMdBSUPkGng9zpNDbRHUtRyX76FplZlAlCezX/uWm6jDXY UWs/9ciVNxg7lU312KwUfdNI/mLRSUsSNXgVutM443Sa+h0vp4jkz3nx+t/q43LL+zmrONKVCUVK nViJqMRltb1ssy0A0zmXUCyLjx1XeCjMMJiPjpI7omkzTja/5Z+I5lQsz80MtSzgSNdoUBqM/bTU DcVEtEdJ4LKWiL/0SdJ+cmNM5UzeXfIS7U7MJwHbII4j7VR+V3YCfkdlT0MzC/bxIV6W0skf/+7r k7kVHJwexrZBVY76OQVBxqwK/gERm2GYQzbBMsRrwSMtjD0VR3IS7jkPGsgc2xdp96br1dDEF0Jd J1HXus5tgobCkHKuAsNEQ/QaLv8cN58NC49N4PI2rLDlF15KepZPBj2RgKaDnIgOfKri61NIDRaI XCbeXwDdoTK2jAXqEj5J4mfBVAfTKJyBcYU5ias2Xw/EuwiWvphE1wccIStb7Vvb5Z+0RzMbe+od MMkYu/W231sVIVdakj88AcCWvQMPd8H9IvkL9YAgzgsOCv5eDtEjqQM1Hcfmg3oSWNjONjekTFim scFLrcBq2S0c2JZupA+nfDnX/zlooU6KkUyQ3Ad9pUqEtUVM2ua/aYMaL5/vosyJeJIafERzTGoy w8S9tHuRu1LivsRqRU7L56mbpkEezUohNHga82DRFdNOxJIkRJ6ZLIMYw4riSy/Ag6nvM8n4spvt QM5qgXx8VFmnzSsQhGQFGNN1uMuW0LiYtRIAtNUlZAeseLTDH8OjltERkVAGHSKbtBVBGofvpUI3 eJ5lsMUJ5qVbaVLGcsGJYWopnoRrds9tLH0VGsPKVD21xzrLPwkDUhg+POYLLLQsu6zvqzX9HrPF 1ysse5XCVClDGxugOmIreYlkf7ZBnHUE0xpEiFYHeHoKD7RCQayDJUPL8qeoYzTCb9yVQBr/Hy7o n3InKpNvPJcIY++RZKqqHhfNhTw/EqLCn/z7doXuMCep6g6QT0SXqvnJSMVAh5uuA1TR9WBZ8Lr/ QvY+LHK3Cy6zo8et54cxBfXZNHh6YVEQzmcuq0BqwoPmhjCW7O0oq9+ug7b+1q/IQXcs+74oL8pK DbMu1zRPJCX05whxXIUJsz9ioltojvcmDr8NdTkEPFTu5dzSmn01dC7Z5EfwJ6peyZjHA0Pv6eLo UDrzUGqrcg29Ini2jvz/1qH/xwFUozgUTsNazdktL7dD/Kkw0INr9M97ymxx2TL76m3h0GEpZHMX VpK672mwrSusRFyJEgvuMCaUHdZFQsEzRZt/WS6yYLndoLg27TgF3YPhd8Ml7aCiBkTddRiiEyLN o2uRkZGj9D/VclrMhj0EmYZ5FJmhDSYtQxCDfE1hwmdJX6ebq5yOSmdrJQQ8/oae+5xmEbEJ2pk7 94jD5kJOyZnEKk/TKz7xhfUkWSa95HiADYSV0CaCxOYLUT4YtKvQ5JIifjsHhrLGXcHbnEowQPff jfUq2ALmQnD2TjYV3T27vKeM6T8cRHW9OuMM3yUH51piF7Ah4BSyIWqPtxUvZFaE02OH9Yd7UP+h l2i631MG/QliBEOuVu/16VLfeK00xMJO61N9wVDavL5hfuFZywsiAtXDuXxkLPJtqqUCtWR9NK7V T8HNtueV4wgFxaFMjE8Z3et7igrUJulEKxXDPGl/ZPeYIU++iu1EmjtWTsbipJw0C7b58EZRYMys nC9JYX2aMAg8ko4m2awL9ayqDWpK3XXCMLzO0kcFPCSmHhFIXv4zr8vuYKhCh76MKsxvboOAKn4g Qml8wwmmrcl/4v23VeuhlZoubOQyICBHHoW0uV3MdvXcCRfsHl3x9XNsyDpOhVyeiVylvsiJp1MS NHlKiDaMU4+mWffYJCJUVxFAKjmBaTDhgCbjxdHdpC6LNWiQsTh73D3n75yZ2orRvO8jvn3HwbwY lxc4Ym9GwFXE2nF5pWtHIX92D40te205Eg06GmjrHEGIQ0dMtIa+QWw+NBT4VE9JBThCv45qf7t2 QS2aAlRGrKjc2IQsTa1hMMvtGbpyTQCSXK5ewSTvpM/DJRYuduFEeUEAutdyjAyenzt2q4nZVWR7 9ZqTnCTWNAenazAlS7LmY3ueR0nBmWmpNnlYKVyORPAWs9EUOizZAZTtEze9t7jh6b3lTuczz3ml o75H377HjNE9AH8H7OEz+aafUwek7aHaWzS+5E4SyUbNjHChkkYH9ObZuJNY9U0rfbJl6YpvA7Jm dmxXLR34vHAUUSBS0VrdSKQYF8wNz+mgx+Ce9fg1oGYt9CpuQoW3lvfCUQ/M1leyFx03OilLY7uG HYnbe/Ks5QHecLUBToPrimcrKWhMJA3UFoKlz+1L29h44h0NtUp46vuAhR/9QgzK9/0YCCLi2oDh oe8Ind+8A/WbBtBNplTu1Oy1aAB+SZAseqYGHaTLB0vYXvPP50NY0NgD/diOAEPs2/TpeNrH+EMV YWI+H4D/sdGjgtH9dxabJFVNFiYgC4eHH9K5pE4HQUz8iKSWWuQxWMortxGxqIalLPWNZHLcP+JM vtSKOS4PdfSJztvqQ6Pjr2BXIeVP5yb7wzpYVHGf+gJPF+TSA0LtnnFbgK1E0UH6otFUM74FVFPP xzXJ/zIb31uGh/NKzMdiqR3Ts+0/4FtPxlJ2/7r3JiGiADdL2QXcL13uUe5HDgEZm9L9F9TV3cAT xzLu+0gL9Q8rP+9SmNx0R+qXXPHG0g0ri/8RPrMNLXgVXTI/Pg+OtaQm2jDNQUEm/pkwWKcJ50q/ mLBNfRRnTnWkIM9KLcJARmqrqPqwvYTdQDoDdqzTcDbjYismQsQGsUA3mkyzUizc2yHLfDYY68or Gysr/uoNiyro2T76nhI60ot7DlOcIgCcUg6zJF4HQkNzoLdZdrdkZIj53bydA2N6yLs6gU1GMmGI 3ifuXscrWBYdNzLCUJ0OYNeV0coSdTNphm4RIwKo5PuULFpWlO22zdh9uA+WHfcd5f5xP6wLymwx kaD06rc9UDcVpkhmqcnxbctE3DmapE7EIRAgI/HU4K9ld78fJ04CpLgdGLj30/PeXtyEyjft0Yjo irRduVi3Id3dNTjEgfZUn2ihq/Xn3FfkGImni8tQ5LTtgf20gnIjikd64+BCu57nbk8TBOMWcVxA WocMkOz57aEYyvRm0lFDL9X3MGic+vPy67i+h02h8lhrGyBOmZprSMgyDGVcswtM3251GpRW++OC jPeRgmKN+VG5uqfDGhDxXPm6Ws7TCGbPWBo92rX3n7nmnt9dxIlmIOM/mgURazG4cT7pCwjqr6Wy HDoJ7a5S62dre2DKLz6lmci6/3rbQkeeLuRts8OumdJmiGv5pphhlJ5R8kNLZWIuhduk6k1AVpeN Vq8s0ZQIcfVzsZyXj0POjGweKhU9NiSq2Sd48AOYclVLD4IAhVDQS6fIaKYIKTA7zMOxC5Ebowjo 9FHcfAPI2avhpkjH6z6AnnCGSO+DhJ/MSy9SkjSti4/viFxPzzy/UkTb/WO8rXk8IlS5O/3AHjo7 48DgSIlYO/nt2nTx/6iVRKLOt3t8KTnzUJvDi7MxJcZPAbUxKla3hrlFe38GU7CfTzatjWv4LODe NOnPCp1ZPVSRKKEAz3tYQCfp6tPON3OxTW0whF2179QM7N82T96TedefYkdZMneor8xvOwepLB+D 3NQVh+r5Ta/bmeH8DjVEqKPTHIncf9D92eBDIqlzcAK0V0lA7zbP4DGY1Hq7Dl2xaD2DW39zuePg wCoZ4e0M02mca2pkin5iZ6mS/c9dFP3r5R7B124lyN067bKg2LmFyx286d8UYFrKHLQkiCLd++OK pTkSy3wBJNuOkqwK0Wy6DzMdmIlcmEZST2sgsX8QVrOa7HNVAkIZ2ubf/qUJE+XmLGhFz1yUl9vE PextxYsWs0uYyUDT0RJSB3zJa3wCakdHF937d2i08ksuRbiSz2lVAQb9hYK5H8I1t5g3OksrbdrS uBSwdv7JcpmfK1ezumI0ECYqXYxarO/FF3Y9o3wGtlD/f6nJUiZ3tpY1CBqdSpsXakvjYcChEjNn 4OIfixuu6TP64jQzoY1dh6rx30c8NNCEpHvp2/vlwWtI434f9ziiYh+tuGhO7vHVrCY5iIOLamH4 x8aBDlQmdperCXPJCqg//n7JiPkzv/4Q1/MZEByg+w8YLQixmKfH5Dom32y2jka6Bs6RIP+v/bbP SVPxVNMgXHdlCl90X5WXkZtOsoIkH1oBFArRiClocIMB7RWXi56HM2OPO60pNFJld5lRkGk0v0gi xMslZdhBe9/P3nqFt1T471EY0pDVwOlkD8effp8D5nMTFVVZQSgRh4f76xVaWYa55t7Grf/g1tC0 SgHKBopV2/QpzuJt6tW8ZNKpDukAiLBvc4oRtcOAM9n8W1tLVZXAu8W+pz7uaemCiiYln7/if1fV p3aKfEVBWfWY31q0Apk5tPA6R1BwEmT2xklOBg6WeJb6CgkRUFWccYf2GMkwh4Jui99CYd1PcaUx rT0dF0n/w8Xow5OUI+VHRXjeiuM6mThutcqaz/nuLHpAvX7GTC1lhKL1EHAefTW7Ga1gH5/LiinK ChzavAXfLPd0PM/rfIsmYGSAqkbAh++568zFfKN39QDN+P9b9cGlxu1ccUMqjplV7QchChAfTf/u /BHV7qAdHV78lykEo4OiJSMyd3X7GKH677Q3KkbjIvafvPlv0+nrtMea8hXmQrSiiKZoJuXZVtei r2dVc4MFRPfhoJRY1Yq+6ID+SOVyV0lwUVqAH8r2kTX8caOJ/6/xjmhORlox7sl2euugkO0oce/q Y/pe2rndfK6qQsDnWr3+W4X+xWBEmsN7x5hMblqjquPwqMS/RwLf/5phrvUhH2eR3K1SWP5U4s1+ xpT6KVoPGwzXvdn6X556XObdRDOLQXdHTITJotc/N7Ir6iZs29TIOcnigC4YPDaPn3ip0n1VOVgH D55fNu8UrSCZif19g4oERgpH5FD3u69UHlwmpGBTHwggxDzEAe9IY0uT9QkDNEGDGlVxbZ7w1FBx GiH84LM9OwmIqgXiovfU87W8jpBvORqfcLUrzcny8GVA9KHeI5BULSR0j8QYCEFtbI9DZ4hhe65a kzKKqBhx3nl5SFSrPuO9PYNfz9voiqJnCFuDMyZMcOWUibcMxv8+cHTJKJZzH+6KSyhJERm2bwpy xUYT33FW7WSVxafA3jhRRfJHvv+//aZqqBxODg8fSK6BGXlI8YvsPAN7l3MXdpsxMSgq/0XukIYp J2ThGvOsHKF4+u48/vmPzLrEkKGp5FpaqNE2AgoRNss1AhdovZ7sjjjWtp65LwkY10K+q0S3A8gH Qwp7ieYan7K002vp3USV1DgV5/9IKUT3nLJHXbiQGQ+j207F2hd56KQje+sI0nh05hPDwcYAvU+Y HIQLyNJI2soLCmuUErQCByPQ64svSlcyJ6NhWTZhbK0sIH/WzJ5Sn5JP8boV0zdS7OjNSTAR7guX 2TwwplqMVlveQCN8we/tYYx9lxt2FGLGiozWGNR+DHsTYyCyrLgN5QJ0vvhSbK69kAoCQRFEmSQI mO2CQ9FWBBQHIA2yWmF3Qldfkegp9OaafE0DJaDgavhAOYs3DdTGvEmWeaSufIpls56hzQGCfVm+ 2DmLIkTgk3kkNnCpiG0sb4Itg3G7cYVoPz6s3Qd1zb7cab26aexEapf+IizzxLeaT7SDj96swL2T ZDca/Q7ZoIz15Omor99HueeDWgpvyUABn+ODgsMKzBoZE7qR9IGkJL6MMSQmtu6UWK8IspCPgnjO 4b/aOn8/0dW13Mgs7O+5VfToCWemItiKzHw7GhbFY0DnY8Y0DBhe6K5RmcCuPJwLx/dhCCCtw0fv znhqB0t5b5FM9zckRD8Cd/uoMyi1pV45wN4B1tIH/FNJF+0sZ1EhoQwlSA29uoHvxrviPMmMzArc vZSFXJtc6ebLHbdvLHBUCqAWbBgQb3uehbHaTUu2fefHFmM95w06xFvj2TqXWtoptGOAuQUjwd6N 6H1rGb7Q/kip5cS/eh+YLC9U9cEErTh6DnAd+g5ZOY51BGrPXqs4EggsFdHaeCPp7CXRPfQ6v+7s cCOnBgj62ImTBOCEemRlsfzx3WHmCSeH1RHRfgNPAosT6aAPuqyxznAA2CfRZaCvy9DsXU21g9j0 aKZAWVVSAQnKwguNdrUoa/SS5ID2ZHJ9cH0PEYvLdQx6WPMNYBbz2Hq1+XsT6SI1NBEX3xKY5OPJ luGV/ibGiGnTpSKs6V4abT3ylPx+jZfKZutQNJ429acy+l7knt7Ka+LpKxOzJqkgW+iWDfUowaz8 Q95b04VHokR23QSOBLE7II0omFpJeBT8f3TdTUkgdtw9Ac+thciy4bXsUw/NNS1eXo+gp1AgWJqG YFmtSV+ds30azH+dyfl/qB7Kyh3igAVe+XtqAhORojnMmo6sl5HnFgRshRKhQ1XoCcHspR8jUe59 iANycI/OjY5Q/53agwQMkADoE8R29iVbW6YKJruCLL2OaF03NhYPKEGhArPyu0PWrbmL7NEUICQ1 RkvY5aq3zpaoPqgVDDtVE/2sXKzmxQ0w+S013e6e1gmd8Tb6Z/NU8ph3QeQS8ACpVvhC62VLGMs7 VMIUN2sxY6RMNZOCompDVUY17BDKa/MytF1ZPL9ES8CGRZjB43s1VXTJCH1F/OXp7WLvhc558mAN LjuPgNoWmQudg0Yo1HWLFxuGpimqDgll9ac/+7fHg8ayHRfnTPlNz+7mLWIdtxSmZdJDJhyB96hs 05LmBpeGTu0fLmqz5/w9lyyIx+Xe8PQvXfZTQObpF/37D8jNF0LN6G7wTJVLJ/maflRUG1gbENar /gcEimiB9ZKdbSvQXDCQEkPJGuJW27CIq5q6V2QizDcXIYkGJvLtkFZuhNb0JtsgH657X2a2oj8X 0166w2HdbNUf1v0RVjBCXk3/tVlfCShNDzLVNb/sI3JDxxAHptBgNdxo9T3erpyNBRDbNYHXhc7s Qb6T6F3lhOxzTvjb4Poo1rDU+y1hC7ShXgkITPQwlfXaCXa+But5UyqtMvtJxnv/38Ni1V7mkcfS LPf2Ax4XNS0zpGfQWvSa9+W3USrC7ttlLP35XphYgrpno8bujwEFG3h0OuTtyRV9CbIMtKDyplAQ n65O70yK1Bdmalx8fiwn/ssbPN70dKVwQhsiQZ9SMSdiVNNTCXhrTC1fbQ77LshOVXS/ebXHzQQa szY1en4mM8H/MmpgSsYcWcwg3PeF/kOu0WVNAxKHJpg07Orjn9eHxJKVXedDEpPJMXP9ZA3NmElf eAcztD+i5DEzfBntLliiTQaGVPZlDsP29fA8pTQxQG6xIf9sDmCdpMX09RrgSIyT9qwzyu67EVPk wdxIjcBBgy2PfRdL8wm87VoX3pN+QWA4tfF5SC+MX1p2/IUcFcFN2tFKOaaUpV/mh6+DgW7jfDXJ 26N/En021RBfmrzJPZZ+KVPU6WtbE+4EMgObVAC2NQpnakmUzb2z5Dd3z9P0ExwSVdZAqfAPSycY tDog39iUARt088m98MCYdNujCjh+f6SncDGIc9VpEb/Lksvj8GaVk1Z9jxkDsMfixpxCkgix1Ivi mj8oDMb/7I2BMMB6ATR4dOiYhEb3uBIW/WfWmlUFX3SzIB6xq/Jf8bzMZzUwdkuK0yDBF0FloQet 2i5qPFn+BRD7Tha8FT7pEgCNriRw17P6kmDP3/QAo4vZOdnbB45eYHOKu9jbCGEOMej+UCuhQkoD Y1o1NKpSk8kVFcw6mBJy8GcIj23lXR6y38a8Cs0Ey9DngBcUkhoawzDt3+9kyMjdNgATy5Z73Ck/ HrmG/G9x7ugvuYpSCIfbEXiJsg9OjtO+fgY3Fp0VKWtajwfeux+2yF5YKKXhzvhoKnS7nfbY7nR+ gTSL/EsX5wm+NtfDX50hiPDKxb487gCBoarwNF+a+IQ+IixvfSCPZv6YvoytRjZveGpqqANQaTfU oGAdQYZ99ksPOYxjGZjT0s+HneUDMBM/X6lORTXiLDhj0VNxlEycL1q4TE/bFV/xWvABX/2VHcCV ynIdQ90dmVmFK5aA/hglsYmczmvu9Hyejx2PSW5VBNdqtnFOihaxDInRad4EhD+b9o347pG7ULT2 X4mPvaAVkjz3K2KwKIVBN3Drz+6T5T5fQnJinx9UNTjF8hZNWIBxL6IF5hcTjP9gGwNkRX9ubuh/ Q7uIoCGJw7WdS93yvNvbDX8MgkTBe/lGr0+9ZAfuZ+IJnkvKFHsRwp/S+ZbW/xhpXmRWNOWzN20h N5zXZO2uqLSj5bv0PqBx0k1QAcfOFYcPj13D5OfuS6sWB+HcEg4AcDRDuevYhF79lZomdc5WJ2IX JBLNyIqiQbfdwfTMPSbbseAG0vGfmg9AKcHEqfzM7QZO9vwNkzFFyuQ5Wufw5GNWHNNFdfSP7+CL AT+GjytSTWIoViTB8Gj5CI+/xL1/HLX0YoEE/XiMALqHhNKOhcMV8lfcX86yDuquaoz0zewW/nKw /bhGLfg+Myaj/jUEzBkLSbwgCS1fHfqz0Vt0hXyUzU8i0rpa5cBC3T3IwuTWgaT0d/WEE7kF4HJ8 l/GUHMwIkd3dWqaYj3bbj76JpKOeeqWbPoJsEN8m1I/cPJEdEGOdhOKuESj/poFJ5ajMg7LixowM 9gEbytqRrDQtNO0JGAJBtPegHYWi2ZPggb2nW4xFNToBVf5mLOEpiI96Yt7jQtYtpdAqO1oif4ql pZJj6vxQSayAPTMUupYexYhAByR0PW5MmxVaGjCVDIlc+/JLPXJ6JE+gjaFLkZ6KNdkJMRRL5NV9 +R9BGlETcJjo474jl4h+tdlkJswp7vaaPQApU6NX3JN6aX0fbnGwwBd86OlDQJ1dxVjX3uei0EXc tc2xJTyR61VfY8L3gpdU6GEFPQPGPA8TlNxX0ED+93kFMTPHxWCX2sJMgPfoqS54lceU4mrSwgVx pZFr8UZwuOLk1ynQhTpZphBwNyzhc+FJfQrdkHKAdpTNfq21U34yohy7XfaOY/AFoqDA0Oi02T+R jrNIw3E4VHTDUqsBcJ58OANR0L1qOeofSq8/+q4TfejlbqnKq7sxlqZX6Ie7d02jHlvPi51v4rkY sHxkDPw/bd4ghpkuvs2HbSZCKqb5HPxPfvH+d17SO68h13tfhnXgBPPMcuY0TZTB1NHLG/+7iuMw nOwzID4SwDVIHgsmmmn9mcRNPpL3ULdgjD6K1y+RyZgtIK92zZuFRCERpXKmszh/x+9q+M8y59WN YXQQNHJ5ieTYPjMesBPS4inR1TRIsOaMdgLuZjZeIbI/6nA5oaPMIhoS07VW2RX6tYKH7WJG+m8G T1r+PPhpyF8RRY0AQBIxi9TeJXizOBXgpMz12+FShfA/3xLCOM1Tpju7emeDjnwjHcSGcRqAAW/c NEPUKkcU3tykpOUBbxnjs0macAHEc6AM7407rTHTGHR+4xmBk2ZzXwsOzIcvId+JT/Lg9pMM6/Dj 1HuW2s0kmbon4ieSFWekuoH0ItGUDoMgjDIYpG57yTafwLGD71WG0vIWfXKo5sg1lD4DKN1Q1quK lA5iEhEi7+wjU8XUO5VYik2AH6/vkgHGPfHk0JCFP+eePJbd6ihHcYLo2fxpeMxp2VL0aupCc1VC fjxZvL9rt4woXZbC0YFQ4PoYFEQMw14G2d4XxOKuY1hnLcIZ49hvRm6JQE1MAHpMswrVwkGqWDHs xLA7TNjRoxMKrmateHySClwkaqlj5Mh1TrwKu+DOQJ7H0pML+gBMdM28Ysj+Gv0a/MVUk8e9i/o9 yrlCsVrCLBs1kfVCw5wB/32I2KSi8nQzXGHUD6K0jkUfwiAXqfJuEigjYfz7MMfV9GQkqy0e9OGX D6CXNnUTATzKbCXfbXoMiIWul2GYAtu3gwFn4jynh4uj9Uzw/lJyROYB+Hia5ZZIXkHGvV97NRwM +qgJuiFW/lIzHIRb1O2Ghit5CmybInizaeMlNVEhxHIF22YNai0mB79EYQkjM3q7OAXRUMVRKvFx lxbgpFcFWWodo4VztXJAywolj1vFsprpcxsZ3EnlrIV1rmCjLgkxl+P+pxfYA2QarZQ2GWbk1Ctb U+KRDHMrDexy62fnqxVgAYuEIY1yaQDedllPp3T+idajf0wardCKgl3BjeT6X2GEYL/vO2pzncFN s0Kg5WpmlreXI9DnsDd5A0NKxlHVgHAQiNYp2LY1L4Eme0HlxuSZjutd+I/YDbnmbP3sm6/JSX+l Psd37KIUmdq5bQz1xjbcjFxsBC6YI+JHdW9vmTY+aM/OpRYDBFvL9j5v8gJA9xMPU6TF1el2bFRn Xqh10D2BVruT3j5fEweWXsQHSpvg4LHsD8yJNvo+1w+dkWxQ4nXCa+9AkoGltz0jr5AX4+2IeZYk k81Tg5MomTapj63CqDcRpdtrgn+ftqrTXkV1SSX9Ato2caMmhJsJAJZYAdGfD83/wXu9oZpD5TS9 J5Q9JRpSpcFBbsvFXxPEgOHMEWicuUZe0bK5fJm+ywpdN1KdInprqEKiZY/0d2r/HxHOLnMCxiB9 G/M4x5MR47RkCevJPGd8L3h04nUZFPHEIquzntGPUWhLYqvjvsHCpnS/O4nNiA5mxEQKyi1QW07R 0Z8dIvRX1NYzaclGzOVkE6QRn1tCxDDdsn7EFPrWj7ZEQqqAwySZ8x6I1fG411rh9paFQqDtLvKC g1VHnNVN7i6CYBNQ51GPSX4yyeu8AMgz/1KRjRnx1qRFzJqfEw6ZjEeYJ4xuI17Rt3IhTRPDtvgJ UaUJONgd8BGXyY3upfMlZ9lUJHhSlCKPxUJiz0H5RVV7396Iug+WxOcllEaw5jlIIwWrdWP0t8H2 mFeepq2iSDagvHHnLMNqRr42/+U5aJj0bJ/d7uRLDw3QLpTHlQhu/FgCS0PCJD9aoc3v1mfHiZ8l +GaHAGwGVqy4v/996SpcinFYj5i9m7fYDTxUaThSDgyFjACpNNSt3cB/C17k4EZrhdP2LQFxHA15 F/ClzrryHIXGY2a/2bwyjRANZypXtIWzRaq6XyEKZ4QgNetZdpXUZ5/pzpbfVX8754LaxMf59jEr WjgA/ZuiLyi40Gbn7vdmiGkJMdjYdXaDDu7+zQ8ram2M+YLJCn1/qR3we9W+f3IkQxLcy+Kc7qB4 7xks9VI2FTwhixGWZMtzTMSipb/ufFZ8BBMXf5ATr9wrQLpQeCMhN9C0KLvdqaxnRzUszA36sJ3y gZDubDw91LIgBIcxE9H0RDYbJjonuCWgzU8kr/sGguHEoogvk1mhwKG5hEYH56bX4VpY/1DGrbNk JbQhv0ssw6MUs/AAZKR8skLtgP0+sv3i7cyhtvdO271dsUwh1pEgvh8/y0qgBXiyn1q+nll0wQKR aAkZCNVcEUiTwZy+Bx/cl4d+H3cJlkwwecAqBsxKsglsNrEJeVl9GvVskhv40J5mx3EhrP+HIZaM WQ8I8u4j1SauVDNTC0wp3E+WVhWvZcTitOuXU7kP/b2KSi6aWEz3/G9kI6Z40cnGfrhIgTSQD2hr 6yTCIsdQRgoFcK6LqpNcTuZJykMwwHROx9bm9kh+sEqFKHO3FR9/QqJcAwMjqZMS4P+t4x7Lg7d4 GRQWOZ4eZqXDX9wVS9WrgMiw+Eal0adycO14JcA42jwR9CQBmfCc6s8uSwLh5XxH3UXIFxJy9+GD GeTinu5gL8QvYVzQ96JarZ91jhYl3xIdkSknShkV8bN/gcvxWHUmpWZoV9m1/vezV3BsAIvr6v35 18zGANXALz4qG3XS8/H3FI0RTiOVpIb6qxaZZEeJ66e6heAjwimlzZhtTkDr1FP6lZCkVvfNdJY/ LoK+YA3EJvZxKXQCoUiVpt05o0DvTz20IcLXC1k0h3VJRINq511Y9hiJLl6hdDtcQ12PxW5XKTSM ILoZgDzfRc4FO976yhlZ+qgtSLgW3Dggtgkfqgj6oRD/VvKHgXk86TZckirkC/5ZePtExrJ8S+ul D3t7PSAGTlnACK+Gf/HTXQ5ENwv7RJnS9ljESvuvendoC9ee6lZTzJBkGvRW2NJjrLuGscy5hupj UnaDAfd+FtCRLsSoLYun5rwLsrNlEaSM9Ol0gclky+WEIeqyOo4AlBpYUQ+7mWEzmGCM/ya7sEEg mkNAhD4dP1+xrl7HAX4Tp3xGiWRSyrl5Je0j2vrCiAvn6uei7WR9rMp5+DkCCMmNr9qq3lUAu7Du UaXAAwRQKOC/COGLfUVJEkjMj5eVlMygx3JLaA4khiDnZE05d8QATFWTBTyKO4WuLG+JEeO7mhZu wKJyk7SpDXcwvHLRSmrkjYFZi4yEWZNsdaSSadnZrOiDTo2huraYNWaZCuMeyegDyoabhAI+tBsr MK8VrtPYAsMobzwaNn2w88oSLUZwTggJhmLwAndxQdH7r+PoVHAiTsypVmcI1we39J4CwQOtgHZk 615nTjGUk3wppEYKDd1GalAL1Mo/SKRT71t6WO+Ji4La9cL+84ehzgnsW5BU6liPeQXtsXvwCZ3E IEQH7KBPdJ9r67PIsakpMM29YeHEElyc6exvmniP34vqWr5CQ3T4HOOKdOi1deRxC/UDKDeqWJPf hqGRuZII4LMJH1RTVvOWnGMiH8002pxqUAkLR1GU6T1syvBRz5bECdH/JWF7FD2YQ1c7LRY5IQf5 OtDfZsU4VEPCkwkpxpzyJa3ewsGA5P3n7boC7aZwBrcOyL00g2MXOM7cOZUrbpRDZNHqpRWWzbK7 8KX7EndRRXgOuRhPBXnOFsrofqwjuF+o8OPuPTS9PTkkpuEevC12liipyNgT7cbLdDBzCRpe2RX+ 6DBhNFmmjhwZqWUUGWh13xItvX+UGVeqHwJcUIRCsPSy1Anqc+wYj7/uTgI9QEvHRcmRODl2jXJN Cdn4UvG60hPNq2fyLV7XMkBWcjyOfSLfB4XjQXPdNuJui+5QnaGd2pqRAZ1GKuvpxAQEhIe+0kFS BH7AZlsdVJjMyFbiYmNST4qdYKeH7xU9Rf7wV1gaZb691L1beDHlhbY6A7YpK7YNPVCATohl0gM3 0ekYkvd0OIxXZzJsDPCIQlrz/1lBmbWY2wfuuofI57AUMDg6xV5W5EMSQlciPKrze/gF5ekQxsPx 8IjLQbf1WliPtDNiVQ5GJuHa8s0ALs81+6SuF/2/xzQv299n7hL7hG81Mc4uNueZZLQSrU361tbY UuupOtFoCLL8TAZ+bYRQYfGFu4c8JumhezGsVHQmytheKFdOg4jTP+lSUw9HqWvXne4nZGHyydQ2 Od0/CitjkCEZ18EAEqVwVTwVuLPd7X6bePu9XUjG1y8prvgaI3Kp2uTiItKjh24KvLvbYCvq0shj XPfzMDhTBdx72ilZLBOonLdjch8y+ZdZQQsdssAnc7XkAXDh1gFAW82M4DUkNja1rU9LvdTEuMUD LNPwA8u5Z+qHfTVxZBAD0I8oGRTneaucwhw+8kzu2g7qghe9mfMbdY0Q01m4x+IkZUtOmG7V9Y55 xanTmVB5olFena/urO1l59IXxNQl9CziaFR1vpZ6k8Avcmt6k8uMG2ylpm76CY2aFWxezbHHmMCT qaD4zNQIIs8ZC0Vmo2R7I/P5yK2Rq08sXu6QKb9vajFrXnyWtmFp10H2Q0E3zdaMyXjw3Mne+SsI FauWcxTEPOesJ8CqKQyqdOryTd7v/hBlnuJKosYfsKdF10qK/yN1aQn/1hSXMV6RR+CtrA0h/k6G lLDfyEc28dR5qqb8jctCH0baN1p6lwhlek4VvLfZtKGBd6dWckkHVSCiXPjSvzDdlS8Puy70XAGG h9qH+LSzTOTdupmNRMAELe91GB4H8IphmisL4jGik5llxQI5EvwmxPulahtSWgw1TIfCnF9KBhVu HxjSScciGkWIApCocJyoqQ+jrPdMR1wmGm1MF3ICAAV2U45/PiZSoxipLP5WlnOvah5QW8mKVOH2 Opg3TGQI1gn8bRnIhmt/Zn+qIHCwTn+56SZeVQiJqWFY2taFfggsfytwFJWqI7YbE9I0LLP00Ivj UpMVhBd4JLWwzRRk7+VjyvDbnzF9Uz58V3dTAirOeZTDtbct+5Hu31HZygtw0WZLIO3tOae/JXjz vz6izQc2Sqp/5eaHAS4XgYQGTUr+ak+TP02xB6v8fhrPZzyM3KuXIZ+VzYDbqo1gnPy/1+YxxRz7 T6iufzf0AzPfogc9/uPIxkq8dzbNebQ6s0kvV+k1WX5KLCEqxbxKRXirhPUGDmoitZHVPDQpqNbG mC0FrDpH/kqIj0Y4BkY/9UHrC/CItx2+WUL44RTf8ranxHb151DadzDcttjNRQ5yLxc7oLDSJfGq 8SOglWc9n5ljdFgjC4sQvKcOywsbZE9KySJgTzFSQBUwDuXAA27yzjIFJtpDCI64clARMbskquP2 Oz5M1evoSEw25nPlSrD99LV1qo6yl6yQpG0XKNFVsQ67Y8uxZFXneQ10KboKONP05sywI5hC3Ges RN14sDO8xe/H4hij3d1tOXbCIsNmt1fQGSsV/gdcPx5D1HdVzNxl7DhCzkMBq9TX8LSxF3xYZCVt anHZe1P/bqZaNmuw803lrKhnh7AyEaqU4DEe0AOrVZ5R1NnXDvdpaSCy2qAvruongXEroKfPlPqF DF/QPINvI8n4oljmg2b3OEWKexGty4zDk9c99GVsgfAHSQroq4MCeUwO/N2MFHem8Xm7uEAqDha/ f2C/MFM6GfcgkI/zpIjzSBom1ZUp8P40lUuKjoQqdHl8QBmKOPOFFJOq9fdLEHGP2U/vxjQCI370 DOeh1LRMg3yTFjfY7QjwcV0kMxWPni2BFQz3XnHLtW8lP5NGQ1WK21C7Inwf2ki7Vyn5LPwDwvfv d9ZLsPVW/2947ErMZuHP9RSHHgiyIsr8XTJTRoRBsBR5fHamEAQiiL3G31QF0SXtC9WHH9dqR/Yq ik/Spku1e3UQZTaFtO26OqxmgXuv+kILKRic+0foxPfQQMYGMlDV4RZjE9wxsx90apWzybRvrtDo e7piug16JW6dx0jJwa5hUL0JCB4khV5XZQv+5dE5B67MtuOdpYbQYVnDAxi/ogIG0rr7UIk2BQZn LnvaKihQYA6myDwebXElQWU2hceY+ZY0geDYdS27w+Myhyh02WfKlTUBZ6VAbseq94/PYvnxxapY Wzk7h7YOpbHtZQdJxvDckqknyIzTo1/fAaKloz+rseyoovWnogHVfVD6HCYTUCft6pqCiy8fWGId FotUkmXnH/U4fF6uNk0IhHqXVPvqEq7Oyb/MOk1Nk0M7oHKBtKjaY1Gdi3gdMElm0PRMOknFnDVW AFv4OThEvXMd9iRlz9JI/b/nyxILctArghkl8oL2jSMCV696EnPbFanxAPR+dk7y+hz3UlRS8NRz 05wC2O7hqegWo21vtV3Kq7BCohKD4+tiUKKJtGEKeTxBdZNMJB0uJd5vriBq56Qtu4T0HzLQmi6Q 1w9XaraBkksShl0RVeYoo0d732a5TxArdn08LtitHwL8RE5AYF3LZ4UoenTnu/91X2y2zhhGyq3o rk3y72it1OYZ4otmi/r0MEnGdIxb7YDdHgY1CFSxH9XuMwAOjt2DkmcdMfbWhGmonY2KiYKTeVAY oRDrve+pwZX+PFSP9+aHlwJiTUm23RXriBkM5FaIx3LVTxoCw3Rb1VFNDGityMbMUou4nag7SYGh j2UE3cL121IO0u9QEOFp/bqh8RkBgwccdD/26AG/wF558LmNeQfbIRgqVDjrwklokvKyzfTUgF3r 4Nurdv0cOTDd+A/V/7ojAQfLMoe8xoHnKV6LmswzyAATat85Yo6A3hHZr0wfZU23d/6ejhh7Ic26 Qjn61N6l1HFPtmZlpreHyabteexx0zFQZwv4UuRgegMd1jFTi5wwzqZWrrYMLG++bKdeaoQzTja2 eISNy+FMAqDjzanCNJb/VMWArB47Nspn1WPC4abOKiS2cq2r0MfkZlCvc2suX2E3eWq7BMs7Ytp7 WoLKlVqTAukR0ZUsh4mGrN0iahHvrxaebOMax70TX3XBsHE/avQzxzHjdFkurgCEC9kAmyhmQDW2 cAgxnAhHyAUvgplkK77PAntqEbXTBG7PNbN3I+RoYVBN+efIBiu3hh1KBbDcc6bscJulkGPCbQV2 4otV7O3TfczTknUOTzbynOEo9vlhIn4qB2lhcMk0P1jtGWhuTkTesvrNa6M6m68O83/YIJYCj4GT ECBpHmuyGQk3vnmYCcBq1bYZLKZwKTZm0sbMELCQiO2eXGAQQT8Vpz8V0U6LRiJL3mRMfA2vFv0S L+u4IoENAGDrUy2YPBYRYAedqsO1Lg/W9TXad8/qJLoMa0Dye3twiZrT4u6tqJtbxbUdP9qi5kWS 4bcVdAqWSaONw43IcgWeY+PAhAuDnV3C2VSsijhFBvzHX5PNyq2FO156K6ZxLOw4w35dIn6y+jyR kpvir+HQ1tcQnFqtJGchJldl/wk4YZVtLJYCEqEZYoXFzMaWRsfcuaObNPP7r5AJROEXPZpb7UNI cwfGujwkLnKS7cRa4NvbZwsGArn5KzR61z+IIAW00PaibIHXkVTkP+gS4R9L5S4JZcKVvj+n7YJm gABRo7dh9b2EqOwpj3YWyBUi3PEHth2jftJ9vfkIYUBjxFhpG3YKelFk4J9gfA62X3jzH/+yZRsy wcrqfieqyFEniT/3otzrdpMEildTuYW9w4uPY2h0bTFhCCG/Uy4/TjfT4IWKnNUvmazYst/x4Bds LsZQKISsceYplZtgs95Z/MHd0IjNVwpwlsyvMbms1UEGM46P6Ipnix5qzMNPcFR8Mwwu6adJ1cOC dY5gZcxya2CmfIay/l8ddlE4ISGV9T7JVWyVoiHX1qN0dnCW0Ti6cSG3dtgmmVakZmMXsAce4xMV /e/TiTeJXwYAQjJbD/QxF3zg/a0+f8sb8a+KPC/asmRESO6kL7x1omOTf4CoUPS4mLD2coIopmOs o3EblBYjfBrMv19AWyBa2tE2AfE/VMuUcrdg/LNARobVcDkyMndTNTECPR4QdyJ/Tm9Mrh8w/XfJ aB7v6yI1fLAIH+H+480+h22P+nO5XFCFsjN9Kz/TEah0qfNOhfM7i+peT3Va6wAj8lWsglYG4Hu9 8F7KsuL32+f+NoV5WvvNmn6ne9Y0NSfbxKICykdQqYvQ+tybwYG8WV7D7jpIGDA5/CObH/c8qr00 1l2ldWnGRPxPwB0OVeayJZ08/yP1TyVErVObK95L9ONQ2iiSnJ4KN6BfaZGE8TIxUzBH8yqivChg b1pbSdv/fg9Ts2LF0Oru0ktYFFQm3Xk7v0IGLVFSEnC1zCm2GxZRlrkDN0DSCYVx1IBWgQIlGT9A tVcQRZ16gNAax7KVeRabGDjUWH4u5KhDzS05eukSRjKWOG+DAgoZxcASuU7Y4aFbM6DeBnkgilRq QX+pEtQ19PRlske3zE3/ZsG/AAz7vBot6905UJUVbUP8oyoRN+kJlilsG+fG7FYwvGndFrynoG56 qGTC1nDU94+HEORYplxMN7Fq8x39OnsEpMbujOkduWYwx7IYyE/W0LulWxx5ZKWFmADZLIZBFZgK CDdyXdh/aeURxarzVyPdZP7rQtQ3hE/busGrQDibz8Nd91Om6F7kC3ZWZSlfkyQv0OLhMDDBSLuA LNYL3iAplo3NsfWEoq93FjmjpWUhKgwqkhMqiQJBv3Qk4c6og4PYv9qsl7EjM4zKdXXu2pZSt8AI OP6EN7qA2Sk+fvvZyDRnGUdB7q7bsTxRnQ0YuU3aIZRk0yEe2GsgnL6kkM7znJgBV9+xjlPRBg8R RYNO4nYRwV4CNG/T13pw3aCn/y3deK6rAH8HN5uqH2sl9z34qV0xz/9CfDE7semnzHmd2431OaVA kGiHI1NVxPwQU6xj1favccRvPseI1/wYUTQseIHL7zKvQhHzZ15KECay8AQsmYu0MsJ30v58auP4 b+mCkUWlYvRABhgwVvzGqiqWcSvj9/owtH5knGks45VFYSxyf4xkicCvlzJuCVuxni8kJ6L0cLMG vS5cDvKk66VXrowEovcp3JZiSN5RADIcrcyaGTAJ7LLcX1nKd5mzxEjC/VqIk2sJvBeYW66YVAJ8 cbTpnWr3K6mk8ar3zJ0EduquNfWUEhAeHGtd3Eu3KwiE3L04d6tP0yc5iU75eEciaTbxSsOspMzv JLyIcPuS1m4J67WU75YYLE7z/nvwMTsvDr8m9GvrWdmc+sI/HRx6YbxixxPKro1Oxp6HroRhDywo wGQQo3QYdrRV+f4UIy1ROOPRx7cADhLfyCmsC40kCgSpuJsDn+Ox7tmW1Q2cmyF0Vk2dhbwOT2H1 QkSvxfXHofG7ScZz9H49Euu2bL+GyoNzAbNBCAUPe08PX4sLiDrYHbEoRp/khwUczm6LX47Mx+ir XEtr/r4G5/EdN/ihP6LvK1pSKUbzA2Wm61T2+MkjC0fIgmf/wvpUbSpD35fDtCkZE36QK2bnXyqg WNL/vT1dL0goexMFtbgILw/2afU06Vt2qzCiupkdOJqiEbirWweWH4fCBau0ykvvPu7IHc+NyKsV s1WyDMeI7+K58PuPaqoXi3kC0H21F90Hmen9WUpyCDC5Gp39ILAENU7I9dN8lIb40ltBuXQxFBU0 iZaC7o9i6DlJHZ3DIc1Y0w4COn7gCgOc9/z3Vs7u+hdFixJtPPlTAMItr+QV6gWmSQM5qIUkKSVS 1BFN5ayyJIyOBTpJe6AmwGHXYvXnh/KCBtBsxkhxWebwUhrRuuA1L7VgIV8asLijv/AEZu8lsG0P TJ0D6bM/Jl2ky6f/J2pKbWuxd9G6UrVu/zKlVQRHMvrezETbfx/iLLBHX4HZU2PC3X5x+2Iqp+pg NSjoF1ptHThq+3Ix41sdrphTqZorxg0LgToJbSo3+caovWYOG0CCW3WsR4PnKe0Yp2nDFyRjIaey Td1SKovHbGJ2Du4cShVxEb0AVo3lU0jMRXG/PivrGkLVqJsAPKW8OHDtsAwQZLHXDXswpUfO3sCT VyMDAejbKXhwAsfufYH4oF3hSAG+ZaAG2seCWA8g5KRIkEw28DoNMCebF+oAGqHBOkKClvslGQN/ wILprVSsfgM03J+zsVvQF8FQSsTipAcafOyQLkFdQR/nMIwuJ0gwg73NPda6NXtGu0P8xF5pU7ax QFwKx2CoEQnlOlovClr9UcHNKMdfaeVRrVre+ZwrcDXULsNa3CD1Fim2Xlq0LfUrTi8DKnPWMpBV x8fzoqiTlWoeYs/V+v2msrZ77PTFxRZImEf+orOJM5F316SBCa+U+5fWjkCJW4XUQnzHtS1YLWzo qP2j7qS4xdepkBohoQP3Azy5ZBY7kq9BZyZrGGyp3MWlewiccr5Oc7c3nQpstydsMR7rpghtD/t4 uMZV1UqRMVXCIuUE9scxt+Jb1jdgS0H6PdYsrdeunaeO8ulIKwXxbQPi/DzfhiPg3HCqEIl2lI/6 7DSv14azQqCuSmHM4Ro0spq6nCgajjn39mNb1D+X9hN8E7V/47fynpe6jffrY+EZ3RDpOE0lBDRR 1IGT40zlg8B8fpgyL1a6EuXTwiVNePXX1A1G/N8XRlP6xPO2pQFh+oafQAC14ZLq0a8w1R1I1/3R LpZaqwCppeVzMxVSbG+AsEEYl0H7kvervVYSgAiaihykkgAg6yXbf+81SbldLz+Tfl/6KBzo1JQk ZF8OdxuvOH72hvX4L53WxAHAL7m69uQtTWeB5aH2OHy7rFy21f5rYLBpVegsIrc9qBab6iFnh9pW LuJgtsN0qo+BgVnYDN7+ed6DHFjZJ2ODeg4GmrCv9XSF5btswBW0MzNY+Kf579GG1PNn5MfB5Glz /AsNzV1OvLM6f0kw5MkSsOhkfI6Vaf4CF4XC70lC84IfHt1VoV0dJlcQHwjD+CWGdOqt6Wj+q5D9 LkiJUyllkPVdWmndy9ZShuGqLIAwcH4trG7wogjY6kZDXlh0jpjquHqXxDLF9ZL4iaab3CeICFr1 D+Ro4fajuExN1bxjmHyBL1nSa3xmIls/mQc3wKdCELRRPYqQ/z8AnfROUR8BSd9e6FOgfUpBftjU 0V18TmeGN0IDypKUBlao67MEdANcY7evqVhutrFFRKjSpdQ+JEDv9QMiOSBaQ98KCQdWJ50lZc2w zvhg8ea4INyE/fV2ITYTxE+DPgAFty96CBYmzXIuSE0TioyPniwQk2mFkrCVIdv9UuwyeuBEOtbO PXGsuf3r6xpgho8Kht7z9gacffrm+J0FOscnAjCuVNwwd7PpAv3d6jVusuZ+42/JZrvPiEJoSh15 U0nUGQBC96AbCc6ql3UcFOigeduwyPkHuhxVrIhTCgvAYuKHqSs52hyPVBgO8PFU1ALru6auN/Pd HAVqqWSR1SZq6HlV4AdR3afNsbYB5KeC1annMWMaRspeRdpjotusU/Ckjc4FHowDPZPis0zr8gv/ 8gfauIRTQMC5Wn5fa61c/vIR5r65WzGAyylz9vJBkABK97qdjUK2x2xS0oBfhCEseiBy+ZBGXIyn MLT5f0TDZ9cZ9J8ScOhvynQttZsk0PUt/YHA8KtHoKnWTjigl4D78re00O2xmVnZedgsYTeRdf06 EfHDXIVh9iBvbEAWyMg57g3QecZBccVq8VhKYWAP/Yl6yaCGmnDKU3LfWlKGFU/uLQ84E1u/De80 tb2QKu35HhG3krVbImVg0sWLXJGYjqTMh0GdnZK2Vil/qGegTrJeptN5LR4vz8J1prPbsxW4Jwbe VZWgzJnBkFTUM0HHa08lUz1G+gMYCP0guj9TVr/2G/tElCZiHFE4b8FttlbT2gdLtsiWj2XPwtKb NYD2HE5OZGMr86ArP44ohh8V3UulhAGZYCaUfsSJ+/zQ9+PBkpWB2OMRgXBL3ZSWzACNFFGJGf0z FlH2lKaEnG40uX8NwaheU7HPMLRUrOrN1JkVP7M676DHIJsLO2mh3bU8GhlEwJ5EMRQmn34P3JJB VJgOmh+4gkQBe678upHCeSLCDLpsOpFt02s5VoqpqsuiR6EOxigAK0HH3Ji3f81WVeAbz6Oht0Tc qHuy3rt62m3TVS3P+6hlmjJ+GMUCjLumyK5MU9w0YvFBJaSwFuFLT2pHM8gNV5DzisZCVcoDY92b cAYzLkDzeapjtBbpvfCyF7nSn4zFWBG6mE7PL+Dxparnd7MV+dl5n2QHh/GYaRLkvTEgLUsmMiU0 gPIVvkIbs69F9zfRD2dLzjPbeTZ2STO7iP4Be6ZB9hIUIxQfKXn3WUA+Sf/gWtDcd6DIEJhnwWaA g0I++Jd+zU7trm19YhsQyCcu1VhBpIQhRGPUxtxNXNi1NwrAkKpfHt7015jAK36QYnliVPyplV+r YyfJmSPEQbVRXcQuf3cnNV+lSqvVRCgoKgkJxMgnJWv9UELIvqbAWrQbnp17wMDOyzIFwrni9agK mupfiZDDrJ6QjxpqhLi4hJWSXjPLEK5mGjPNgsLlOHciR5sGLQQBPeo9nBUFaw0KreT52a4yNSas KHrXKT6uypCXCb9Wu35anWHahnghHruXY7f9qWTc7ldQIRZsLfi8ei7J+/BfPhkefqePZLSGsuD5 eh/nfKEgK6/yanSppj1dO4pEoNXv6iFiRRKA3TEtYWoUqU/sLyHCtJZJ1k07Gx7jlM7Og5HingFT QR0trV2qhcIxIR7BNMCjQpMU/6FvAwMTmPwgHJEzzVjMx45PjnpTuXLvvHnAuNpsavE6oKAI0HJD 8gWwyCa9KWQbQyRxZY1hOAOfv3BT/gvBO7T+vH/ivNHmPuQzmmx44CL4wlTjIoOznjd7RIEy4fmd CInnqci6xz482wX16eIhxiKCum7hPrNvRVO9s61wD06VM18FxkY6Nb6MAbdp3SnCe6BROzfUUf7i GRRcujkfpEBSuqfzDdtMpipsG/KC0MXo9BnjYILH0YOnHc6Zu3gHxuB/d38MAStQCjzzDjqU5SiC SFqXjEDgWLTAI6NvpHxq8IhPo+d7Rt51xPO6WrGDe1EvL9I7qiLQN4F8x60hK+qeED/RyQVM5Sxl I9RPNKE44XaVkANel1brAx9hXRjnYXdeJ5A4csPVvyV95vQJksc1epYMm1wCS817xSwb26AP8HBJ HnlPU/JaarJt12hb1/QIIR7DIxstSVHWt0iyh3W30ebkeUaqmY3Mes8JOBigsuMo2LdGJ8l6cyiF m9XoUc//BVqiRV81C92LAQ58tM6rJ7chs42gkNtQUGO2chDmzz6Kef1cOmJTixsqHhrNHO+gYFJF Qgkm0/c1PYzSOJPpKwJRn4xV5OeVr0DblvcH5ui0p0lob+wU8nPwnWGbSYaSVxzEGGLPdkmMhVFB ZAeb1T7rKdgbU2jFKSHW0BD7ztw+cnytvcGLoJt69tMDUAA3UlbXlEUJl+AIeHME8oy7ekFAclEh k434LjkzGEGJA4VwQ57muYgPkUxoESzZuwnBybRjfLbxIPgU7vVUdN3TawatOM05YLCFNZ3GohBJ 8QhH2fIf9lDIGh5XNlmhel4hgvbzrvfzgfK2fmlNoyk+vNxq8MBH7SvfZ/3ODE2PU5uzF0y/uioY hO8Qg8IHQt2ebSwXOif5eI58h8JYOC5jtEAHPjUdxK0TIcc5sXdEHylaJiO4k/7ONDu0thpTt9Jp SnFpYtJ84m/8Te7IavtyDxywP2yksOZlvqZ/rJ6F9jj9W1u7+g3cOtToczzFgwZj24b2LSAZFyJD 2SEuwVIgakmzjgDH8pKgSi2uJ+NCyzCaLtex4dfl/Fgzcyqlq9mSZH6rjmq9+dfOK7b6Meuh/Zj4 mbWmeCnxfpHiYQZ8lIm9YsZOhPo6eVnL2mrpJszxBuqvjnrt1Djs9YpDpXDoyOsD71EZk5omAVyo 3AthNkbxNJu1hNqblFC3/DWzN9sZ5jHGn/Bn+Fg7P22i3rlQo6bWqwPBtgyjYgmQI0nBca1r/xZn makOL3VBqJU1kgDda5OjNMQzUpw38402gPKtE+leJxUxtlwJBzdEIMS6eZGbDTB/MDMCEav61tUE TsiQHIBKSiIgYuMysSga0EzwqFKAvboGQpPvZ/pvOBlLMaoTEPid81flYAF3fn+43BkJdox7SCEk sZcdOeP1aSTAshyfBnFBRt24+yopznud2fQPt0w84AhvzqNoQ6OKqrk6c+zY20DYoTafiVJ8Qb4Y 77Lyz6qQM1xmV/+95qSP4+OZUG3OzXx7nxVMs2KxpP2jxdCxvCEBBG8jxxIJXHYYFNPy8shiNc3C CGD60rHhZtXImizAi0LNej6AtDflr8+8ViosR8tfFgYg1aD0lGrlpzDGB1Jn3zB0bFhZ6tAMkpwO B5JuhnQi/LDG6Go48B1Asl7qQ9lKIqCx7UVdH/a6Oj8rVBEnGQt6YcarysZtw0o8mkDtmdOSrQyd QHhTZS8GkC+t4E1hbTytgIjZqZywUQd+/0OFbCVpktTOtGYx55E4X6qF0Vgyl8IayJuhB8LMI9X7 78vPvX9GxxRk226A1R1/NwX5JFFuUI7vHZF7QLQfoktDbsszWV1JnAZIUFTFfUsmgg/x+Y1sW6lb enjOtoOY9KvtpY3YYzRxe0lyxf73iOOdm9HXGqJi87uWy0o61qp1h+DKRY1wUeeVPdJG2k3q/iuB NVf9Wbeei9GC/XstR+rN1LvXVQT3sOnLlbsjz3tovmAnil7KBBwZuG/s63ozpCxST3P4Q/2k4J0R yCNBaNpWb2/5XRyAme981gNb7Z/8LE03zMXJ5vNrT+DqCl424ak1dnlBh0qtgLrrKOhGmPMJzlTX xPd64elEgHH/ptQtEyJWkje2sAvHx4LgMX6s4EiFZUsTulE+zLxADDcrrVNnCLZG5alKUDJt1Ea2 gUEnW7YOyGtL1KttEqMx68VDK8vcpuKfQyYxbT/WpFoYH1UW7D2m+jo2XhH9iTsLSpwQ/ecUkrQO 1vUY9AIE6eS4Zvpn3kvUbSfkBP1MbzrQD8/F0W/0BCrpH74k27nM6Pi9Dv6rbCz4ZwpfiWO7gSi7 tt3e/qONzawnYdjReySsFJwPVNx/oewT/fWYoahVPcpAC5KZCxVeK2lUkBalTlHAcvUZgK32RPEM Fe1sBBufV6iiJkIJ8VUlYqlcILszx3gNu/us1ZPBCPSBTLryLdAXLFPsSNdnhfB1Cqz58TQa69dK ku5un3307s85ks3XR6iI3EVEZnSGSXjviXPnTVjth2f3CL533IKcHCvr9Dt748uPWYfZn7DeM2pG osSolqNpq72cB2p6tEm2k676T/q8SAo2zxdY5h3Y6QaZPJllrt7GeTBMqJsckPXDyzkA8eD/Gn0A VCJNTAsk7pNUKg2BRLUc6gKo1b8OMV4gygFLExcsaDR5QSMfLswplS+c8MOpHLRAQLL+hCxeTaUO Q0Gi8CVQ5HHgy9TGqiwWEj9F6rQbGRRSbY5Vq0aWL7AnkTwmvhgrY10dQUL/MNzPwbu8NwyxgNWn lFpaU+p0irYuhkSB+0XEtFjYFhUGH7kKQzt4+t1fIavu9G9JZbXMFxmmox6YCZvkYuCPnXC1Hjyl fI0vpxDKBEthaSNGWPaJPP2Lnq3NIVlYBp4Zcsvyb5FcUy1li9Itr2v36W1xoPJGNfRxnEdXHNVB rbfAXQ3azIvRgHp8gzovBGXLVnLQGo8ww/rZbkPfyhaTU5WFRJs7mTiNlt7+V+aj/oG/Npy+QH6w anuVfAWOIzQOyLHsrmzGn0Y6qpp7KaqOz90DCr+KuN21w5HDsinrImr8zHEcw2RRMBL/dKSoe2ql PwZkf6+7WhBHWvPjv9BzyOi1/KOy7A+miS/YfH2duGr4gSQCyBnyWPYPBzoTbdWnequv/+jt+OBk /pSH8DQ4kKpuQ8rrrWJL870ovJ0qCg4EEuW92IYjcgsjdwg6ebO3HR06Nbu1UKc9WU5bxQLwgJru nC8Kci8lzRqVg2kfop61crYnF8kVrsevSg/Cbw4sC5WIGMAw2SdS3rfVdkToIngoJm9C2d/N0N9a iyF7yQ3L6CXjqRjpKzgbTy37o2dPljJlxzVBJks7Mz4gM2eIq1bgbYjI8I5KnVi8FowE1SgvSAsI FBaQIedTxOC5DJ4hBoqr7sshXOl4Xz60oyDVYV4wCCoCoAUQ0tC+SElKu2e1/q9H0DGzcetyEh4G IopGKPUOz9q6c4bm65JWq723UIkdXGc/TPXAqbFxU1u11vUlWuzMJ+FEvYUzlrhpZcn5De+2QKt2 uAH35oENYo56K3nA3xPZZMbyAANRh/B2XQIR73Q7nuu3NHz+E3vZyGfm5aFyujg1nyQRdLg3kJgL wypLn/HztFbI0VYuCPC/qJkHg2GFugdHAkR/h7j0hDhnhbKyjN/aizFeFR8c/YZ8bggrpkJzmtr5 9y0m7+NxII8t4N4ylQF8SCHljeXX/FRdNsuRXVczt1lMP7OzhPObECHA5Thi903Q2kshrodAKt3c u1cwOF1k4I+lnPzYUsuGqNx6mguEGYsz+yvDEZWqRng9nBasKoQqAABZxELs2JegB/Rqsg2rYwYn ah+FTgYfPb4ggFY47x0HBASwEKWT0mT85o9/6VNdQtasyKcQ6iImDNA+nO/FQpgKSfwolL5kouKr nXJomixVoTI7vyHme/0RuTbGk7G6FDfA4aeYCRAVXfw8gkcNoBxUPcfx0fqJ+l+ewcdsA+63F5MO 6bHhPSZELwHm0v84wSxJh1hVxKovLL58YM9cQqgTIVAn/1kHAWnJ3klt+qqtbzCTOssP2ePZMiEM dxt/EoblRkn9UyQ2LXFhMWHoupUUglXjxQZwElYafm5+1E6bYe8KtLrOLOwQbY3fMRXXc6p5I6P1 iq5YsKVQ86WYlQn9K9Fp3oJzjpZmsLeCN4Cwt5MIRxUOzAn63O9sz6WDx7je+Zjj4eLqhobjDcqs GSx6/1nnAWs8yYkqj3KWFsxe8ZwxfT9vf5qm9FU1L3MvJ2M7uCdmhFGBtNOLkkPnGoK9VQn2cxVg oyPxXpvmnb+HQon5yWHYq7/wLhsboKg9L7EpzNziQRY5jxwoLgMFHH8wO1PRYfEToczfYWlSyoIN ijdTzWh0v2/9PYyA4+ndwkCMDpL+rnWt9UDKeHgI2FzFgmFuULtND6mdlQrdaWPmDSX9X9h6d07U 8Jk65C9vlyqbW+W59aIB/53oT0JoStL1GVU645EKSqzNlEpWsK6thRKOAmP8povp0h5NV4ubcS4q v4lhM8W8FZ6MNfM+GTFt3acBKb77rJmR1Hqn2BEX8owjJGJNdB/TkhwpUJ5QFIpcOPKKhbVdpy4q Lpu/OL65D3beHC3GvRB7vBrirScEiOskB4P2qlkz7F3f4Tw2ChljAKncAljWIdPWT5VYPrXFDMAl z+aET7pQYy82Kfkz5SK+X5aEbS59x6IlR0K7ceKfPdEb3tg4zKQSu6v1NHrFJwYy+hR7ms8M5alB Zxwkm27Ux1NSO5dPRRT8A5TEtLvdu8Wku/afvpfNzxynZznGwcS2cRKSCV4nt8DMNKVwT6GrLjGT k8fZkS+vK66Y6r25dkff77Cw7OkjxUdMfP5xyZXFY/uCvXX5INZ1mVavCaqyeXpmqg2SMPCUs84A ZSK7rleAb7jRs6UeBlj4KXHvT3gD3fU4Gm7XyvNAPclQ/rNKXu7STT1I8K+rqEhlze3PvW2BLYJ0 HCqPhWuJ3n7QXQYeAmX4Cq+z56P7JWDuUd7QtSHLHFC8aX5Q68s+bVGZyywZfNC4Jil1zKVV7yYT i9p4aQhewrImwpdcl5lFDFb69OcuIj+XJRHj9eXDpG9ayeoHGVO48v6rX62sk9CSqpg8ZDSq002A WOxIaolwQfey2m2+8R7nqD0gR0+wRXLM1mu2GmJbY0FV/r8GIFWRmxu4BbY9Qk2OAwYrZ0d/9rvH 0lMddAnBXtbQ94aC7T60taKTAORc9Pvr7ylgP2Dzi5L4mOtm3K83iEm/fcWcmcY+z1K60fRP/+Ya LwK+p70ZYnvjgZMwAeSPyb3GeYLfJ1GGIo8fYTrnpMM2eWZn4AQ921+Mu1YBZX0TCYYnz3ZJxuP5 zYy++jC1ftB3x8eHJ0d8/BYKX3wxccbDwbpSGsgf2xutfXqeBiOARE62bt/SkG36VX4hMQ4XTZ3s vqPF3ck/IRwkFL8PjlODHn/4iOWCWjKFGjB9R+4snaGPFPh09d6aLB4C+RcTM1SwleyfGe6e4695 MPSt89cnjwVzmmlqv/T35g65/7dLUwWMo3Ih5ids/Z0FFUUfar8vH893vdVsjauF58vZ8CUOr/Tn +qN9cY5d14MUk5j4KUiDDmw1jAnAXg4KY7l6z8VNcCcsh/1FmL8o6/9LFsh5l09EtCOqlxCiOMc1 5TV+S1dOrp0mcJ3+uv9mHZsZpvd7GdpiOnc6NEBooMkOWOiCRITMj6pqsbbkpWQRMAT1fCf7Gqzf gpkvNXjI7y6JBGk3f4EwVlIxbnHKU1DKVGwZfsodMNaUuPcufhyuc/JF1NC1+ieTd9bYtu3iu6AR V9l4tDExvI+ytrsQPouCMfRCerjlaD9ygZ0OOxy66+UpQ4MZofzvr2QJBMnPTxq1cE5OFkRizpH+ kHigkR8ABjSNPOzddRYqGXV6uy0ifwUf8SuqZlUrIW3JCUUZjtumacMr5FR2FBrWv0CuLkNttQ+A 3k3b29bWECBTVPC+kvsqmOxklxWDIbPBKKVUqNxmc0fBzazuORqbFFZzm/y6N8EcYsL8sJabMdrg GwI1zmGxznI6QVcyC/MGYPmixqY0HPIUqWh4oXBDu0j5XuErXVE2OzALYIm5vncpeQkC3S/5JMfJ oLx+l1BOjLbICjnDtZaMlIjMl8tPGF1mh2MLFrekwMcHccrVnxuaIZtdNRSg1EfYdk20iAReqNIg /n2GDegptceOoOBZ6ttchg8rme5PESoMiSog9hOTgQIZr0QnSwE5is2jiwYuu9ielcdSaHed/o6w S+ZuYbqko+rBA9RXmCq3+WH3rAjgzZv2jCFaqP7f7kyf/vrFGn258UqssKmHxQp8a9oIyBH5DaRT WL9ERL0R99vnWrdfbTK9oGjB0lyzjZ2H3dogQETmme+jKPtdMaeiUJ8aDdY4ZyoI9iZWL6kr84qF NI4k6N8tFqB/dnuSJlAywcSlbpIq04Z+N0iKBfwWrnIOj4n+XjWIa85y6mNA3+1KJJXzmVroGA9f NvzMjLV4uytXohu9O1maAJ02J6CwtikWJ4ZCMR6Xwcy2RnT+UYH1a1xQd6EK4sE4HGrNFzNDDtpA XjcsOvLSS2LAWMVw85isie1ngjY7rgXQb8Zl6mEg/fxChDY2WpK7NsPuSsyzF9f2+RSyfGylVUGD Y3seK6L6aHSab5WdT45ICTkXQqoP2qv5eUpjJpJXW/LMD+yEn8cRAAosHm8CWcyd1qxrkskXVidG 1KgGcBo5g4/c8pcy4gWYVNCiNcp5ktYr9iogBhS3rUxVpcVeJJ+z05QVxZyFkovtC6fAQm+xqJ9z gCgPe9OZbKdPxqlw4WbpEFqmpEFNs4BaHH8ZVHzeS9flWJ/2CrmHgI4pDVqgFCc7uUPxAWgf0Sr6 PW5PoJe2D5KE/RDXQ99fFD05vTVHqHIa1gxw0I/XNRzhJLaAuDAqO/xWxFQYGouWql0Eiv2QruhY V2tinH7Bt+H/rjvxVNNB6FAfZlijykMB5hDpeeqihcoI0f/vupaMSbhbowJ56/+9TH1W7adMaZzV l0w2FZQ8g4WKFcZfRBk804FuazNKU0Fz1YgfNe7jhANP4F2VsvzV7KfsAnzc+fnjxVKqWvOPQ/6v vkAvfgaTnq+dMolJodsAipLj17Vehf4AMx0twV5XPRqoNAkAmhd7+A/Si9JbJzc3+oSPaWmzCLBf DcG1uc5oOwfbPoK8vn1/LQTRqD22F4vrBZEJCJrGEnG8o1m8Y/Hc3pMijP4+yE+K3IBxozrYXNHf /yYC5W3PV9C7uB0ZPQPNNA2Qr+B1A42Zz/LzB7b0yCSsDHWNO9KCcOC3Tuo1KYB8y5xdswShqmfa E61VTbbPMfiV7PQU6aAKOH9okyVlg/UncmM8nw+QavxrMTrPTBZBbyLBVoQXDbcH882c1mJx4+TG QgjKsKMktSu8rmeW/NRjbiKGAP4saaG10Y8WRKT3BVkiPTLbAEMa4jdkxdvKm1ZTQE5yEpGwJFSC yPhcMnDd/HOrDnw59ZyMTmOCwt3oVG3RSquQodyufVoAjoa4h4JT72V4yaDg48nRuEYmzkwuc9QQ ZCZh/ruTkGXNs1UuD8SffVvHa1ADkgZiLbfTlYnqI3KwjfyYEbQvP1KKinp37yQhZU28dAQsYPXa 5qGGUi96YHdUzSJN4r512VI5QBp6CCIpFcOpYIBsiT8c+VMRhzf8uHAS6b3yFKO7IlVrVKYvKmHH dzrAqFFNlWaghFALHJJ11mZorWWtTm0mOAg93TBY1yPyikgL0XHVvnSZ9O8humfmpfLXORCIgUAK GS1Ero5o4RhfuaqjmuH49UHViM7NEMLOEe2tIK6mzC0ztAR8k1VuaZzlVJNc4TWZmoziiEsmJGCL zpZXBKhLAiE1I98aHpb1pS7UwHICc08zp5UwJ6ptN46vRmicRtpj44jc/8VnQaJ3LFY7k8CUjO9d MTzLqnjYRmcvUrZHZC1Xrfv0hxHZ7vC5ybj0tKaPvVD99SnA1mowIuP5xcG3lDTlUQr3xs+kzDul kiyAACAizEAb47xEVoFh4n04zBTMdySrH5uq/K+YRYcio1FW6TVBd0WSA7gl/tZLtuBnIuKcMDk4 7MpuggacvolyrqjOAQqWXNA6TQ1XRjnsMCgz2zHNIyBlr+rGCK2DR7kY7stL4eQtuo291cX/p255 mJnisY0B8qB3VqanxaQRK0okpSz6r37CP2CffG4I2YAs6VK0qUf+KODX86Jchd//Cotw6PcRgsFa mGsPc30SAyFPHB0NxH2E4y4iYzUanBPYGPxuC0Eab9JD8BIdzb4Huptj9nVyWfY8MzqOB1wyQQPK k9WyM5FSKshnvghaqwa8W6fmk9NNfEi0piI/k3Kp1Y9oQubcJ13x/unXGwZR2U84TYSXeNwOnswF xyv+s6Ro994ww+FpxUV+RmzdBX3KvX5RbxzPFY9rOiZCWMtRHr44sATl7zlE9ztkxfiU8xLjscUl 65iIvDENCgM0EZwY9ci7hKIofYvwY6w5p0XRlPGxsEwc73xbzYxAs6GzcHqpUNdSbi7QQ1BDxvmL XDVXlOZVa71Rp+cMADtAkwgwbzZXOqdUu/E7VczyXC91oqSI6jtNOzJPMX5yzrCCVY+D/qE1oPCN YnM4Eqmyv6INf41hTrWKIzJaspcycOrvbHCdRufUKSvaC0CimIbiIrH61X6MiDJ0a5T6tHzq6vVI 2p3FQG7qDRivgbaXGb2PJoEMIe2mLBJ+o3uUqdY0m2WyOETTJQK6Loy/ciCaVsb9cb1eyqhJdYZr eCISUokjiNRf1uGnCyDsNdtFTc5mDruHQIAczZoJePsVihGBMGZSljdHwq2Tsm52tTxJUFpEcuou GdKVri+3hX+dML+eRhTcxKRlQSgXcIteZNv1sS4y0p2TXx9EdmsfATXNhqP/Au31ftMnu/oYTHrd f6rM7PU2T1ff8KlkdldfQfEcklTegFsD+IoqWQYTj7SVlPkFbhBFzfz/bUxcfbisQZbymzBgiodA JWTwFPaZxM4EXAkVJNPyxouV2JlMVyOas2AXI/+/EVQ6iyc/40LNZDSM3oOCxg4SN/KveLzkSNDO 0LXgeB9cznQF5+94U/EaDgNar+MajjPBLDnXgoW46lvB5zSFjnhNrVEf83eDJDRHES6WvivOJ72i AeFvtRWF5OwbhWTiKwWFmrvLcPfYA+/ngOB87Tqr5MpYNTJTyZBU0h4OTSVcsnvpT5FILhejdg2n Lvy+LijJSgzV55go7PQBl1qBOSYtEh1zOvdT2rcsQJcGjduDJSBpzIgXNffYjtpO/CSc8NLKNtkk qLYPUV2hYIhYIk3wSkiW6FlkTVO0H/9Mae/xsilsMFx/LL1l/ml64gPsNRNLRJ2aFzZ5sXd1dLC9 8ZZJm2oJDKaJnp7qI+w7pkzcECfMNSquNLANQm7YTzJigaw6OcDY5xXvMPimFzt804QoJ/qsIlEf 1rkA5wkvtb5GBTsbvHGP2hccROMOEjvyr/iYKxzAp8I6KzgyxkIwxg1gMUlBH2QwmRPQCgn8hRjJ T8Lujbr4N5cCxp94OeEXzhb4OqIQvYTypnuGEV+eRAKi3Z49ltQuU1yApiBdx7JTTEUZ6GpesHG/ JaU0XZMZykjjinXXJjGd4tgxNr6DQYTvVvB4/HjOXF21fhWOcFAY9nRp07ZgQigJhNjlgEoCOHC5 rv9yRnlWwTUGsmLRNRnQeaO6OyxNjlnvcROR9MutTTsTYF+WqZidLcxLZZHqb+0XAwKcYYgvrzjS h5qltVQBVKaT9EKjuLj+VhmShFbphGgWh8ceLhmmfnhZ8ziJZnTZB7fYRETS0sJgygRYFNw+rCoC 1brr/hQ+xb3oDpjpyCzhLuumuSMbhHcK/onbtzrOqwPTw0LObBP75zlwzvRGx2ePmDClN+Ssw3dX eFa2kqpagRQOFdx69FBc7WBM1Yp7q0x1U3psRPT0pofILVD3v9urmmz/45x9S4U9r3tVuj7M4g1s cK50NbPgW5svxMo2YMC5RuEEIdsAT4JSY/KDL9oHY+HdhA2yBb7NvjZYeLOW70IrUB7OYOxWzFws +wxScNT2LUgi23VcZhi6Lgbj/Of6+QDyGDXqS5iBVGNgOx1tpzI1W5fQwd9AnxAXRWHrGA46MHQx h6YjDmHRSwtnix/rifs+QL9tr0ejZxg7DjjN5+sjxI8edxf1Nh0WuinRC471hJ7K9JMzPPFzzM9v HqP8+koUzH13j8vYk9PALLBxFlbU7Oi+cVcwJGWNWkFM+W74bHAWNX9qy3KJoemcjSlnAd99NI0s cPQGCMm8EA+WY6pHMGkIITUVMQfAUqh7nNRxQKwAXddsnyj1XApbGIoN0sWOWYGHDUUdRoCL1NM+ pg/LybY8tED+FTcxJ9+dNxYG6rR8brZoZvBpde/dWXy5A9ihvSq+HB6jsaTwZgneBYCheiKgnVn9 zkKJJze6cUQWT9wORK8Ta5ntJKWfSg1X5h8ig0iB2bO0eAkNFKMYSF8+HqGCgdmT0SPiGi0+9DX3 9B4jCHLlzX0DBFfaYTkW5kkECWYL4B74Ou0Wkf71/2KRE4EU0m+eiM7tdQ8CHhzVijcA+Hiy2LQA KoNZWt63eS3FdQeJ6lC/zg6z3kA1ujJXo/TC9+h1mEjJULwA88rMq7ZofPheCLpstkAn+cgOx0Uu jxlrCSlQo0utV+Ji2yqBqKSvlh7cqElSaXtniVFmGfbYm/2T6oysvLvqOPAN59tm2o3WxGRRAEcB J2o/2O215vjMn0h0oYN+RM9NUfGTuRBV3T5rEzcmUxmssbnHzaLYw42Q4VP47rFhzPN4g//87Hpa E8cOWZGQ+IHm8kFHHUsNBeK1OTkHBv46jG8OO2L8mP7o2PiubacqxB1cALFeXkfGuUpsNu9tYCMU E4qQKJb+XHJQEAgsvYKkiH7LH5DJJzcV5hKWBDUD8HwbF420fsrj6KnGJPzoxYkAibu4U/lTPq/U 1Xr2QVGLRy5dC0dm27KrWTVfnUag8zQ35yhuelOb4cZpVBWDqpOoABHxQjtNnYtqXtomYFMZsjg5 3sz5tpirOVCmQEtef003rGjPYuiKylpl35WQvJ0YJ9nTuK860VjXnqgLsGYTkiw912/z9AVOu2fG upi7CZd/9Aq7ZsLHrtNnLRs0yumoXTXaDFhqaXPXIJu2BifIpLqKDI9NYhhVt6MYSWkDMUgD+NYZ xsUd6RabTvoMdM1EcYNKB2J8JsSOvE/IM7NgQ8dZiAhR/SvrqRrE8qYRNYaxJw8JPNWtgmTjYIUE GZ2fN0QNTjqj2otCF8HvTj4J4Tm4599BvWS+Rj9xETV1/kYzoRKPX80VXOYa3WyEji0h8lN4nzOC nrQMQb3ABZzV2/N9To5TldYY7nT/fBoJ2Hb7qPc/3Hn/UUkZL7WYHDi2H3Yo3vJc108lOEvBh6+J yu34Wb8VjQApfPdELBL642YoSen7WgNMY8w6kbgxDSruI9yrHz37EF5VUnskY1ppwaI+qQhTQUx/ RNqdOgdXewEePg38I74FmKB15nT29pjBWBxyqR1hJB+DJNQQJXz6MFG+t/L6VMfPxWBFCs+g3zKh sqbYSUD0SAaopCEm41qS+ZkkWfhJFRAq5eHNoHKocBrRsAOaTHjzy+Rh8qFH7rJnf9lJngNOe+IP nOkKnYxYqySZvowKQwzS3jpcqsgifKW1T5RwRFvFOyRV4nPglayYRvx5VwW+WGFS1EMoCzAO6L4Z NXf2eeUZGlzWAMSJNeZqpLxHinj/8pxgpWGx/ehfG5P4JCX3yKUvqL3Oop5hZ8w2Vkdp2Qb1SMN8 uLFz4UDlwwfpEQXgnDhG39WboTtOnCeaEStT7Wbiz2I6EbcODXSuz/m885ZU8cjZ1aokwhS3pT0a aq/L46uLE8B5H0IW54DyuM4Ry0JwjX3fK4Wdj9wo3gWxX4o7TdRamyQ3QHbLbqQrSF0azm+WyPrl 6FaFW4peoVAXzvytrP4iu/yN3BpFhcP4jV01ptDAH/YPjy/YzpvzlDOJ6zQUWBLXwSYUrpbWQvhb Cax9ja8pSF0RL+0i2C7p6iHMUZk1V5ZNsjm4HZFzcjpHBX8kpgIfqQ3MQDQDioSodX9MJGTLzB7+ CNnxlwMAAkpGFIFT5q2jh2We9qinJkDBXoDI8DaIObBaUGtwjaxiRXckj8v9K8jPXQal8Wv/+aja O1MJwd9gp4r3YqWGTzgcjNNzaPwA+xcXyULKuVmd/zkFMCf9OwoUDNgCyXsGt5Z3/EY94fG6yRJn l5Zp4yVVxVyZr+cFiCIsFEVu7aS+rJp01rzD8vQocaIkEgud0P/bGB3bE/SkmaOiEgiswrw4utXN JPW9ngwaeSTCGqJS5hZWZOPYJRD8yPO7pQd2IuU5QiPhEjFk5n7RshRLaO34k8bzCTjKdgfT5Oyd EFNpPgRY8gpYID6a4n/vGQJemRHTJiHXMF5VXNStAnRBnW1f68xoLOVz/caqdsVb2TZju/vB1kYw F/fyN9xtKcZRV1hQzt4GueA7+lVNKrp9FZ/sHXN2AgFPlRylvRGtDMmOFtfY4MyvXNGu4tM1viYH RwaathqASi855JznS0hvuj9sel6DNzeWSjfMO40IT6rdKSk7whSvjw6HCO9tGwtaXK9T7yQEZRRo b3q/yT2ob7V94JISndDnNwIHP/IvTxWlPjzU41RcKnpMcoMd5kjPZ44FdBldAKxbZWxQDPmddDgP ybXX72iwY9L+yiH/CD1aSj/lLeIqM9Z0H2+N0Y6y8PxcxD4ok+g20YQNGtly06pMHTYe/PhqjtEM HGnwvmlFFRSw3JDIhlMeKF4LJKLZ6k+qJSHqMxa6UVGgiXOSYyxrG9SE7G7RtNaI+8X8xalUr1K4 0Z07IVVKKfiohy40jYg14W5bidJn2pVZXLt9WSau1RP6bJcdrsYvrum8YZr2HaJXOafuaQ8vDxrt kqlNfMtnejtHsqukdy7RKJafG6A8gLMhkBlKqCg7YXdVgHHzY0x/7C9o1FlvQMJYa0Hi6hLW0u+b /mqJTOkmcjTMqE8KXq0Fxb00WM6wYDJnewsR36kOP19Okd7CFL4NMxHBToJ3q43lsPLxt4OGGCX2 GAqKyjdHxFYaAqauqDVbTTm1ro6YE5X9o64HKA0rsC5MdrF+Jw3iNvkuMVoHhYERwSPjx1hNjM/a yAlUNCQQf19DvXjIjyxTv8ZbP0InCuLSPZQzQsvWVd7ZRU1TsLf0rMdPZTcAfmVYGtzKYAjWtDkB RYwUoKUAfWoj88jJmFlb/63E3ejGsefGKk7Qqrl+sgPvlLD/+VpGw0gcNvRJ+KgscQi/enCWdkFr 3zdfiCAq6WoCj+k0U7RKhAR5y+USWFA476PMCE8AazUf0SMamwNhKj12Z19YRiglIcjiA5+PN0is SAmXMQMYjTJIdEy3nyT8yVRt1SQ6l3S/MT2+ycGSlEwz7TviSk6nGbwCzI0UOshnEavd3TZhT+Ys phZHVnjpD2eu15WgDvw8k5yN51K4Xwh/nCXWR8863g5hnwkBLkR0SyRRbtUCQsqjFk+dNeSjRa27 qqxGGJ50Hm+k4Eh0UkRJ9bzERV2c/kyVdXkBBo8KTSxLObAyLdkYlrn/ey4mJ8MjWY8urNR0ae12 qr38StWhl6SxdgbNw3Vw+oh1OnYSQsVGs2WNMNW+ula4TJAdTX9xRtCyktwwYmxRxZxOSdq86qOV B85SJjOlQvsMDW0yPxYU5p60tLMKpO8uHut6BYZmt4uTtjNSiZyPvZE0BbpLUKig3LibDCKJ9FUd h7c0XskYmb2+XiL+PiF5zUpb0oH0qu/ZdNV0V6Uol4oUrKVomQghJ3u9wZF7zerPeLErVzFX01Gi WVs1YCY6UEWzwWehjRWlYlGhKkHVIkPaf9qBgeQHks9qYVZyTAGDYMV3JCkQo0BOk7vnATtBytDr MyEhpEetE4S2LHhMFP3Fhovz0Mp4rW4t5+dXriLfzCaQzxQAXdylXUpeC2DNtdb6ZP0VjPWcWQKe wtMv5sT1NA7pseV/r6kDGjRm8F+R7urpAIC1AO7kUjtUvj8dhzRV6CqIEQoalmfF8kXbp+v59x1C NDR+5rjjuhJEcvaPt7kDwSbOATSQFpzFiSJTHtdAcxeiym5Lz2t8MBGFyrh5dgU37TJe7US3wevD 5W99nTaXzkU4xHo1H2kv0F1EuBh6/D8XGLCmKh1QRBjY83vJ1BtwMK1r/UdhrXMYWNHMUd+9bxoj jIXyCJXq9jZVPiC6fO+OZ5E7FYWYOWO5i45buX/Uy+/r0avhlW+RaWubRtJMBxoIxdZSX1DfFSxa vMVyd1CGh1YtOIB4Ibw6QOkn9dh3iA933Dj2x6KX4oZx/fp5dNqx1cTF04eRMdY4/A6PfHJQKqBo 949FSHBwo8Wou6F9N4/gsHJhVrTSWipGI+iKVTt/yd6Vx1lZ68Gv1PvR0LRHgnHhHDOCJaXX85+t MIcldhirWGJ1Lpn3RF8vbhx8uys/DzVRnZxIXfAlctuznBQpKB82WFiOxrXzlW9PxwcAMOx9kk1/ dnClzaArn74oYCCYwAc2BB4CODEit/87v201OAlwlaqkOu4vw7ZMabWT+VOXmuP6WnheMHErmIWc 22ZscVMuiK5zmcRcE+ADRgNFAdmS5HLQEPFk7JVj++l9Kbc+r33v/dxXUjzpVTvj0MGcr60kccy9 FlA07u1wtz+JaKDXSioIoXkU9wq2Yzsi1OVPKdUe+IcN/dRfYrvj7fiyMTdKE4h7imEoOH/vLFe+ PXJNcy/xs5MJIYlUtlYAV2QENxdMCuP7V+WRPJ7pRlcukxNuKOkCb9DA6C4HrCStDgXqQkd+JZb4 7Psker/T9o/azWLfBeeewqNe2G9Z0slLNaXILNq3qbeb6SXV81ykbIsBQ6oPxT2v8REW/IdRzDLL MBzwFbrThZdMKL6ebQUf+jG20BIUeKzt17F78cBD84WSAe5z/jXDAhoHuShmphABE28gQBPTvsTu aPNFI61JXdP2GlY8fT54jiJscDG4GC1R1l+JdjCJfNRZUkx3bAXQ8L4C0Yg3wc8hGcaNdpszMn6e k8SlWsDA+6MhqlC8FDqFbfLqALXrzDBv+QgqOcTrgZB+/LixDcSdeIuU7W16eDMyTvrMFrPIhTQi 3icZvpHkymDpEQtMaj8HRerBYQ8kn/9TRF89pAAQDcx9o8iDBaitw9aFI8o5+U/pQrVZuwUuDehk yfPQ7188jLwFAKNBV7rtN7eIlEcKDdbmM5cyQ3Sx50mG1huUwpkWOaM6ZAZ5Txn2ukd1/v+hmbE+ 0aZ9pu6gP0Q43QK3DMtpgcNaC+7HX8V1tA7RwfdbjUqB3NNivuEIGFaWl/hZUjkF7oVQ8IDavpzo VsOFQmL7BqSvjAS/Udcp6VmYhrCJbFnfaIeIPmwNovpsayOXAxomkC6o1/3wXroQ8XST2RNVn6tX gSBFaZ0whz/oHLnex6yGkX1koM63a7PUpbI9gEG5cPHnjg86go90Gqr9cj6GIOsbIgjT98JMsArT B+i7115f/SsUztzy233f+yUdZ6AmTeaS6lysvd3th6U9ZRN+zoZ+jdQHJHzRISzzUerTN0qmYd7v 79XhrqY8yhDvPjGQs2ZnBLCy7sgIf/I38rgmzjm9rUNEc9/Z+4CYHh3dnDZE01rsEKPYEiWKpXTk GFnLEDdl9hrYWXz1qW6V8B2kuxVkOZEEphjkEeyPuwpko3JuLOkF+kE1Lo9YThwnxXxIjSMtVUgM ZY4fykjocUnFIMq/7I8l7lr34qhIzWUetk8UsU+RFBDwH/O9KeuMirF1iO1lkXAiov07iFdK9zGA ra9BfwH7373X5qCma3ps8XsPM6VqMbsvNizN3CoLGPheRIwO2sIiLXyB6ePtAzHnYH14bL1M/jGN rA70aOX/DR7dLozJA8+AdlOVYktTc9p8qqg2/CpCg4YEDEBo7BLDDHwCe7jcLSbf2HJs7KMe7ovh MeSYEON5WkCpivHamdjCp6UPeM498dOXlJWkJbfRbzSHyltvNFBxXCLQPW9MRivXGaeRP//bzAYn zdqNfdINHjs5M7GcdSwSpXBwgePgNAeS8gdkWY1XDgRQoGKcM4zNU2u6fyMrrwka8c4I6IEARsSk BRle9yiKh6//9sJPMqMeIobPiXn1VtpWVn28Wnn/FMssW2R8hxDa7m8sGg1WnhddYiYqAFyWiH56 Fxag2Z3aIEjhb5GqzDXiqXBz6z2QPnYxm7d8RPMA8LgRoSHGF9XdaltEDANhgkT8OvV31JzPakvy bv8Am+uFOdtVSu0uRjrPqFLIGJnCP1mrkunv27C1Upyypo8ZvxYgVVi7rFMptt/bZJ8pQkNv0LK5 jg8pPxvXlYjCjpuJOZh6CUxC02V7SsC0JdL94vD3fbeKfzqoW+vGzJEuOCq+CTVLnNVucUEMFR/s l0w2rMizTD6zO5bmIYMDMt2bjAvbR2OtgtGnUdQLqJvgVFdwuf0jMh18w4vDIJlpTJJkwL+XOeCz tTn7o5oxlNhDAgOqyGUMgdSuB/U7dprCUMEhE8IsFOx6Y74xIv9CpGDqsC5xtXkIzdJNYQ95O/Y6 KrEyIHM9WvhB8RDOg8KWDvOwM0BQ5jyaxnG/wwT0GxdiBoeaeEC5tCTzjbeU0lTR6LZ4cVQM20kT hvdJdfI22Oo+a2MQSDVwOgGGOBrFwv7nE/Llb1fJHAulmh5mVCauivrWPkJiqVhHr1Hm1vg7ZLXT TP/BoSOYOdgazHfdr7sFl/ZDGNHPxbt4soTLKEnbGZdopRfcmc1xmm0qpysDpCa7oIYXFf+IrhXe rg85EByzcX0c5hlg1Puo05VKvrord07xB92OJbFIow/eykbxIC1t6Ok6ywF3jod2VvznOMl8Qs1K 34xgqFXIVvi7lrs1n9qHFop7xKVE1wcDZIwMcAPgiYmIqtOMXidV8ulML7Ql55UKiLwKR7+JKYs0 OxYEtWS9mr9b5fCqyS8bWldjNmqFBr5jMq4TtEVhlQL4uaamksifUa2L3/vIlgVkYPu03T3HlD+n X2QsP+Qia4q33VJWlZ81JS7YGMlp8kw4hAm9kIQITDK+7C54vuT0f7t8KUsOAqrNQIXoB3mdgyNL HJOAq7WqvMxZXthCTTi9avhgqHsL0R9CIMPghMwAI2AGAFr4NYUyumHc2gcPm3u83g0aTdrsYCzC soH1bRPgf9OQIokunbfif7luj/yly3WUx3fh193MtDWp9pV3QbRm9xt6lHG1CPoQ9Oav5eYMINoT aY69XUTYtb9PcLKWbxxhmhSknp4caFS6Luvf9+pNaBKVodQE2nmyC7YuA3MuNZBvfAnA+gTNgVF2 ObXgSM2aXQKaY9/4TYEh9Nu3EO883ufh8QtHucTOrVVoQcVQ/c/DREAYcAKSGizFKLPR4hLBhuLC BAxo/1tfrxaG8QGgTdsM9H7UPJMx5YkNTlCx7lYJ+98i2e0VCQoUN4xKPHchhrPeAuMZ78jRyvg7 jS3lVpN40xR90GG+QqitrOtbgsaEIypyItF8sGnBEgCuCJjFfz0N6BS6iQFVLrxU4PN/nQzvx1DM RfrRIsE3FFKqWGdyYVc0NSOv1Qi85WkZ5TEgWXOSDZEt9gkZ6zVBfscDG0gklb1sk0K+iP03qqOj pK1sFdmnB3MF8668K7V8NsNDlgpVu8qU67QF81TIRG4JP7sHHtWLlEUX3ixDhDyNAlXxD5P+cvqx XgMfqIuMoGXIfsP2rymSBdkPvUsIEjcrLQWzU0o3WDTsSzs8fR9vnqElszfcI6hYXZDK1bPE9xRV 5wt8W2TwEvwP7u4MNLad2itWMNtpUpRTOsVmtEijiEwR+C1cwA/d625xeBl1n1n82PJfHmQ3Z2Jt 7HNLEUADR6/8vose+r2RPO5XgEXcD42jI8q9WDhWIOhLsbGeFr2/WXZpeIlndDFsUg9+FACLYCKU NSn7awJ0M36BANGfIQADNIFoSHORv1QMyCQa5LeXYIqYAEFd73AyFwzqnMFuy8aSn8NYHfX3ICB0 twdRpHOFlcpwwI0HIfKMSbiFwBbnf0E8fvcXoQZzAEGY2ElJLaCamJQIyey8FpN2T/yaWszZujsu jZw2DXicLnzebPlvjfjypqS3mbXfy/lkvni9gm1wdFsA6UZqaL+aF51MKNbb5looCfXjM+Rl4j14 v89XBZSaR50WYhjObr50E2ABrlCgRIDxerXUTfEcFNbE7MXnjTv7741p8/Zmm5PoKaB9dx4c+ked KOxB0cUtUJ3hoFNaVdlGoBCZZ+rPnJI6xx36+g0VNCFVkQQF5ob3TXGh/J/PY/QUgiECUgg8GkJX H6ZrMkjmKkHFHBsfvsCJNNyLRUIttEgppFfXf41GO7mKW+aCFwArWaSDq6snPBFjsszTVj7JUTya SYkYw3KWthlyKtHqmW1dqmTAST6fQmvzOfWYSd6IRv5kT+KFmU9ZWbHEYj+WKOdkdye2LTse1+5x YSVNOyYczFdpa8PqEGfZy8g7RzVel76/aRXjJYlgsLmyM/jwAdsMPiKRVlMkfTPlwtm5eWpLzIit n3qBsIF/0Vfa9E3/8DbcUOo3EXBBAdtbjBkHNcv9giZASYm8J8p45Fc+4a1FHwYJaQLDU5p0XP3g 5um4yl4u3PUpLUaukcw7d/sj8h8QK+AET88nxldkmBd5TTPU1rN7FJFyaLOfgI2Znv9mFhBg6GVU 2IrBytBxeQnUlBlBAn5Ne84M2XqelZOa9BF08BZg3+peXshBYnmu63ET3zv9ZMyIF+qLgc4jz3NP xYoa8vDxsvGNal+M3GPom42wZK2yiCX/pHHzsL1bCLwhwCnGpsJ6jLsuMbN07p08Tfi4wwogEnYU c6b8BmXM8UJyZtpYdjJr8TwS6XQ1FmT4qUU2ZlIRKqnZ62YtDKNvWZh+fC6u2P8NhB13YltbPSNd Rk6OSKJQAA6dfHo4tcyV99pd8KH7KqoxBmkQWuX1GznRFOrEhJYYSbD4W8CX652AaJphV4DgQRtc mjN7h4hk92B/zWvDdWLP5co6P+pyZhXfJE+mVyf6q3GogV0yxafcRsqvIO+QAVO3Y76Q2AEsHcHh QsR1bcNrhLagNoNm1mFUPA+Sw+myx0soLH+eAvHBaKk74Wrcz5FvzPiw9213XIruXPrLkBYCDOJB UyCi+IdWC/ZgbyzGYOeywhXq3F7nqkOOgvxh/0Xlz/xvaWiw2SvoCgmT2UMIrs6FmmuHdoEJvcti ze9Cz1hMwDsntaGUaMOmkAUQucStWK6INsxP8nywJcI8zUo8gcKk0Z7PJqjU9IlLZCo2ukSUXp+s lVD2vTL7b6XYQmMguiwLnM5R23qd4lyLuNSXLBSZKxzoGd48s8pbP/vTYUa1YnPBBWhQ3CLr4j17 XWC0UqpAH3IjvfabT6OaobSrcfLD2EPnI0k+wM/8HK2tx44lFWcsv20HNZuLD+T1aJjbYLH9kKsu ZtaPlGFWh6Is+9011buXSCk9TWGbfJI9FFA22FLWyFKcZQ+SBExvCMm0Z500r/h8biRxaR/ia5xW MsUiPaiMVtqo5xnvPBVCni86XScfeQAlaX+hZaj8TWY9vDxPLCiwvVmtmxGTqwQGspNdk9JizG8f J/AN9W0ycXrD7+nukT00Ydo+uCtGq0UCx5d23oMse7zy0Il6RMtTZLvGHmP5soO2jtPw1LHte07L G46YaFTsaXM8ZFse+FEx1IbBAM+WToP0FADuiUIpcjYltkPPXkYHMFpPgbXCyrohsnBHq4i/9DLP nGMlqNjEwB6eYyZtMCMIEaet/+KMoBNnE0Z6DSkQVu26lQ3J5hh1DNgnmBWNuUf/3v9+OuDPnchG BK61XG7dYJPkFO5TRbTtQCZkh63/RhimwnYL8ZLGS92B4/zFIW8sOflwMEP+IBINK+V2s7dgMky6 I9oJQ8TL/u8LXXc4mdNCyR01VNxBXEWefGtw5NwghdBoJSjWlFSmy4YNu59+yGyfny8gb3ZzcdDL sQhB0DjYkWvb6px5G0xhKQjUyUKagYD+Kod1ViRqz9vKU9sz2iYfAIRHYQf8s2/RtlmdV7a6xCed nBp57btm2v3OlrVwvUL3n8J/at8FUFkwTESwCKaYkcvkktfe9Ml35bjcaEi2EVkiHYVEw4zQilIw pm2+QzWUmAMteuHksDyQ5BLLkQu/dHEFSnWHn+EQaigTVF0fork3epEIYXDY5i979z2CuGMR2s0/ 3WKJPiMW1aQ5ncAmclnzCFo17J8V8YkWQ4MuSL2PZ/GGsslil9YMVrGJI0aM4waR8MfdLCCRh5Uk NLdPw62kKnkZ4fH3aHxeekANBfmaEqQrQyDHCcbRnu0GNJARNxtgNDNThPuwcUK4yeDpkuwVTVNv vkR2M3GwfK7xwNb1DSlAITP3eARcpb7r45Qs9ZRGgPqr4DTI1hWlrTBwPF85pK7KpHIqEUPDzhvL IDEkI7H1II4s8kRQWp+yerY4xZNtSBCKZf/04pH7W1UPcnaLcUNkf/DyK31C+0xat7JNllU9CIcI fxxa+JCP1YkANwjJZS0FYfI0pS+qxRiNXjcd+K+kmjSwNNQTTQZKSujAWqgBIhAGGCV92vRyqKsH sD/YTRToNUFavgDBwYH2Kp9jjAgIs59xyHhbI3AhFZlwRRLKh0Ws0GNRqjAFUjaqZCWwJgwNorZS rJzHZFXhyYMyK2YEEhIBpylYRn6cBhXtNG6nEik0Vp7Xo1oSKdv8mYYl14UNwP7JYi2ikBD3Z0Yn 8AD0jy/Bj+ihSWx/QfF07EbVieF4HjuBHcHLtSC6szkCFhJQXE0tVCl1L/fcMpPlUhR1ugUJ7mxQ h8eAvPDIY5qs5fThw5PpV+bg69u/rTPQOf4CEPh2WifE34l+MY544ZtxzrWWEc6kA/Kv1JqN7X5X WVCjJFUGit6UZMxYZbexBKydUoDmRppXQ3MjnzQm3LmSho4YG2hhMkgoR1T9cLYFUBAQZ7ZrRgUV 7CwTJIbRg6dw5oA41PTl1DndIIAqNC9SR0ebgKyKWDaRazxPPhJSH2T6MQHyrRsLjq+j/rWIVH7O MoDtvsUN7gaKfIQuqeKgPpG8sWeIWfgYuaaAJxC/k3+Pj7YVzcc0uEQkOZoyh/PO1AWAle3DBYE4 HVCkxTDRib6K79BUuSQNIaBODnmQlEllF3WmzppxdnORm9u3/ioTd34BHTaYgPquMWEt4peKEOBx 0NM+yKJkIHOYW99lg9qG+hgn9CqVBZTdlNtY3gVR17JRiFZ9ec3NdrKzLnRqIXS1Ih9MFtrSf3vS eLwdNFFpp2ESpMuSnfRqqcrKqbEiYam3Smd/PEruRp4AxoMMAyJT22FHsGUKLPxjrh2f7si9JgSj E8Q0bFpeEOhJn5rDn1nrgFwLw9qAfO5AoX29WTi+PS8sQNzWHvcKuFi9pXF08CdpR4dedBlZRygg WVEKRX6i95fdwejiD0+dz98O6rxGJdO8DDc7BAra0me4w5LHgFGZWt7P3ryKXX3h+yRYfvB55CP/ 6JNR+tTdIe4dl37wjgfmmOibEpv56jdGjAO/q+4sQVgYveSG4RA/tULcjrW1inLJMPO5iCJn/FQX Sc1RxGeRuydgzUcZgUtg5gn95lU11mmH4vKjP+Dr1qZmt74IX7skxaWM5z9dvHkz03fsq87xZXEJ HHWGHtCmYiKryeuiiSKhlTsHsscu/jyK7fCEhfHJOsSQ3UR8K2S643kMV51ovVdRUByPVqi6qTvD ogadRcSYVoxyvHZSRVHhttkLT4M33PThMNNZ0r0DB6m7BysM5sZNqu0uUaLFoDQUgkzgvSCDH03p g8djBlhGgNdX6ofn46s6jSAx4Cvr8Q/Jo7eYmOfDk91YxMtdoiDclJ5wUk7bhcLX3chuP4Arkvlu 8Xc7Xm9MWteJ88so+BLowY3PPngyRhxm2Nn9Q+R3mYrw6Oscca1cAyM7PxF9c0WA73NC1Y4ldHOK Lk1Di1TURlKXimPmkHHSBAXT5J47l0sdEWLusgFllONbuuSHpqupOsP6DcPv1w6nT3A1b1yn/Sxz d7EqtgBvUzn5qVBQWgAAncphBWIUjyrSbPj405wEH0TwitxQo/lHV+Q9ZNodIZjcnv5YXhvBwg/W j6oQleyhcsdfYAXZoKnQXSMaJqfu6tIK77kJp4SAoiNMicYWOhC30L+tEqBcPx/eM49Dft2tLxnN DLGDdSaEYm7My7ulyKrjw+66bsd26bqqrJKtZp4B6wWblJWDsqDQsXpCoC8RlfViX085vzlHquuW YnTiwv1dzBw4NrLVEukYS11asrwkcA251aZ/RHehF1NfwrtICUKoyfN7flRy1loy7D/qnadEaXBU tz3DoUELUsTluTmfDe94iq2oh3T7XfjvgieV+E1FkUOK/IGnPREDsB/DS2mHjo6FnSR4JxFlLlBj DDJPVRhMJ/daoAyrWaHssDD7dUxL7olusIcDDnB0fT00ofg6Fqzp1w6oBUAHxk0t/9xsDBPj18VQ 2M0H5pFPT12AzNz+y3lgy+FMZ7Zh83TLvlo3kBdSUqhooqX3gwxC22tLnp6VeFadZFt7gRajLxyp Kk6WdbvwFxcQSdfFaBdnITvhozO1LtJ/2T57RsmZ0va0Gjz+cWDKSCgB+zkbK25OgVVhNGdHYatm Z7QssrhZCdON44gaXWe2Je62up24PzZWA1mhxLAA37T5FGj5G8AIAj6I7gB4S3FpCx1BYsxa88Dp aKo1rXE530ja9TtWbJnkfdGpI3UPEAbSs02H63zI5OvFtaeB0jY9bFSkwlbAwN4LKyuJccZyWagK w7L2Vbbj5uDZogOlVTAnU6cxqVjfiGXJyn22arq8XysgcTrnVZUScmwlmxeVAgf/cqQOy9v3NqCc D/LvdWhUxYi1FZj1ektcY2C/LaeKCS6EnRuCjnGE4/zHwJLmAfhzL4T9pLVmEgQr8S72K3auuLke br6uXWrnpCGS3nZ4fTRwFeOI6e9wMD5CgIizAg+xxTevZ6/LU0GbVKHtrltxD6EVeKMY+Lkf7gJM opraZyG/FnE023Uo79tbNJ3IhoEuVgHV8kHhor+9qS+9rfPRyZem6ZJBuMozQ5zPFUTL8jW0XcdB 9+J+37IhtyrLr/RWwVqpCr26PpIztGlGx5ZJi7xkzRk3++Za6noKOKDhSH1ZWqiZLKavZHiPuBxc jmV75TbUL1F8QovlohUpIOwFPfGxiG/xgraIsut4TBXndymNisUj9+yaLgAn6PFRntKpjQ4BxvrG fRFKZhyVdBC6iUKL69qqCa3tldUUFw/ycna90jHNIdNce0bRYV06fDXqLUaVRk8nqoYEHKsANc76 Z/bDVyh2DKI2hdE52MSo2eGEu7RCg6EpxUzgkE9Ty7qGqsduG0NllY6lTd5tZPsKF4xeJhP1a1C+ 5/+nISANFdpNKz6Y+RegyawWMqflipdtl6vTd8MruYQ/GqOEUIpowyXqOBQTf5UlB4ZKa+11lbbO R38s2vcbDMRoBNrsT4SPUd5VJB/Pe5SoGm2vaXkOASAMAjQ1IDLRVkFX8vv9bA572RlYX2gdAKFl tEP2WMGzUBf5ao5JhY5R6vL98IgWbaqUrbhJYoPKjnrz00g7KJHLWpQPIVJ6ZrmLiq0MhNVZsg1b prck9DQ9BkSy0IdicbJ23EyUMIcHkv5ObYqryPyEW7zUwaPNvWVHfB+YFiLxPam6rATvOYy6dPkE zSNsBn3ZufeGa96Lg+iNBdx/wtPV5CiiwDDc4b2k4ZkA8u+Vyv/CWaky8xCi5d+0q3glKrKnDEHE 2hMj9JbQE9flZAK9RfzUi13j/IsMCShk6rUp8Q5nzEZHx4rH2yO30TBDXFcTAyJ0DFd+2nW+nyBB yZOMiUcgG9Wyj9t34Xkjo8MFZ0GjfY/xCzuv2w+NGiReejxX2WagC2KQMzK7BEQrYzwaENaHAS0a 4ZD1jO+o/HkqRVwlEK3HvbM8E9gLmLFxQ7MYqk9xmPDk5Cl7nvB4Rfvwh7KqKf8jM1NS6mIpN3nk DGKGKxBgiDx0i9abStjoODJNabHDowWbkrfniaU87ur9QmyR6jWUeIBjBA6T7HQBCj7CUg04mp3H H+T9pcGvL9QqkBa5HYK9pOMQyyjobtqSB6pHj+WaFg3H3h3Dmfly75vKvDrsYfcIDLhM+exw5uCK igjFALoUfAJhwhuMeiO9tfqcTr2+k7tMTWv/NchK3q1WYFCkT1nYRkAzhQ7ktEf/6mgopqprJpTS Sv8LAaRXG+kUwn8JMVZa0RnGtAXutbhCSFGYbHM5+sQfOyJGPSbYaFYRuJKujXb+QVAWHFZ78wJu bP0rIwtd9GZaLilmu6Kyw7F1JRBj8ZvEdUU4mnqDMWnk5lLmzGRfuF8KuHxoKA69CO8PtzxAxffr 04WEi+1oCdhU6TgxOv/MZZrQRDlkwteb2OtNVzxBIVhtRM7+N4oMldum6w6/zPGFRqCxMqN6fnZa R12Pnav7xXsOoV/ns4nOUphz99HjXXd0rCSOlym6QaKd4GYMJCn6oXwKxGzoBbGdUL0tcAfakY4/ JcFPCfgb/uphAqIvWq/WlDBIZfmQroxyAS8Bxg51B9lVK2YPqh/tv6OVTgGvKK3tZw3IXb6jRQHv RqnnNNdXHnP1gVnAUS5UopKWHpt9b0K6qOhc0BjUVxLS/rb9hlgzLMsyJ7PJH/q/kUg7UCT+UwQZ qVZY7tyw8bWu74AVw8D5AGctFetbfEeSS1gpljJRtOylJWyV0nTT23v2AEZ6NXeR5YLKWcmMmrXH y8eEXhb+79DRe0tiJOGfznYnYu3H2cxW31C2Tbq7RqFStfKN0jxSUaNSfqZdbom0oHsGuiAEAaJe b7dvX72Nh4Q+19rBhSSToLpXsTAGu2whWFRb5+UMuM/1jOW0o9xR62ILzlUSXdGYUwdzRDktwMKj qxOsHWItoTRkC0Q+I0Ljj5aaXEJB79deFXDxj7KRpOk/bK7+klCR3gpdN/BMtB19fqc65ohsbyKs 08i7OyUHil2QQOqpkbjAZA4UwJVA52s3vWYf9JbZ6Yy+7X94W2M26ECkjg9z3Wp6jiCZtatFY8oY 8VM4GkmUSw2UmxTohQ9QlqNtl5PVjdWKvuc1GxUIxxS5l6X+AvKQ+2rMWJmNEL5RGONLMIeP7vn2 HuzgEleo/Spixuuik1P5Nbu45W6YR19hz/TFTbFI9T8gkPbty44v2yj7eBh0kM6CeAe5qHGdtrd/ DmQR8/XJEsfaSxD6RFOcva1ztG4q00MApaiBmituSIhmeSK728JUWOq3R6+tT1iQR3LTVZYsYt9F lP9sMF9JWMHIJhfN9+tJFtaEwujVeIX1YQ7gXVSDT8dtQv4B/gcmauv0zlf8UL5edcYY/O3uT1kS MUck+O/GXSyBdKkRXpimfF8b8KQ+t/Nh0CHwgHwdbuoUr4NQzxLwb9pvvwZZW/fZGyjvdIA9+lQX c3SpI1ouiutFwoH3mrAVQSzAW2O/MFZFLasAuH0NJlIrPhbVMC2JHc0bJz9aQlWBvvCDm4NqgXqt 5jCpgRbppvNIGG9Q0lsXE0/2nxDws5DulybsaDrAi+JUzHnE89bPmdkYpLzfIuXl+IKWweUlWoII 1UgzdbseLSsF5cuJTBWnLfhuWklRqtPol8bXCfJvb84k2oIi6RPoroqFukXIYsFwutDFuczW4dzA 5X8RrI0ZbCkJx2ktgsYmfP9ZlMIu3b3LVU0sw6HZUaj2ZZI3qeoSdR3i400r0tLzHhLq5dWka9GF oTkYM4dk5XTQqmQofDht/Y+CI/SHB6DGP0F6Z1YJmRUsLovSTdDlMk/ERtC91b+pSJlRWbC0M3ip myAdT480NbT3k5sUmxOoKzaGqE3RNEJWLFG8ArI0ziQBcisF37aKp3HN1sLr2bma8UdnP7TjGn5/ D7Tmn51N3ghwN9NrCGo+V1Ll56+QbU7jXvEj6v5FiLvTE6ya5q7J7WkKgh2P9y/VME2aHmjiH9Cu lgELkwd5fQcmFJxEY6CfJPVOaUHt51b0J5SCFM+mJ1tD/bQIeig/NBWsjH3lvn+o0GPeSqQnDH5N p1ck3VFR6EobK1o8TxPzYfvpq4kPUXlZklNjmCJWM8nehq6CBREzaMBYpj9p7BuH6I+cVJMXhbsd EBTVgnQBXOlh/D/GCv24PfXsIosTzJ4O4XWWFIUOLOoLBX4hVLiHytnA9LYRdW8Ucw8UoSQewEMH SDf4/ZZKPPw2ffgZI8qH+ymOomJXDWn36tNzRSHDqyFB2ZunKHPuZkv39dWUY1fPK+uFZXpR5f8c fA2EhTTfy4O6GDgrsQqGXemysBPF1zxmMLUciIf1z+KcQcobn4uFlm7oAMu4IL7rIAasyPBs1bvN U5ujtIGKocXBFgG0Xx7x3yxeKjjNfiyZh/iap/GwfjVeYZtI3nhHr5L3EHuc2BqzskYg9wd7MMyX F3Kq0MHLZ1K5wWwc1gHpqyWnNVoeuK3j8Ztbto/20q9GorQMoRWBLCDEjrwsfDYfEu5v++EZTOf4 jGRKN1RxM9HbnrKEQ5kH3TlTg6QfjbOb1i0wlgNdZJijlsNBZWXg1/OwKYai0yeqRHWETvupOSKh Jq09Fp6eI0cAscwXw071oa0Jfj2eehspyRpviSWAeqFH5OIvJo3y66bec8HioDJbQIcuuS9ebkZW g5uQhKuiyRasRGLLSOG7frEem2OSlLw36kMipYxFddK5NAHZPZxQI0CycT8a9ipFGTKetfh4Tj9h eyeCFvXfmmr2rihHxCwUWHcYyU6l8+JLAlK2Zt0wYLtNE+PAeY0ljamCzkI2AJ3feWoYKVHrMBR3 Ymdcpz6YAOlY70FsbvK9jC/TtY4NJ2sC331mvko6fRPOidZu+4LZ+tlUcdaUXxhJDDrtWiJiSGIW Z3xkmzMS63lPI+XeoiAudotLMwRf/Wh5omM5WeNmdbm4mWAZMR9bpjOqUCLOmrshNgTegtnZJD0u RPQZiuLXbEZhzGkUGm2otqbi+uAX9ahR60v2VYBAIQoR/PGCmkhCh2bOGYyBH+TGRNQJfOCs+3ke SUaFFGYbbo38nSkEiqghneUXXufFPKhFSnKJvD4HPs77pmLZocEkKJ9guXo+gyEC2JMB0K/SY7Us 16OEBtPfBUOLV78iLqCQB/QwLLr27Li9GVoArmezyI6q63KKKoqwMuA7YyZtVqaJPaIaZVmZPjGy CPe3B6K9b2fZBQinXBAnYmukvTE/vj/7my0Dw5PedHVYzNaypv6aVdKwbLk9j79k+NXUNRv5o4Ao dLrY/YDFDv4BbX3QSI3t0oEYSEK5ccfTKEXchY8/M2ixW33pk99naw04Qa7/qNCUEfO8SRJwWGvm EYuMK5jmYOHsHt2K9VyDqu7hHHlyfcvF8g2yExqt0CRVJMtV0y4sajyRbQKZJlqc2xpcuBbjY3Tn o2j0u/KaKEHKGTYEvdHgcTgywdkBrwjKLmO9I1sPxX9HwB59vbRui8VOWc2XL9rf+q/iAnBoqGNC f6p7BZ3g6eUVv9Ga2D3dmzCz/4sys5ZrwfNVaKd6xRXnZkcc+4AnFMPUg4wCu2bpa/ORVK4sLMq6 RuKZDNzC27Jjc6a6Sox13Jw7LuiTCVHNY0rrVbNS85N71hEEERXIXWL7SesPj22iWPmCUTgusz0j yFw+Xav8nGCH8ajsRZlGpClOYD/LFeq0fqVRIDfgjV5roKs623bSoPcdDbwjlHJF40ZWe7jLTRn7 sxDOak01YP5kT0BPdmO866Xj+WPRmBtm0R+q8UvaGiYxtTREf4/qXM4PH5Ju7QW/7YAdAl61/fO9 fmHqDWJy96zs02tbCY9Y2AARAyyyNRPCK0O2g2gg08mbINoqzkIeeg04rARRZtPyhSs7yn0NsYLy Wko71Qvs67fHNRCHLbch6pn9KbN3haMDc414L+Gb7P6JZtWLd1Fr3MyCh+GV0zor8lz1Y5v9rSHE DPWZU7t9yOI0rtGANOb+hfmbHYjV9WJBfIo6EoI3sRjEebPg/A9yDgqVjETFRNFTE6PWWGUGuD+1 wMCbdP8aGNp0W9tsA/VqDomhwDbd2xY56P2lBbBtLwoCOWnPl1CDaJA7Y4wqOevQyNJIHSJHd2iC zSPqVoIcu18wDa+7lomGo5W8cYhzx1J5GP+h6bmMJE3C6TSIp5f/kd1AU9CB0v/yMoh/Ni4FQQrY D+N6dMML48sdhzcpy+Ox9B0gFMGIvcYyRBLASViJoH7i3y1hIWG5K6phTjXaMHqQ4Uo9uimtbO07 24nN9koH1jxSh4r+nhuiO2LU0rbDsWE+udmJC9DxvTynQXSF1qxYlMLNoZNgE9Rvzu9cbHbxpJR5 uqD0jTwph1OiyVa+EVxb/AXiy7p23xm3LNzUcbpk20gYY9xpRyib9zOTT9xf4taqIl/Hw8ZE+84b A1uAGbcbHdoquzZFDyjqL2GnTenFXVoXKydDCQJmmJePtfCLAX11MdjK1/sqollBvDue8hG3gCTU 56bmDnG5lNomWuNVxITVt3uNquyssRpo7FfYiyhoje8KzZ/PzKacA9/7Qcf3/0BYhJVzZg6EoPvY twoEOyOPuC7p9BkS+4VrBuOb+70aWdXV3+8cSRg/WiCknCIICe7H4X7YO8SKw1I1goFQhgRQcOCR dtN+tNlsentdPfV48QvPIoCOcc63U2uaW8VwB02hgD3AqHCLSvZwe/S5drQhYbSXIU4cn8o0iU8n iptKzzpHlp3DWT+k7fZrZW49Xl7sIMqhueJu//+VFspxjEwapYbCuP+9hFsyLpVzXEnqoH7mVrVV QTALcpgig67/ccmxfafNOsVq38cgRcp5o+TOtpPn3xA0bA+DByH2hnMj+eJzc3t6hjX+SMcxdE3O TRTCaLMl96viirmoR6sY6e8b/fkbJ4D3mjL1HPQga0N3uViCkNTwTAW7dLORyREt0gJ5up4eXJDx soKyUi3l+x10H03sx7p9jS/hzhmQc4VYvclPcW1+u61hOMHpfaIyuw1uYD+EUWD4YBKhU+oM8Owb HRlWxTX0SenMoRNDv3ihoWuj+OQN1SBqoXA5RMDgBKlM6rVymo04pVhq1PAH1y6EChiZWxy6CFNC IHgnXjxTEk+Gf9MTXLpza2ZnKVRXcW2FIkZhudXdfzEDplvmKIpUkg8D6S7eIZFsb42NfuvxYaaS ADr80aVk8YgPDIcSExQGT7EKgVst/v42nebxyZyC2tTAdWqWSsRhN3tRtLm6R4fPEhnOKMFalOZ1 PJ4lBm7MMtueb9P+h+2DyYchaggEah0zPAFvAExOkYTiRtsWnCfcnadftNrN2xeIL+3IKoUXuGDz gksFKmgi+1n9bngXdZz6gJmQc3VyD6ZHVbmdy++eZ7pI2z1XhRMH3tEVQSUtCVx9wNSB+h83LKk+ gcJ+gjCUh+7B1ibELQxQD2/WFq9zipABDYoWZKJ1P/hkKFM8DmrFzp0pV8cDQcQ1lJIMwp//CYkd QPq9AgjZdiqcoUQ4fKu+Np2HNpbl6jCft0iUVK3XLhq/1VjYEN2KRMC3E2mlhR2cY+9kaRwJkAhS d1ZDYxtBcBNswODPf344hEyqxu6jRYmgdO4bj0NwOPDDugRqNy/MlvuipFOloIE/ChLrBrJAykaO k1R4MpxjZkIgi735SQdjCCdMLchaDE21ZH85MdidUNPxqmvCFWBj9r/2arjo/YKSBeji8QJFrXJF 1ebCMwjqu9W925yRz1KGWKCh2Dox5P3V8fRgj9ohT+VaWVL/bn1QAYcISNIreN7d7E4qaKxd2p+r RO177QQSiSaIhKQTh7dxjI7hpDqzGwAoNdc3IvQrS9CsTw7QqdKkQYnYJzGUEXwuhZUNXa0DkOu9 hL6Wkag0Gdckh2TS6CF5c/HJkzh3n1lhzuziCd8zk1kweAZYrKzy25iSo45qK4//smGxVmIHiTcw OltPMFx1UxGZAIXdqsEHQhiBUFEoxZmf6se9MwGcnbOI5TaC2veucyRekb+JXuMkLlJ7QRGtSq3T yLN4/gkBElTvMbMpbOHuDBNnQ3hh8a+Q8pzzvc7+MBJ0E2QC2EeTYEJ6+0jZov9uH+zy7vq+7GNG HeKV3Xn0pQS/1v+fl6RBCmj6lZTJ1FFcl0rCmeSKuikyQMo3DbX5IpgA8HFjhtZpI+J2T2CD5M/o i1CLebxrM7warq1zNbinsk9R2f5Ooyb4kGeHwyLlX1agPugwqxPTMcuhcA2bag1FjLdfT9sIvJgC 1jvBOayeZcEDGL98XIL1ilrRNUym4p+1EYFsnYe5cTi28FmISQ+dfl5YPfExaNRGW9TJ9pn023yI eKYYRXgFp0JjDJJm2HXlLdf7zfqcbfJMl2b3X03JNZIANNwKRdpIJoAHAGu77uH9Rnh7+vxmec86 DS2wMdjypfuAUisHjZDJp8VK4WHRkSmOW5ejTL17/pIF1EvD1ggFr9RJqV5fMqgh+RTyS9SJk3uq EWrGKr6M1sdAPS5CkiNxXkkj/vi8ICvPzbsb39h9yVUGxpmo47Njvk72u22NgTE0/AhjOawOKmIV AnUo4q3yjX/C1qmyOY++KDK6fCubcpHAG59n4P1VQ77TLAS1zXntUUhPSxNaR8Kn/zSR8O69Q83D y/l4xx2fsW1mkVxmdn1p46RBFcnSAZMwbMJ289bEN8dQyXu1Gjg2ssELk2vA/5KYml0GjNBldv+F PBOm2I+mn41NzTliSZQ91A1rEgb2okhQtf9AMzd6x4BJ+P3wNiJ6oEJMbFkTQxFW/U6SMFLpKXtc zN5Qt/xQaR3mUoLWy1EXrCiWrI6O8MnJoWo5oOibCxw9m0tb4GD/5vCV4Z8uIdayXEkANgEQNGrA oLxoEexTiLkUbbsqREo7siK71kYI1/C1/bsS8EujlASDtxcdsPh3huH9wqFv1N3KX3RzrYYuw7GJ DXw0AiRHkZjls9rQTWMiwhQpWhfhDz9l/nTmrO7D2ihmb0UZsjS+r0+01yqMYww1wEkIp6d/N/q8 WII0hU4zhVKOCbSXUzVNgY2a2xiCtiokGjsT+OuWDoQCwMIrsEVzDyJ+oWkEmU+0WMzsexaArOYX f/n7k/Pi5jXodQZBNmw7v5t0U23o0pF7n9kqDxf0QMNgvL571FMJ5bVnj2aM8QkVVeylkFXzWaxd 5W2pAqk30wxdf7jg0E1NEultlfuq2v3CbU09fkSDbGpsr5Z0FYXNeSgC8P5IOqr1UIDSQtwXvdvE LH9xlq2GcycVoYE7pwt3W6op2TaSYhdwVyvJl7KBG/yPN4Ey4wDhZaRGt05MypOEDFr2fqlyQK9E yIZVFRi2PXjcFMD+PmgSsZmRJNuKFjvgkpJxMseUiQ8teWJHss34YH36vrraqn9q4dxmKLqoXldN L+iU9Too3Wvu8afGzCphHe9X3ITwYd1N9CmsXxM/uDbGTz6m6K8HWul3fDw1hlrUxD4gG2ptyXW5 +q+Jw30fMim0h/vArIeRVXNxz1BWwixIp0R3Fy60JbFsn812CYy/H+UxLaW0ZSf7C3FqI3mbwwS2 VgdaTrSUTNjRotqIa9RCljcP3N7JQCLYXBudxLoRXs+HM7+l3cMcCFALNYrEV/I+5CncB7CqbI2A AGT3BqZxlw7sMwAYZm7MJV0PLhkcUHatQnLuo3HqFy+S8M7zeTxAsdZSqhloQnLg1dFE9JEHYt+x Xsu923o9k073kzPhdF80bxPy0+aFoiqXwK18Tc7LHCuxgYtVyl6KLCMrc5C9zXNWTDvO7iBA8Eia jRc0/ifvqSHBsITgEqlxyExEZ5V7YNxCnD6NjHiurWwK2uLhyOeFq5WyWsEInj0RPyB5C///V1vn 0sxJ44NqKY/1TwIMMnIBVshLW4ptDZ5LtD5eOJzllAbKkeMxw2ziPYMvrhbsJ9CuLNcVCA/UoLnK 9QuQFSe7/JsBZbT2lcv23M3oro0gnizDy8TaiST3QviJqbdmuS1wOOcd52panQTy10UaBs11r0Gd 6hocznPCldkU+GlWyWmrhLOaihfigh3dFgbLxRCxbLh0FrBAfQtIeGFwAg/brIWCLkGDszFfpxxb sGOHaVtQOHQ4tXXZ7++n2NPXLkkQ0ZACbmcwwtSymTgjtGw4gbKqybNceAOlvTxmVlvwiEXENxmn +oqeJ23aQYDbVsgDdjzEoIfSTONR4/XRsjQm6LIA67i3DWdFwNTxe9ULh4QuHbxHnMRH7WlQkvjF 8+fvIJ0ZvS+ZVzeZQeHjBpLWZ0I8Tq6vvX/qj3GrR0VeJdhet3cQOZpwA7CCcyu3IgjyM3NShVQk 1NJIfsd5lF8fbNne1XpdcL1ZNo6nOdeVGp8ykjN1EG5GuX8rRuOHy9tn/1GrrS607rnlBjsY93yZ kysuE4gsszxnXq6Lz9DC4wR6hvqTVC7rhCrs3EzXKt5iiWdm4zhncWyNcfqhIC/XGrp9zcdmCkBA Q3FxqTPDuwr2GG4OITXPd1xsYF0Lff8JYI89SgPvPnQ9TpMdDUSXavGRxcFmkLQdn1BJwe2bJpBl q3taUkKk1FHEulyPwZqq9KklFFi1OWaIlPuy69v0rDzizbTGnCudpHDj+niRetxSAyYu84dlR6Iv 4Z65/+oRfbviXl73M8Lx4XXqZF80OTy4xFkGt1JPmoUW+Hv8HjqSWR1bIRzEI/ZCJqgY6KA5LOGD zOsEUHdUhPl0iGUEDzNUWcQSG76JHlOZwPK1/i8y7Ju5w+OHjOfz26p2cd4iBTx9hj/m/au+rMFK DU1Sov6L7z2BuOzowdhAUKH1BMynLWWmrIxQ1/N8iQY/y2KRQfn7VKlgZRSKhx9CY0p+R2V1xSK0 T/+stfG5m8SONrMa8Nd/fcuniXEkJ+37MoW6rE3FPnDTdPb4/aDqgKLbhJ3o4duSczuJxGCufMtR m8lIRDLkxfoC3BLv4Gdb/8kUOhaKdekQYaalN564rFRPVAIhJbDe8k8najWTFfdof+cf7aNxLAOf C6gGBN7+Xt+ZLkznucwDNXVUC/8rBT7u7NKrQTELSl3oOd+y+Se7Y0VLGGW6K9KlbY6PQnUqYEBl 8bgEBJkYjcjwuagkhU7sjZzgl0JOzSeL8DwZumApOYklnoQ9S5iwoQbAf2S8ISue2EQssVHjzm+8 QTTwbRjQQl0ifKcHpj6QfU4h52v0kMP1hRCQEyL1Z8BKbrI+HtTm8f5Gmsx0A0ICvqV3uQe0RZBG vGJiCcVyf//Lm997qhdtaqEZnxRsKEmThCZ7RLwI+fMSd+IfSnUJDhhKDjIQKDweNVzhmBE5M1/K 3111pgq4vpZwInDzCiVcwD1XJ2oR97Z036G//CcMITwZtjnBqmAD7NzlaSRAZvfFVTeBB1Dyp1Zg pywMKpUT7aa46Pp67KCQ7t1qtgph9K9+bu42JRw2w/GnD3kelBUoCaNUfIDaRXIcCG9C0hMhwol6 t4P1bwdQNQ7dPZ7A75HVpJiPyTEDAEaPhd/RlH5DBxaaLfbA5WxipEiVfCvy+xijnHR1WNFTL98M eIQATOfz27vAj3sgQ/d/BJcZAN2mWpic6r7vn4p0D5/4AKLqbshQOrdbrSmxBklWrT7zlHXidQBo +Y+PGxJWnTL88vT62SP9fSvynrDdx8GJAqKeM0vGlnaG3/QqNETxlclMFA95nkk2d8FutSjyD50I zOw9gHNJ3ZLXHPymUBDUyaBcy8YGPgtApXWyYIRukX4Z3aXqQZa5E25A49rNi+rpe8Cyf5c1NRZx /q3jChBPqV8gDGbq3orT+t1ytbTKjr1iK2nW3auLD0kPBmtxP1Em9+q64/hRIEPz3SguCreXQ4i9 l1isPG81ziPoCNyUe/khRCuLh2DIjCK9J7jOriQw5Jsl1sSAsNhh2dAjxegEkDvdxPUlJ4pcB/Rb +rexszUICPX2O7I1g9+BJ4wwZlaTUoJu89WNEDQtq5RwEF/vlT/IPwLHFWp4BjFKH87889ZD1ufE 2UV8NHbhKQf9CKIgF2PBCrMvcZ+00F2cJhSNdAYIenpZbroUHWFqPXvcxLrwfeOsiaIq4ZcuQrdr U2a4OlmRPNsxDO0G7rebyuI62CIXVU50oCwlmrGbT9xTLkusL8T14MOJt/2abwNjqb/AgN32VGR6 SDeSTEqxpzFEWo+/AqET7qh6eHwc8IO2e5aSk6Ii08hx47nJa3Vuybs8R8LsybHdJP+2uRQE7ZiA hgzHhAnlgYm10aZzo5nhbBH7EInY8lg4VzPCmFn732RkVFS7kHaagr6/sd01qpKxtFH3O8Sj0jbF pvCSQ7hKZ6r500pM8QSy0BDzwHT8mnCy58mKECuwhKP7CRScWJr4D6vKo09L94fD77Juzeyo4GTJ 5jukZRsPc602aC7+3Yd7FZPWk6dmNb/b57MhQPbDj/jrBorEL1hwbTWWIczEl6WowkxdHqnSYnAf e1NUeH+ImmGBwy+Y2kTiuDC/NQ9uT5GakW4M41dQvApIMfOsr7/XwWO8DP2cTmOyh3/Wsj2TKW8y vxAcd7bD88LC7ut1cnGbYmsvxDlqmSB92EEbhf9MxlE2YUaj48KrEc9EFMF1egFeded2UDKKzu8R o2AJB0niyFR23VMfFGFvmOIcR04XyASo8RSvcRGVgLadC8NIu5DJBLvnDt/5Epm+oZGeo0Isb14j hPCUS0HJCnu/PLVtrhMn65sLGTxnjzHGscMKQ9+f+ipVUIgEtD/umzVT+uv0aWmPBdRp4E76SiHL DuWwU9zFT06Aa+pcUt+IjeKpr+0idxV3fBozVKFk/Xe7PrBArVv1g9KqoLjRKZeifoufyVmEYSuX EGxSUgca58fgM40MqSasQvN3pIw6FN4iWjLl+psSrsM2OxK1M33eZJMLhCPtNM0DT16vu1r59afK RCYbROcspBKLSKmTFbd/7V5fZXuydulMM2lfiqaOzm5FjsGpxfcJGcgL76MK/KKwApd/t1nGIzED y4VC3YcUhBjQ3ua/tKkTfO1/BaUjFv7CMbxHGg5DBNYjq2GkfS1CFDqO/4q2Fqy/SrJPZPz0zsa8 2yeE5lJo7+CFFwBFAqt9i58fb0igOj9NWjiBnfiffiqV9OBWNmflwrMrswm2yq6sHhizepwPVQYR bi/YScIv6Z1xjFtwI+UbpOazXOy8InLo8ETH4QC40xi1PfyCfvFW/uC4/2Nzk7r5XbEv2W+FulOj 8CxhufVYnuPMT7BFaMMU49zUd70o9o/kEz5JR1GNlvqqTM+hQl8eZ664NTJuM0dXyfeM0SuOpr+i kOXaUpG/U2JKodN1FOEVmYaPYltj1NPiXMu/8KjNAY6WzCGdBo6KUnLH2B5SqtJmI4rk1S7gBZ6E aVt4QUv9VyLojqBsexLt5QzLCShF8zfJu0Iza93KbFCPujk4hWIdtxh8MqPx2FmC0YfAODBHbH8b LpnsRgFMCCU/bgIOpP+cakz46bo4LxvJdz29fHHCM2WcksX6FOeY6bFzLMUpNL7ZKoV9HlX21eJV tPetndYpJtsHL1kX9jrY6ZiY24cFOHxGLoYsZi0ybssolNgphoGYuZlj50wL+sEutyL17BdMMDjh QF9pKKKn2/NKuPC0+fmn1f3uyCFziFkJfKQKqPEEge5DaAOiFkoavvDcpkvdO4GHUJLNbajejOJn UkbSr4z4JD5O9mkqKB7cCEG36p7nDJZJAS5boNQVB3FttAsqHNWG/aVXzacHo8csXPlk+EIxi9uk vIui96YhQxZRmoJP5MD2gI80KiJbR1KOiAaUxxGykfjKbG11tJrvnwxOZCtOKstSfDA1NNI6n2hG 5F4csMFIvN/R1LhbICXflMlfD8qYg+2S7u4L4SpNTZULg+cIbXpguixswS8F2C0nAB5Pgd5X/m/b nwO0el8uEgBR8vmQUUU3CNU4Ik9CITeaOVGH9GDZIjMM+6oc9js0iBdeCHG7GBV1f/m2t7rQfN9N Rnbp5hC3/jjbR7MMkqL40RKB1dyAA/ZH0nRNKalLY56SiN+s2u8dekpOyud1DN/6L3Ztg5o5XFer lsdtcAJsu0bUSe9Ong/Dz64oWLfLZaIXVl/sq6XLRFHmV1W8vZbIqsgItvHTw2GFyIYH5iGKyOmR U8Hq0RHqKfU+Ted+jiE9V/g4v5LhAKp0Hr9vN8ADgeiS0uKMVt7F9389X9tuj8Q5ofgvXR+Boc+R nV4LNbBKTlU/I6ezMv5hkyKl2A1xtbmItM7eHW1kqbBj2oRhzOnKkYhsiRFBs9b/RfhZlg92kRPt 3DKmEAg0w2+LiRN+C9LyWYvOoifq8zSp+gy10v5tXgdT7fEIigaSchwN4+0tbu1L10liOmhfSR8s XRFaxEhgIFF2/VON0fTwa6eVguckBQzlNe8ftLXLWw2kJ3i++vZolgbz55S2ngDxFu9c/E9wCvEK wpspCPnzuYeCF3WHwRB/wgvkOUxQHS56gYnaSTKez58ewcWiHg7oATSr+fppR7TzeKjWF4l3C9RI qsfe3TY5pVGnvtX2d/B932dvht5So8p44iXUwrsu804PuX1l23gXPyL9HZnxhoTySEOLXonOkysL 5JZ759b9fpDJ3KacOIoqdV4gcyZwt1ENFTyL+piJNRjyfSDPHvBoId6greNFq2/X0hSP9OmP3TD5 TUFwuvqsrvsQ+gzvnCVAyxgwUidGlXdO/aCKpaoZkrPF9g7jswKsSnPQkfp+QtpTpURK1Tp0G57i mHHEKwSYn2IL69yZojyovgmZDTYr7NeK9/N0DwQtpNOA+7vGTQgW4AhsEa8CqItBYigJq86P4bfL qWbv/37uBVFGbmYhwvaCswzeK/G6OuhLxGjy/p4E/b7/7nVdz3eD2AVV01c249BAtwD1VktFWXil BTxGCga4epgzyTfk7Z2xGMuiBx4YerMCojSpJvJgWtxXheRtU1dxKDfuTl27GNVN85dwbH7fvc3r k78UO+XXNsSIEsICapbAGeTJExUcB5yezTN3nUWnuiT7Y2t0piimp//xnHXtMeK0BuiG+hNW5PlI Kgptqp+pxbNyh1ky98atHfNmX4oKQQWVoIrSHLAOWTAUNmOdFB7vI1T1zcmHZ3KEXkUpgwgRXT5O 6Aj1hHlmtzuMY4/qV0BwswFFVuBYhxPo9xcaAGfgu7OnPjMYojdE31xPaIVsscIOl1ZT9EQxLRu4 O3Ce/zOflNAcpsfjRbFTodd1ekMIdjfRcm8snSJGRwzx0HYHdK9dCUlUwMW4m0iBvmtKq9xsx6ot JmnDHmo1yPfAulEBKkq9ClXTdiR/+8VuV1Le8ftr95HlKd4h4kIUl15UjTSLqCvy8jrZwY1PNcDF gkr7GXG6iyO62VjvLSbzyn6RngIpKvXDdZCqFRdTqBAgxE59LSWM1yYAje9HWrCQZcZRnrKc5PJk WXNU6lwnCkaz8waaXCfNQ+WCnjxxLJTjRtxa7LW5gyqsG27z9JWDJFOK1q8Mc1hdYwZyjkMDh1++ xoirioX6J8RWUvlVAA6b2+IX1PzOmekRe6m5rR6fD9UzxsoiQ7ki0pzyee2CSR4+CJNNnRP+X28f UijcOYQCHCN+AXNdR1inYXWzmpal4rGxfwd579MFcF4HDYrugZPNxy0SgFnVQjeZnFe6xBTJGkSb Izh5Zyd1IZPPy5TtqQ+FKcRM/dhQ4IsOdYoB2dEtz4yPj/gGOv8PrSTGNJsKzAfArCo6aYfeydKi KmZLC4Wfm9WwCWihzUKx0K3p3ICWekIT2X84s9d1lUVrceBC+/WQPwz31SOxBss97Biz053ruhW3 4GXH1I9OY6k8gQuLHSWaspIwzjBll+VjsB17KdEMySx77773nyZ4oZobegHCt2Dp74ajeQShNCgX X12DJtonkL6wKo8JluMJzbQ8bPQGVZJPj2+NWegNVyb2MVPn31O0m7A9/1r0ECiQ0a5QRWn+at8I 8pwiwpS6n8P38byEX1ZTYDAsp0a9t1cjWk+D8tNnEWWFO5ZS1PhTKf5pnzSQTp6E+qp9XmNTOJ1X VF0cho+fU1RkUSJpUGKaPePb7kFCNIMkepxiRnhPGFZehXn5NCVpAjQ3lwxIy0KkDFv18Of567+3 dPka9/khv0U3AL4OgwawrYI/LLEPgsaySvRGdVDcrXLQnaBO5nciR1PQrOtP9Rw8NdqK1qYlVU7B r8eUAHQUoG3FlnTDf6BSDr+e/ijSuV6gA3Q4SfPZcxhYqDXg8f5Vv2QMbIl7uVv2CV8VO6zmWbz+ YDLHeSIFfVmaPw+mNHgifq1WRQVAF1lm4Izee9i7wtMydZ81EXa5woVi9ZVz6MQx1vry0DsHUVEp kuEeTrvQHxhd7Eho/ijHPhqyl3TzshOda2DevBQs5WnfCBv6nlscLSZl87CAMDTd+OTd8GiGlxQF 7MeRqoUGLPxfQU/9n1CSNXU9XZV3Z+IYrdFFqAz3HNmPcB6DYfOlarpKc+WtHtQwgC7vKNq3h4A0 vK0Q0M21n1qarBjCIuYqgADACpB3GGxPor5Jt0fSrcZrzGoqhNqI+X7umgWaQLE8bAA4Fr24weeh UNCVtKGmTRc5uq6imDtn5rJSqEtgvGxsJP5MjAdnvioziATCZ6f0pbS9q/3SbyZk90yeKK9A4Yt/ 0ggUbAgCtJ9GdZ+uK3kZ5NsufwpUd6i8X5NrMnBz/XFCoA0o9PJ+a+3bR0gqpV7PZ2AxB9w+YneN pwh/vfnHhe3X1PQ/SebtmRL2/CiIjnTq+53jnDVDqVcYPF0NfFw2ugdgYDTks0JW35UUA5rocGkJ M+r7AkL9O4c2o875m6+UGBzHYr2RvBCHhPFH8TLrMTLlBlNDbxNLnYerG5hCiMojaG0ZwayhvpGF NVRmeOU9DY2DqDKObqmXdem3fe82Raqg0n+gWUCyX9xQW611zdw8zu+SbnBbliB1ohMYaXUz1bpp BV53BCNS76LDMKGG/FMZNZIfDueRb+cBWvumjDlZrf0oibqlq61WT1iH/8gWm0+Fbcv5heYIY7Ap F+sin+yQEn6Nb3FzSksaAfKb+7VxCEOdeuI811Rbz7G3VZvQNn5UoRAItnTqTDSWPcDMalsR4PbC dqEqxdBf5I7nWmj6K2u5Cscbh6rxOtlrX9FhIVaieTnJLFTbu9hKFxPSY8VaezPtP0tOUvdZ8nUS 8SIjJzhTh/IxIwYddISvDNE4ryA1M8OQNgt+CbFufxWut0VlXFo7niYJU5owC2LwuU1dsrhDOj2+ koz2/9bCoL3+QlRaoY42reBeNfmn64/CVTsZ0QjivGirxoiLmMKNBchq1L5axYUj2upkk93yUy6U ypnCs8KYGU8gpyiGUblLpZnVjELgx7ECV8DZ/vx6h6qS+VhcvYs4StB2e7CcIsnU4rvkApacZHEF ttFqeIeCNuG5Jol/N+Mbv2RhCQbCH00i+jWcoi+YuOQuZVuPbIQ6I/90TktMGnRtyGxDJXEkqaHf YcIrAewq7uqdMjrKJXGImKo7f7axWrS5uVCTDlxVtgJPCAqIhHQJz/axf4teJTCLBu0+iOtIQVMN EQTVXVmfnWFzGO4IZsfVysK0HqavhjDE7BvvTGSZaoNMkSKUYKUAUDnxQbNlTm5Jf6OuPCuIrBiF AcLZh3B86gQCyRebm2MaereNnK4d1QptTfq5BLDGtKJE38KCZyGCpS2qsTtu+GVshdaG29c+pEGg i8c4wYbkRR6cSM5KXQRYU+cerOa/EB53+9uOaAR27AA020F3QiYh3rNxXz99Bz8QldinhS87ZZQ0 7uZ2d6P3aaLhKtacXnP0pxk8E0tVqz5TTodrdGytmfL0RynO253m4nb2J/kp6LUx9D6B2kzZCnXf ytPxex3Moyri4xETJzBW9Y39dV7PEAtRdHhjtAq7gqouXF305gUcCgk+cBHCoG/xXxBD/vn9ADmU 28sVAJHumjCpzlZlGeQIKkrHhR0Vmxg/T2V+13eNIQlSwxIhlSgRtC7Q9R7+f8kTWs2NhuakssY4 ttntanRmPJ0GbmvSU+FL/LkYcD+AxpRyf69+9gVqqLZQhccUZbUNi9luXmkWs/oJBNxrd6HRkqRI /cVzaXn3nLvnSw2bd9MYazt6tPCFW6uBzcU6HrAgo3zkdO7gqUgT5TTZzdmJ6GcFvhyqx3rFxpVe ctqIE5nAFcAgiMW8Xf8cJEPj4Jf5qeAl0JNhlogIf2DnLJMTzoC7blYSeCl4Lpq10QKIPMsthjrI L68ksgc6tVWtNS1KdOG5uB0Viz1hWdxb7tBV+1kIFbhSvX0vDM+9/K76ZGlmvkBacwbdbR4Wok9B Icgm/HsBuzDVFNpD4+wPyVPX5E2bORQTcJp6ucxt9h+U0/2CBZhyPswfk1Hfnh8CYkY+W8jSzISS 9Wuadj5K4ByXOGTuh70wdPP14RmIGqpXXAJB4V318S+cBw5z8C1WeFvaZHzMce/KwJWyCassLQP+ 4NYxLjoZOZ0QkN/52COZtJwAqdQMx8qiS5Zk/ZDqlOwn867KZk+R8Fl+HG4NpO8erJSxskMC+4r0 Ufro/fJNFpH7SopAZJE1l3+QibsseiLNIu3qMP3lFQ+MOwGXosSsy3Mvrb+YOJa15/+vXIEzJy4G mn6edaTYlfFc0VmVQqdMcijLW3ehLGQYujUGykchwxLdIoL20mgwOa3KNRrMIarpi0b0TH7XLdVM NC3igQ/Smw+hBTdg/IFlEY0LLIJQqU2p/Sr+hZNSCgPcva4oPN7hX7ABOB+meruxPyE+SDc7nHDR 4xuXcU6PsGT0wZg4d0UJswlG1LT3kTGSl8H7aYVDrFuQQxtKZb3tpGpuHSMgGxNxdp7sq4lyOmkQ MRJlx4S2su56/PljegdIbtTqDyYtsF/9DBKJqsuqM2bdIfYdekskW/br3eSeQ1J/7BJdZThIDB2V spCeYmKKc5/BTPKsph1a72e9UHctJcCOlJQF6t2iZ5jVGgIVdWrei47UQ8MWUQU2m99IL0mPUDGq SdjA8oZ57xSCzshoBEIqQInICpFCZpA3tHUcvPuroBnogjsIlW/qwZrv7B1QunRbHrylNifOYsCX 1b8Nli5b1jMJbmh2jZYg8RFGNBRghRjmvNkupXMiz8Ldo4omuAk4Tg1EYharTeKbNf/FTvdd12K/ e0pknl3hvR1lilycKALa0FbvCUCMtGZRV77apyO4AGURxIUMehb62e4uE0lw6yM9D/n0c9/5I37R reIWO2X7C9n9hr8IHS2vUUD37eZyMwgMNsiPq6zeuoPyI+DMij3v8WwbcnFtGo8kIewhJmheNkZD iYIJnSbwJl/FxDhZaAfQEuxWAVWdn120P5t2vPjVi3dXV3JSu2GVdtDv/i1K5AMtQa0Rz5653lrV S+mnq88Qw1QtkG4JSyWR9VcJIV7yWdhG6I2Mwige7X+EeKerCKKLnsU1+4xhY9n+dgMqX4ISr3Eq LhpvLk3CFmNC9/EdMmA8ZGMKQliq6UrJZwmLH0GnirpKo3b4p/vd3lcaDv/V91FU/RMGT1/HVK+H tOZ18XA2RzMc3slUlWw6VTQr0kaFS0aTegpmpW1LhPzk4xPTC5w6AHXJqIeO0gT4A2txZ2xod+Oi 9/91lKuovzL3h/Yg47J1PYIMUV64bT2ezJmqRyD7fv4HwMZ6mH4jmLAUb67YQwra5O5OTY+1Ma7f MURwtxFhcLWdockQbYk0CVh5CKUhQI9B9H8pMuLYQZzcDGrERrNolXOf5lTvCcEvM8UHILQO3plD K4Ia+ky6KDDRJiKsccBLLgrp/0StbUuhXQ3G8qMgle4WX38YqjtUVg1aLKl5qtPUrXvfR1wbT9lL ek13JERVWKKapUAquLFLRR4QzCFN8XF+DgBVFPyIusseGSnC2urHmv6OWTJthjiqR5jff3Pj49yk F+PyDDsb+mRmKy/sopuQwVir3M3kEz3FWMY/7TL+DZHKwLNc6Bcr/eTvkyKSiQQWc4jbHbeCz0ZJ My/v/5rC0YXRqp4oeZfy26HenYHxlKcEiLiw6V2oVpeZ/lRfHMq5ENTS+3Vf5Uvi9tCl+BXrLuh1 YOynzpmYiYCfDO61PsATmEEuy1YXSiqCqtABdx2TSDmulxuPnq7OW/+PmoLLxRpU59yXbdlnsJ2C Ci2HGLDltT1XhnOfien3seMUH1xr53BTbHMD5LtQmFt4MEyKpXQT36dKXUMIr4W3fNbuGejBZnOe OVZU4tHRUTb0bwy2DAbpPYuGj8n7mroZEzVritDXXqf/cb+gfZqQETRZgX1dyZzV9DZiy5DO3+IR HR+a5/akq2rXTeusXzfsbhwN7GPPrOr7lyfge2J1P1UB+POHYtASVAHb2QGiE7+ZMwBk87k2D1Fs CQzEWZSnntQhIBH/9AZWDARZJOEcB28Tpt9PoxfkmfKcRnX8Hap0Nal59slfKCZwniP1qGpkqK0B oayzoVj8APKnC4QK6cukKtxdY+lrUO1s3/ZbMFwQa46N1S6vNeEYUrh1nVoGFuLg3tvWNo5oz4BP aKaJ+g81IwTG6Ds3YaRumfGREEY3bYOw5JIArHrA3z76s9+T8BriSgPNDrhgXSe9jmJx1BlrX0cz nsQc3APvUQAUvOjeQ3WxAznCxWyrgs5h2ROtQvOrzi3A6qq6nKJ0pc5ZR7cPYikGFWd+fvJlarT0 T3Ki9Ko33WFgGThe7rw9JXz3aKqLJAkpLKlLPO6O1SOzjw4MLSh3xIu00LIIYJbasOAY3Pi5eKYw 9Z7vmdlioCYsmLcRpBCRUDX+fy8xW5N/TZC/xsKWECXr1ebY83s7CmepusZelZTejpQXLKnk3p1T 5avoxn0hNTgBxsU/1/P08KvtrRMszqN5ZqKvrLw5JHbntbv9FKANH2QlcjKA8ik/EtbaKLFZiwwo eznt22o6MVNxem9cUmMtykhQEHpgxHhoOZ8a/p/SQ+xLApqqyd0hms19193gbOArxrmBVaOX3aow u/cD5ZF8FSiFA4/VP6+4iY/fGcD7II6xCG+HQOz5BOgBPE/HLe9xRBX70rWQQOe+Qztg+vq3INlx PMbWdr9RlkGe3ev/blACOhFMGvClPkqRR87/5KD3JoW9N94r2ZgbazbXYQjgFluIJeneUDWE6eWK sLU2jRnyHQA4cBjph7r0SY93Q+3XCvQCaK9Ea3qVYrjEqnmJvWqgs22Ny3W5eITj162kHYIG/TI4 dJBbLS3TBj2HGGKkkp/4srkWuZz+WBfCa2PLesv37F2aErYFv/ncd/wEibF37e5t7VOdGCRsivW0 zKkAyRed8Zru3mBfdlQBbYPShIj4/zXavrOrd5MSKHiJqKDGNJuZrKYgU5KtZG3QYk3sj06DpCLH wvQL2HkM0bIemu2lLc6LeHUCi0cuEgPKxirlYqiWDGPGfZamYg/5o5XWhItdPZdq+dveqvQzq/5O AejXmPi7uXw8sZrrlGT0c+kJ8MKkeMze+w05QuWq+1adX+Bt4H86ubWkNG+c6twjR0AgyAmNTwef EI4rIZiX25QrjAwEt00Qz8vTIsMGVH0cMBTagHnDG++AZGQp0Y0RTudJjeIwxPMBS6m3rbVrTfGx dx9dKkgzHsMWQc0JU3XVRaOs7pD69OLWXvALrd6QdvGEDwpMlPi5IRrn7BflUP6TOM/CjWNwFMna m6OY9+AGVbQvFvd/kTsq0fvbob0bYtVcgnZ2QjsBB+ezD6kkiIDMVtKFUaHJhhrlPFI1OGsZ3bAH YoN5iZ/3a6oozRqMc0u2rLwKKkaBf+XRB+jc/38NfvQYzBSqDwakJ9vw6SvX0hWuGssBH62xV0l4 0JUXXC8IcXAfDIOpqEkeAZDodqYxBDILcA63OIlej3VHhXAcu/daPdEROBieCw2zewqeP4L8zI62 BU2Fj2AlixjZ4CpQmPvAgT78TUtwnw9zGAPreGGJBfTDTaWxkuYFFRmM4AHkt1f+8auMTHNSaqJz vlevd6WYpSsOwWuYuP7DROshSMGW78uAu2owzth8o82sp1D2pXabJ/BBXaGbRagsuBOel+Ra9mzj pVF8IJxpnvEW9U7WmHR3GDrXYLJ5Dywy48SkrTMkRbLVG+y2j4xD0EiOXtawqgbW2wKAhoXcZp8d DAvuV2ypJkBOE10U7K58aYFQ8ppy/mfodBUsFe8kuW2PxqnE8abAUyfLgZ/7Jubq8TXGzOJCmhYC kEWgktDfxVBjpYnKY7xuMzFPHR5drnntmxRXMoww00hXAgGQ1PH84F2s+sAZ2ZzgX3kZSz7L2/F5 Tm+tqSDasf0sxlNqUajqHYATRWOQClrtEnQNIpBvGWnU9HZTWbmn6TOyrXjTnQgO/PkIDX3ssMKX VzJGPkcro++PNB7wehQvXjedu5Vhnvzr8cq4DNrD4CmqvW0dn1dzxhljMQLq8wANfaMACt8smAUi NTmAWZ/PLyJvkJB9i4sxiuj3W/sShLkhxa+ow7bWMVVUGP8uCsz2jS5tRvbnvUrZ+7HXf0su95xn HAXm+ibelz4h7juUjlApctHqDnP8fSTyZdbtFjiEVaQbBvKugwohzOItGKeayiWqGTzNw/DvRQgI 8+01jz7Ox2SDa1AObL1rK9M6vqs9ebJgCtHTfROJ+R5l/ANJGyRXk4ZS5cpZWQhAR9l2Nv2MygwT N4SQGGFo0clRXwOwbFSvB+jEglXGh7Rh0ut6AnY+gbzoR6PZqR6HAz+iHXKP/6Kd+YlSgcAd7+YA 00JvtW4nbXqMCgxVvTw8EGt7dXZkx7SVSvf8KF+NXZ+Cbp5RBFFPGMseemuvGj64aYF8XNwII/kv EZCiQ+Z91jhbNdPpgHxTqsnAoyr9NgOSSbhMM9vn7FMLCr6dUqrhVsBKZShIoD7IJqpw+s2bOYl7 72fbf3KM4CG0+S5/rIGFKO5Ngk9DKLII/obH4g0/osiQJITPpEpb/CE8vuE/FX+SLO5i0k+gPUPw 3uBYKMIw50u61wHaQeP6DE5vmi1L/JEyiWgO9g03kSrxShJHIbsMKkzINr58MKl71Km/TRQY0gX7 GJ3JUvbf5u1fYs1xLpcfDIELx5nFHhk7vQEz5hdATz/4bpAeAkC6srpJEcXOSLY+kmaI5uhJl17U EWwlDF/ZbiaguYySYJkSTjsArjlQqc5py0JTd4hQDWrhnkFlVk6h3JTiK11EY2FEVIlKm+t9USwA fHZEo5GSNFACIz3yER1JkFX7pRlOQBfHIb6OOpoLoxoUqSXdqLarMrzKcuvEtRpivMQEMCcFTOd0 kc9PX/5FQcHMZPw0ZaAwhFO3VQtMb1/5CWImxDcWcogQF36NQOTF6pWGhAZNpzflmG5HID6IlzX4 li/9lU3FETryNp1oCjVNoN5WXL4WrGLUiEkWrJv/dTj73AYrDRtRALaaCZGWKohy8euKDR72UxAY 0L4nLDykHhL4VS9LPXHCkwjPNTpZRKdG/0eQy7dj4X/XLv30zibm0J9jG3gMnkWGn5WQpOoQOpvV GOFdkeI5Fazka/LrLSrzHaF04qsuFkdXGPeKa4w4p73/FDb6DtEueWRDQ4m8+rVZlKcLiZvWksJf zXTCh6Qn4yB3DezF7MtI35CAlZWbEPjAHvl9AhiF3nhEoMzgzz8c/xBIbXLinefbQoGOnL/6BNoC sRfEkz1aBatqQa3PENZ/cAAcfxJalHBWHlwpXOShJ4epDu+lC/g4QVttQDogk+W3qT3t8sh715iI 627f2TYcxdm/jU5aZ9P8AGT/uqghAxbpjHnywgjZFWteiHCgaAtn3xXF+uzKModiHISbbg5WAkJu 9oA5AfIFUskNOi9L2M8JHJPbYl0zs8qof+ormUV48lx9BldJrUvdFBC6IBBWNu+o99sA/8lfgAvC hZ2UutHN+6NvtWMKvZ8G2V+HmWW9j5hzZFiy9qWZKmTf64KZcx9m5YI8WwLijFfdl0MoqonsqT3J auxocEzn2yj+jvAHR+kOyxZycx1SKPuPeexizCDU5KVa4yQihs4DPp+lz/km0yR1/5gtPtKMAKeA kH1kH1hIdLm1UBlRXof48Fy3jih2o0LPkzUMsiKPIbRodz8OhTx3vLEVAPGSXNSRNU1Vn7tGo5Vf QQOkg+cLvxiE+v0Ji+73eKOFyRBvvER0BKECPNun67u4lhB4u8ZSH0sVtDtPcXdRmfEf6rYnN5jR RjMb6Sn4MQ9YQB5F+cVO0jRjnzbe3rCsQE8iDHNArNTn/SB9Kt3yiMHuHkFSfIa9d9mcQe6MBjUy 0q4dGd/q+//UThuFO7Eg2oFj2x7lkCQqGgIoXQuTnbNNCqKrPr/MKngYk7Gg5X9Gx1uzbKl2uHzO 0kuUvkrDe2sSa1mC7BKvqdVh/jIxkZnwTAT8RhumrHbom43YMTOrE9xcIFRf5WjN9IkOZa3UY/Is +pXp2AcpjbYEE3LBSX4qLW4SyPRlk2Yaeambq5TGduD3pNWSBeLIiNO1W7aKYEkLMMsTiTEEoO9Z IVjPYpgssxILV5NrGyAISqoRyi2GnGaDVLkY7nJP0Yx8QTe2HnGRdCDRyupJQQC20nPdnOHH4Dua RJ4BMO+3Rpv2Y9HSVE4jO1+7SqRKNJ9Xys+AI5BEaTnG7W99e0eo2nfWS+tiop8EsZ+3cFVwlsQJ 5DihgYpR/BOF0qMsODZRdjk2w0VZQHR6K3NIEdgX6kHP7gKAtLhvVTLPyP0y82RW7qjNHQ5vnVsD fcVJyVMvq7vnBSx+ht59z0FmodPIGy+tViNo6fRV2doU4b0MWQf7JLN33yJ6IxgNmJU1Rc6oEsSI DQfj3B6b3DzlHTrffAaJi+7Fgj0E1/y38WG3Ych4hG1EjhqQG46YHXW+V/lY4qf+u98TTFWpEFRD 9/Al8oJQfljRKkDDUmQaAmFcTSgp03nyj+QQk64/+gLeR5TV/kuL7VbdHrgpmBI+LMA7YKMHk6ZJ Hw/Y5NUHlLV/FR4bD0DnRBTIni3XZvixibLXODDKktO7nDdbzKMFOtJd9tYnAOF0EF0Iw1IGWJg6 EclgQeUxTBZlO6Z97/cfPqX1rolC5gLfVX2WSD3DRhqQd+jjsGUf88arpkuFHwDQGvd+QZbTnszQ BO2PLTF+D9YBoh9+EQ3fYZjRdg9oakyr3w3CuxsqOofCPR+A9JcrFAD0WMk9l87jtTeMMq3SoXPM /YguSolviIt3M6ErzIUnmYmQmQIPu6cu4/A2G9uhmOXAvWXlCLYhWjFoIPQp97iWk0gy0PARZ84k MonTAKUJ8BiorxvBobrXL1yzbW4maMtXgg/7S9em2hRWrO+LNcxWVrM0F/EKLZ9HYrb/7PcE5tt9 6hTtyy3PscbF1nwKV2257wXFS7gqnUqf4qJiYQ48lz8OHVUD/ckUWErb64I8RyB2nqTgareoKdqU BpFyhaJh3EyCHx6NXX00NkDFSuXdaXMG0ggYcCE9Ihf+f27s6UgNizF/V25EhRprd/irs8h9qw0o yf1y7eOd8F6IMIyMG2GAhKjmWiyJ76+5gg1oXKBfyktQ0JjnnaRTX0IWNrovfEgdF/7v1pVdm7kN WhqBCmhbvg3h7I5g1QLfrgA+dBPlPEsLksKp46JYbidm6gTT2zxkFNvkDqOCy5lQcDSJprzPGhCx FPMe/RyDvoBkAqeSZDyT0Qg1gbIZkSrIakZoryOYL1Gni/IY7K08CxIPD9nhkzQzDL7hwRgA/i55 UdT3r4ckW2QXFPzjjuX08T4IPvPxtaYNbyMk2Amz2DwnRyDNHXEmDcGitwUhL9PyZvNxC7wo0aAD aj//4TKc0TxX5+OKxjWcgTxERRAFxe/x/+uMNqIHW3J2KGmG36z+GSGWWE3qco4Z1MTeGucwVksU CidrcBSu8f/TOzauClRNSpeQ9iZ5l7DFGA24exOGVovC0lG1fAOaMea3pepbVX4/Q2J9Yqc1HJld pceWhYn/zYLvj88ZiPZgUoyq7Dhz3bzy6QVQ+Z7IDm6mx2am+vChqIDVJtdUHAJ2kuqPCVhZArUs jrUFS1ioR4B+zEV9xjsMUuR34Hmcr15xIP/xetdtrVMFmt20cN9ZZMlpGuN8Na8Ggxnuy3bDVzV4 2SF9vtmrNnfDwMwLJ+yKSzdmE6jHOj+n2P2uVTo+lyOkg1tIzmf50ZU1/mkpJ90H04KDsOWZRWr0 XTMQYgQhi4YOPUEIFmRZi78ELgLk/r4VSCxoOgehTMTSnKAu5Ug/3BaSHkPfZGWyzuwTQe/aOvNY iiNsl5tOk57IlM+OYMHqPfrFkzy3DTTQK6hsS8c8A5HVXGc3Ut6OWTad8YvMQUubX1+h83n5JsGl VDz8X6BkiLwr782+O+5cJAMkngDMZQYrolPD2NyTeerAPEdqC4DiOZTvsa0wSFouqJLQqHMnBut/ 93SZyuAn1QHe+d4UP9xlssCk6bvbbhk65+Du95cOB4MmXC8etZVe2xQvliWdPjoxDsY3YoI8DQd1 M3HYCIqC3WD7AeIIMQSX1zyGMwGRZV2Z0qYwnpMD9Nl5C50v3oM7lzw/yoEcUjdl2jFp8Bp+WIoO cYJiKx4BJkxXjlckcv7J7BKytn26xc1R4e0deVqUlDS9iZ0XCOYd1Ry0YVG4w1oPLNGh0lzLVJYE ut5A7VGopYr67Er8Ux8mLDrb2JR16WYpvvi/IZHDy/e1Iz0zitB6pMUvpHlql6r2h9ZJiCws/Xku pflCG6tGYYaP/RiqBoxrvszh5Lmo/FGEEwwbWG+gPuLkeBaNKyoTRKW+ODObI/jJFFUPLqDa1N7F Kmes+9QHqrZ8ZFcZRU/v4nMcknIljhPQMzx9S2mtGmYsmwFSNBmVXsTK4YRpL60ZnEvPUu0jGqQD Mp6ci8NCPUW0ccebdagqSWeSZyFyUdvx9t7sUEq6aocjGXOxJ4pCbcmM7lmQ8cPsnrBXfnPFvrjJ 0nAyv2aom0YeCuw8/7dtDwqMdiVa7S9dQpgdp3sNKzQZ/YJKV4WLB7xe0+PeZbhoNBmkjdwxYWYG DlR+2BRUvfpaRkrklkLbliCcjwHZzSYvJr21ByKshVxTd211ifFjv35xOqSj9ikZXiBwajNg9KEG 9VQu4OULAMwZbk+L03Icl9syHCOA2iW7syfHfdQAkuAiW4+0xY6BuVpW2MgDySAbAORczuMAfBoO luAz7kO4MfF87sU2eMXICi35nPtQh2vNzSeSxKlAGX5i4/7NU3UETpl9/CxRHQ1dDBrLkBH5qk9v os/6+Qnsy5QFh+6Y18vRz0c8IioNIh7CW726L0iiFOdHgYb13igrFd6x+W5vFfDbFSv4kDEFahLK oo5sCFfWp+aJVM7mrdHrgxPO5TNhbE3VBMp5ScIimrLScDV6RLeHlL+B+i+6vbLS1gn6/s6v0Vjc OuvLwvbQ5ir0x1F/VYbCCzImS+gTbC2S656FAIZFh9lQY5hExLs9t4yFZh8Y6b+SLLf55PdgVyXV skDtHyed58O3ARU7/viRyHMmflDrQJ2etiAYfbo8e3kCyjFOuLp7n/9f5Pkel2+elck/C37VEJ4D jVHaZixoc9envrtO4CpH/h4/ux/8ry/Eojl+OLLWTE6QB5/++4fWYnGyaGXDqkLiTzc1wzdCLRPi xJ2MfVMGM/iXC7RkS7MGt/dXRweeHdwmZtZugXQM0nLAHPzN9KTsjX2vWfy5XMlblG96L1uk0avx HdO5eh6F3hKScg2UOOtQYIYuVi0MLWO9z9z6VnuWnrRzqeGU9Cg2K0yObJjGHMVHdzj5WzeBuZn7 yIgBuXk2++92xXeXTh4RGnHhFSNbgSGnE6rXbdN/2kd6ytnSLsoCNBW8JXR5NNQkoehmSxKlynL2 QgK7cv5QELI6TKGQnjWqRdZlX39M5ZpJEPQ28lTvIaBV3ZJilWuxG+XqtrOCeJZkKqHLAUn8j/f9 XNZdb84LlgayKwu4mi8o4PEjUVeDndcpx0OeVU61bdymJ2dQOqYTR+41yaMmCXmn0U39pA8ot/qh X7X9l5VgJvo8AEGKq/hPr6uApm/cPES8ZXm1tQ64LhmGt06+K73xYIYNANMMu99iVQzGSJn/N8X4 1JgPNlRKJUwOLjuE41stqVWR1U2NvpS6WC9lqW/KJvf3EdRvG/9SaYFFTWYCQltn9nDhNg+kWVbI fPdzE1lTcnIIGQI8BdKS8PL0KPE9iVUV/2OyFyknV1Oh+FOOKi1rdymc9XJ0D7xsITSCsFwlCKZ3 67V2/6eJu8m2bz3ywTikkfxlWBo74aqdhNDKB/mBot6qeo1E+XLeHlNhV0S09bUHett99WBMwA8V jgHLboTGzzxYGg/lkL3jQZNMN9OOalkAxTUn0dLB5rnFCAC5GfhfnwhHMd6pMapMkNDCsQN1ojQt jL9gP/BrcP7RWAkO7P/mkkdvNhEwsdGlcdJ18Bsg5a4pJ3wZh8WqLUc511rw6hEcGxykliyUSQFb w+3bIs2vPSM7H0eW4YF5r4t8rlPSnKJr5BjDomJq/jRwJZ2d9UZlc6gQc/7ov0EbvZsqv2AA5Jve gsA8m96YWv96Im2hfPNAVsRChJXwou4CuSQnoQClFnxNk4HwWuTwMfZMaDrT22fjMpzi+No/piz1 nV9Y+vagF5wcF5yKX0IEAuWO35bPlC3FpyXowm3FbSzhK2KhSdjuVJp+AB5512XKW5CAKx3iKUl4 jRvo4v9DIAlMXkUnmnQsHGpMgeroVBFDwu2UHqHHErD8ABT9zFp9E1ioz/ELfqIKtRDX4KvZg86L u9zFofkYhFTZ0WQxgtWd8QcRJNg+sLzPQzISPYcoFwob2NquREISKhh7rRtth4WtbgdnEBIib+kw Opz6QoAWIJ/673f2WoWg6XKJ+Tczuu/CMYSjvWVBBK1rMs4N+E28o7XuVsTN90fNpPSGg2UeiSdq wBVij6HfU+ErsQ8j6Axip5ETt+s3B8NezlTtJGTVcN+EBX1k1Rxw6+sM5ZU95RttUYuvXWoI45NW mLG8GigRhmTiRQI7nsyb1jc107KhAHAbDLFt9E7SsKEAAj1TlDMbQF8z2iK7gh0lAn3YbSaTVBCd 5A2ZQl5kaA7D+g8U2jvX3ai2HOLvvhNxZP6MwAH56wBM0zqiIEEtaOjWivEaNmExC0fjCLnAN2o2 7vdhc/Ll2epy+gxhLQG6aQs+c6vfo9JVvO6BrWxDxvDxu7rlOKzh8OBaWs2ohfsqQV3jSVBNsT/Z WY/hqVof/X+21IIulYunVRzeE2iQWreiPSJwLywj4tjztZhRVujqeWQnxBNZHhhGeGW/DSkkNcua qjAtxBeM4eDeWNQIA7QoIDJunpgkABdhzpETM5kMQPcVyuiCOfplVuVaA72Wvhw6HOLOFx8xJ/84 LeAasQveW4gsEPsJoLfs+B861qmIXccq8ChwLZ+lrdF4IQuFtmm9qRpAsB2MhAkbT7MR1IxxwRpt 1fiOWcBG2PEK3sN+KuCegQ29isFzSwcrlaSjnvs3lBme0dWrtNHX+QkJL34wmCvPKreXYWSBNzE+ 1eXWZMqPu0ey6MoAvIxwF9sbCi2dQRLYqS1fmeOphq6NQOEJ5D5w1QxrjFnfO7g76JqW+Av5RH8/ 2fPC05HHOSq6nH840EvUQ65jx4Ptmwy85F92p32z5aeS3w4ATI2ymjwNM+Y/gh1ZPThDY4yykUP2 B2VlMHlezOZ2VcywNxYlHC/RnETVyJA/NkRduvShcoYowvLybjiHy2wfbDp73BwKcX/RmqX3KDM2 AWX/5ITVzCmLAl3IjeFb8p8DLbnBJ8rUGwzI3BrYrKy7NJLAqDX+pOSOPLpCQ032CDVkZl1wgE5V Kvg75BP5wV9TcTbFM5uo7J1IobJG5USv/g1yyvzXGt2SWoos9Tl9fC8qfC2XYAMn+zfLDvUVdyHu rNO4+bor2B+8qm92RK8+nzj6TLgneHm56vWFYmvSWhBwMe5nemOUeWjJb63U9I/99Xu4t2Mpdkli Wc5DdJxIDjwgSEv4CAtutc0Tr/D2ZhfclHffl27okek2scfVZX6rOWnr6Cr9qoO8qDwDG8vPgEQy fNo2C82HHa/zbHTBMnBrThXXTPXyV9dY4cdAHrG1UxgDCGpcl4v5i04yu91cwnQJT2Nj6LspBhPr Fr1qpm0o6xQSKkCKatKzAccWvYzs4/e/Pz6VpxYpsfssGte/ALN6OJfH8CZPLyBvNEcKE6s86/jE 8Zmaw4S6baiY1Vbtp4igNAx4Gyqq1E9ivG4Ihn8SjRZZ5I5ZLUEIopbgwaDsIk85OOZSYyomuWwA aSzk3AzKUMHpisW5DHiJzKFq4QTtYBvNbCleb8kMh4HmL70UA0vf64qkRswefIwJFqlU9BVQRzC6 I964TG1MqfkTPzsbOGr2bRAEOQ6yVbYq1x3zuEZ7LBzBp2l4JIB4WE/KslUjavuWWCgnPvNUN4jD Vfw+xsftpac2sW2NHtMWeUFUdFO37StQWkNIUxraK4Sq5pH1mxSyxpSG1BJyx0tKa0An2sQsLWlh l8SjEj3SCTlDlHcVkd5cfsZhpiPK488BhpgN5N0hRlA3QKjyHuLn2D88JOk0uj0S1WO1JeZGP+IH yIp2hawxWZS7fdsT4YBKi6V4jgW3PPkNHVjbtZP0H3OhOngRzxu6JkeNfK/REODPmL9QBP0t32uW omxU8XvgJo5i3tvY+44ek4yX0/G6G7E879meW9FJHEb9eTRZLhtdYexpMaOYiSrdFuS1s2XdGuPE H0tBsFQDSJD5vEQONB6x7hXEi9t2rHAgoz/ytvI1GXivcGNq+Uqn8RkIXChlRDmQv7skfHtSMyQ0 A9pJjB5NgqpUJe0LjVWFTsZqvQrX6SyoNV/V8hf3JyctVHWRFAvlEIRdUiwkTwXKXbpgzUl2C+X2 GPkxmF22ldxHHhLbK2FET3ktpZ5hs9WTIhdE1GW8AFlVrxTcrkZWu2JeJ/UnZ0245yevfSFWH2bv E3X3wO7LPm7Wv9vPlQUEivqaCt8Ubk3DZ0A5Pp6zaFiSRLV7IyHVVwF4ibbBGYBgyiTc2M4xez9D Z8SNW4WPWS/xd6GWrxCH/GzN7tul8A3zVw6T4e/lARuKi0ClKCpgxCCDFVGidXVU3MpVErjQ/9mR 3J4gfFhAf+toHuCg507GpZA6/5wv3U0jsegB/bL7wvCd/yyvYKbC5NEdvvGR8hQFz2ygb+qBusnH Gh96B0tVmPrGUgTuCIZkvk1GZugeqVRhemIYbDXg346T6EgOibCdDifoJAgwbMflm60UmoVxBMcO JG/HLx4T+XVRl6ZbB9ArEDNbEmqnlfWSO1oIjH4fkXcHkV8DozSAwg/nQ0OCa9Vpke1s1Ot87XUJ 05GavGpB4jUrwpNSeyTpxuZAvoFlq0rJaQ44E1aJMFQ1WziHS+x+kQCAbANP14XRBxaMvWM5jHcL 8DxKE2e+cTdy8UEC1luknv0tu6acYRaMUIAYtan8Wp624f2Aaxqinziw73WPT9GXWqrZd2pB3orK MiZmhCrEWhORl0/7+6fa6abDh3y4ocmbRd0F9dpEcdhN4xIBqyOzOxpmF7Frwr1/Y6EK8t1fWDDF 2xa/Uz5HsVh6YYpxzeXX+7400pD62+Ewa/9iAYuMX/XnBe2OlDGxjNL8zVBHOxxbuLFkAp3tnl9z hPQwGr0umNb3forB6tXIzRHax1Y16QN8Cz2eYEUBLvnPNsku4KXXz/l5kf38/J7GAnXT2YMA/GQt QwkXOyotnkiuICEssn9xuux+nhiXYj+nh1+gpUEErM6XO6jpTT47CqszT1in1mJwp1WHpxEqDdMl Km7757H10UC+V0awAid93oYPgY/cdV5vJtSxBx7bJhERnMWmqf//ZzXWTcyCwsQ2jsHXBiF6b945 vM1xOk9Vpi4QBJOCIG7SOibnnrh1o4GWwnLhSSYDUeQATnOcCDYzV+YGQ038mLUVvTDSygDSmwzS fCIxjBAHC8ylKUZ/9maZVk8n+P3ZqeB2gVFjmyfdgBxNr97Cj2XySvAAgamK8U+Xj0Gqkrz7o7AU 5hYxxLrORk2yF8/xZm4gKCD+eSk+jUKvXbFdG7ONa+3bUC88JqZKQGyx6XrwlFzubhP+SGWzkyVm PuQ3HWkEr5J8BWcb/DLKzGdA1GE0qGxn5uNCJdGSS3ZkXn5O57/CpU+nwE+JUj+fUTZTbVzB6ACr Y3LdlnzOxP1n7vhMrffgRXTSvkl6D1iS1UGCMeMwWpinW5fEbdT47E4OPXqwzNZtBIxn0ei7U+uA M9Pj0cIJqL2+hTl5cwRTpejIq/BpnYy7MKp7GeddGdLlSbP/3Kzd8+VbLSHEuGBKq3WL3GPGbkqw IcGfTQtVtdMFn+RXM920ymCLJY8E/n/eACRdFao73Bg9OCOuQY+/+W8+BhHpGqlqwQERyckp1Wzg CXeSyrULKeNbwSo2M8lRbvX9rwPQ9tf/He2WurwV7xA/yfkN4ph9TS4u4kS9RI0ekeIv1X+PGGLN yFi5Od0AbyKGWL/1EMnBxZR5PnwEykZqLUh8W46nJJsGldnDcXPAjGIKcir79gU+aqDbl7J0zOq4 mGT5oDCk/KI9nqVw0O3tC3mSvnMJ3EsGvE1R4X+UkiFS0OMhfR1Cu+R3tqQf4hSjTRYZF2mEIM9z CrP3xogdqE99r192SA3uCVlpFsuu51dYpWXWrBl/ukweyTX+U2a+z/TMz6vt/sW237zW7iDv8gcy CfrP8qaoS7hxLvHoBSafLFx5mxOpuMLIGS15UgPjgpNCGkqpo4bS2eXV++fzDPivVn/uAiE/CqPK 4Go/iI0B0M15hnVBeCliF/Y+nqlOZlm2yLRsNNJtyQUp/45anFxisoTBcVefxyrW1UY8D6GMCgQJ G7llN+UGTATvXMQcaNUy3hmKxoE7IBsMwVd5CSs4eIGe69oM5opybG35ffABLjT9a8i1GKGtp04y WajHxE2V9/JrsCddvDqV1uuV1Qh9pgQHiiPpMbeISCI0cSPBkGPYp1Wfk7Pop61Kz0YJdpLu6Ie1 tGQpXRsZL7EsK9ol0Kim0ljezB0z3bTSguTRgqewuIG9YLiwevtHQMIT8YI/EX9MfHn55L8bg5p1 AQUa0KjuN+Nv/zAIFds3Wj3gND7FP6HqNALAZzUrqMTzpq3ilJ53XC8RhL2HD6qlfbCXj5YS/b6M CvMimRSQo4v07NcsOlleqEsHRaKtRrs1zPywWmXyEGuChM/9uq4RLxXK2RtPPioZVUzbFdD5GgMC xYda3QcQgN1/b1inq1wQeHlvt456UZnK3RP0IUOHZoAtKvPeFcRvn48vdsipthfYjEZHPAnYq5Ku n6N4XzaSnJRSHHHoZFAvl1CBuzIXMaCBgyb73nq5eIKl18hjV1gq4+BpDLXiGi92Hp0uP47VcxCj XJyzo59Q+YPKeEqeZ+Ygl3Lp3OP4pzmSiCitHhWYer1C2ya41F5eAcxs2r2Ga14UOWV8UOurhoVX +dz2PYI7MufJDXOPbD1yj6QACS2jHk3TeHRUVBM/47FXsGNYaOsIGxhy//KggqAd/3ASOI95rB2J EaAi1VdPMHMVRIN2oiWzE0fWF3OdGe7HjCbCMCLxpTT83T2xB1LNiBM+SGmbzX7AuVQuHgQiMXIt vTm0eCqswof+bITeoj3WNt5qDk33PGMYPO3EXGe0gXJ2amog/QVMMJT0CTP3q9tdrEskajTDCN0Y 693TIjCSNan1A1ReqxJHihwdttHXHKh9SKGepHCy6mrTHPjnj7Jr/pbDhQvEWfrgt70G/6/GLpkl yeczVqpiva4wQNIX+YfTeuXctfAfXtbJs54J2JuGEA3MyrrkFy02NKtcYPfONaS2bEw2YQosVN4z oeHx9+lfqPTaWZ36YAa1vDaut44TyeHKJ8kSsNZfNvr2wFsUAn1l4IwLsnO4MaIdkzd265MZ0nw2 +JbId9aYg4ZrAnYdPyetB8IijTpgbfTq6gSbEOgVpb8QVC85Za5yvey4xpfdet+KUTYh+CvMHbjz A3mRRtUzcQS6dXrt7meeLu4ccJpkpJSuAz14P+5Zt+HEHnJKkVWwvx6pvzVc5DnGFHX3cO7Iqe3z 35sC/nJMqZOyWWY2GEsbnLxgcmcn/YNigJzJZnBJjKyQDGDlHPGJwRKEqvS27DtGz8x70lpjpQX2 dOFsEHHxwuN5R8E+L4F7CTZzr8ehHrC+J2gDOR8jGd09M+pOZJ2Wohjdgncq8zQKAflDiSewRGGN Q6qT8Ft6sXCg4VHdJJ6jBcVwcTzJdBA+e7jvaxyCW7SqCGxTFLPdYxdI5KCKXkMB1NGV59jvx//6 32wBlaDWmwNvE1WRiexqC+HRhdWESrNKNDSFqfhdy1r3/A5Bt/+HL8BB/1GR1mljFFOGx/2UBDAf DtWE2soS2Qaqkfpb7G7RU84KGCzziYJaKysY8e8i8MCHDFhY9eLNhdGizduZ93FvEPI7JY+In/Fx yA1Dnog2nvd+xnilvGthUYGXLpGeFYFdeDarygTic82WMN9pme6719hiPLLbSmJTsq/nvdwTFzq3 GwGiPkhCmc1i0i5dBs/GkJBm6m8K+ylaRSrTfcXII+Jy3HFb5vhcARH22C7irFFkgliS53twrwJr rc/cbpxsw5cgTjrWa4Ayk/7/xGU17vXBJuni6QAXtC5v3yb8/AdQ/rPR40v33nWsQOpCp5QHf1H+ /n4YMurfgB91K1zRwwkB0jryzlLHpjQu4679VFcICG7bOyvp/hzQuV71rxiM9PBPpTmpDBBsecvz X9iTpgxMyGggwf2KObWMJPNtY0Td+PTCosez5z6/M0xOQenik4XLpm7MF3QVUesTn/QfLPkfWGjy DurRTuEZvSrbnbFEj8M/iuW69byenSxdUcaEL8vkq7jji3DeyCr0rGL074x4xjZUtxt24inA+EkI QsqupYaixW1+/cwAbQ2AN70KbhMaCHgDj8QFdjyVV+aLVMMR9D/FbFaUKUv7ZCuZyexqiswbKScT 28900KmsznxBn6GVsTyIXxNvXP/fm3lNkW3URQ4aJzOJowoA3lj6vinRAxYhXri8Pne4dCH2TS8b xH1bLXeLZiCrA2CcQVyY3qbDtv50EDO4U6UslbicxYlB2TxmwRlUGpt+MGrYp3OTcWy2CqxYDq27 47yZGI+bIzAwxGTTrpaTG6TdzE7nd4t68fqWLXlZyg4k2flYsGZ15RW5KAFCK9WPKTBq3RiUG5fY YrZvUsAaWGecx9jt8LvQvOPEcSyPJqs0lg9kAm7oeRjyA6CYn2s6XLrinmhQOTdsqmRK8dCa4zO7 ZOO2F2LydWCvHRRrW3PzoRiDdYLPBlBTSgkv3r1Xjg93S5T3wOGRA+slqKJmA6QRXsFOFtpZhrUE unxc74vCZ6RCqgCcsT+hN9P7rP4smDQPuVq6Gp6wPqZd+g2Y4bcg15chPZJ9UcQ1lEl/AdDdL2uQ mUL+jHnozqqZ2MxDcTFPApDdGWkiRHMKTPSWu3is+Qj+vAX/iUDUYUCfKubGnER2y5Kjg6oPlIRp wpXpF9Wm2Gp23L++UtZS9uCWQ4r5brRDSQi866DXypEkh5THhkhg2VMLlr5rCzWXbYp3d82dU66a zuinfMrvqtbWrokivOEzdjMFt6SzGT0zk1hNE+qLjSTHGiKdH+2WlUlUgFeuKzKhpLsYYk4t15Af p3PisEXPLRAiACaqkbEjkH/3SS92MrWGsiIRcriHkzx8yg2x4+0SZJVKEHoi6MvoFDrb11i19f65 z5v58IRtpiZcYOzAuiZ5YRWHpNoW6ck3pNws7z1J5Rm+/tvgGajhYPFYQLzA9SlwY89VqZXIWIBM WX2i0voin1FezNGMAJKI8fHaI90pAPb69Lre2fayubKVOD0jKBjTv9u91BURCgZnTvaF6rzDdYO3 KmZdabiG4dyhsp1ZR5zVEy2NeHyfy6umAuRDGtGFRGEv1YzQPKy64lROOGARl1gGyNDM8NuCDFah ijrSEdVIG24uHSGDzheov57W920OFb/ncnzVKXUbm3YPA3Q8EAn0MPiwu2pihRrgdxGdvfnNZ+IA VlhHTVvTM695k88A93gnEDX8lg5g3NTcMPXGA49icwVW/eUHpAXSeXMhlZwrNzCjI4n8am8iiWLN d0Juk9HCu/jJbHheJsMd0r7+ozc93DyUJuMn+v5wzv2uumgeSCkN5gi/Irt/9mWFk57vrKBs6wno tBX3yQeT3r2AJE+2G4sQ09gi8GasBvKDPLG3Kj0A+RGgvNLqHDOyj4cq1CGTJHVSpTS03Qw6l4CV 8tN0NUGrxAPCnV+Fk0VQRui8eWwKeuaLE9grZYBiE6ztfEE3mPJndpA+e5zKc6y1E5i6Iw1b4IRL E3E8MRn6QuKU/3/fBauUl6Q2f7DqoTvmvDjtckVotmc8s1qazF5lFvbfcrQtB/Ua5Pn+A/6Xkf8K 794EfkJHfSUgpmttONmAINqHAptjmxlqvA5h1x5xnNaTObmm7r0TZGLkYmvrDxuL/dc0jiORaipk iDz1CbmexvXZv51SoRYnSWzqMz7wROdN6p4wPFoZlqTG+/kA1mwfuTLQulHkuk8YB8sKRmjB+xUz wq+2fNnlRL+cwqf+sRLSWV1yKqQLTw29Bi6Tywoat8Msh0nrrZXoRLODF79xC6mWIObsE+d4u8Ly 9YENOu8jWtEuW4tJJx9fyzIh3KKhqgnZN8YXElcaaiF8kWR68RGuImo7Mjh4j6RfIN6kH79mUj3u RlPjuTnu/uVTg5TqD1GiyjxJ6wWV3Y8Ktfcl5M1GrEYi1npFmp+oiOsQ0EuGTSRM6w8PYh9JEsU7 CDISpN+JmE67b/wRoK/pJ5ffwGEhg2r6qceSmMva5P5Z6BxE4gRBlz78lcuoVY03iVX1/1rS8nhj xhXng6JVQxgKZGurkowQUR3x8ncxtMSsyFwLGTGO5dfqcc0xl1mZAHxPZbgm0seeUvGSvDBon6zT wv70SvIbtXWFbvR5QFJ4Er1pr55sJJyl+8bd43dqvrPt8KQQQdkaMqeKEJm8jIc6eDjitMReW6BY q9HwbmuJOFnBsHqltmYAaH6G0YqdJLVaQN+LpSDolcAPKJmzjaEGm+w/NVGIRogR3Ax/SgG0gumi sZbBmHVC6wkQ7dtXzw1p3FTCHRcIPZFseRfAB2eYSZg9Rs8zknSGUcvduVz10ILE4ylWt4ar2huX /uJI4CXsU+M2EnBIBhOW/oinWgVGPTHMYsZUNNCpttTZDiO1wsxEanshbvr2WHqL5DkpK1UzIBkF GfmCtWJNmpImaGwmzCNprgKFmYK6Tim47b+r7bARBZKlnAb+17oIGiDa5dIZMWZN5e8T/bBXDfMC aNzOfvnSPtHSPBG7uctBULVMiZ0OdQ7j3aNNOUgHM02i/nCPI7dvk1wvgyKb432CDwtNPtGzNaoy 2VPk6/zXFMT0DY324rUumVupo+7lCSbCn46nOOxdZ5uUDqkSIoJEhy57EfkjLY+DvTi1fQ4qIrP0 arPi2TuyTqIQ5oapkqScTgHScIpATAe1pXZc7MrVLL4n7SNDiJ2+Hvgt99Sf6foHzqdH8Eh2imj1 ivhdcnMVdwuiK0VGJs+smQBcci2WnUCVqbTOuw7OqpDELbMIluILoHnIYAxmn+UrHdBTODu+iRlv kfHALhWp8eHMHjHV8SYZdbw873S9qyr79JpMZwzgGY1u+GVyy8J3uO0abvjSaUnZCWyBzWhpHBGV I+Q36I5c0oaFTIO/szw4w+uEHVWTSWFV3lGrdBz9LzvRP/Ai5nE0IbB8bnHQCFuAQ4rxAULeQCvM Ce+OrUlOvFhyifOZNFxbWML+FiuG6PC0D5fqXJDK6UkyyTm1crdADY8MVT1JixxkiYJTxWnxW7dc GM9eTFexpuD6RQVxxn8fmohG5Y53UqWN03mlGryZO8eUt5iAWcLBwEpxYKj2TDbDXQoiYuQuU2Cl cXAZIhZ2I+b+B8oZu82Lyozs8Sw+SOVFquJnOGvct7I3govzaoA9ZytVw/MXcDy2HNuMUA6F8ybr SR5ctv48HYsc6EqG69ieriUZttFQBWd/jgNkV6sOA1thM/I58wixwVHBKYokXaDBhs3pQZ8uPvB3 onmoBRscPstVKe1sU0od/PCDl3XBd3f94BnrrVAx3LIZK10iigA0bmUpqw4Kx/DMg5OYZATimks0 IhlCbqYYKFBHgAlQ8fgkEoLL/DfbtNVRdrbB+6fdvEsYdpFD1fp7qLoLAxwnFGzRlFPRlAS1X1Re qH2l5bRGGH6LPjQU1ANNvg3uxgFpqJHI+adX2GHezpyRLMh5ACl24DSKfdc0QrBM2S4hqaYhJ07j RO0AfpBR1TMj4p2RH5hwJQspMhOODU1xZQKhwPzbq3OW11vvX5ICrfRXc3b+WwCADCMe95UGuUas j7IsTwDVCbOqZPiZl0Tlb5WUBuWdQlUj/EY+UGelFwuXMyuQq/Ie0TUOwNuhoG5jUWP+t6r7uEH0 O5AwBCtLeu71NuNlES479uXxrsmsvyWlhyzUcZt56iaj7IbT6BbwIZHkfaYL6/XF6+99TTFovNkd w+CDVJtTcNaug3kfjMca0U2T6GYZMHzzlu3PDF/bPWKCeOa1v55PVWtsLb0ccrqOjFDHqGUsj81h pOjHPTIlBM1YOABiol2h8MEz5IleFJ53RolgVW/3TNto9iOqTFMHS501qk4Jq4bZgTOQTzcf3aQh B7vCTcsGYsbETsXtcqSGJDr6dd9ozv0XDoC+5NfkfBYcYGmcVMHVT6vc7ZYJOMWTAHWhEQDC+rsV D7uxpfgu/oCxoljuNxV4mqPmlFK4+/2BoFj7lmqP5JNzd3goVTbf8bG8BBGJ29i6GMVPcvz4D2hV mk+C/HqUSUgwnXkySJnX4BhuAVKmevEG2nBVorPkxcOjPKpFXWQeMtiv/VPCcxm31WHhO0Jab8Um z1d6pMPI5wXHy23ZBLVhFz13BwKMWYlV5aREeBGF1+8A0is8WJUQc7qD2TI95LywhDU3ATw4PIJT NKjNeO7i3iAUKmjq/n3VtFO+KEqs+VqlU4Mgpy4dov3mUYKTJXrysDos4MX4zz3Sn8nm4tU3fk+Z ViWbxtMuuU4Gn5rMJ7MbpwbgnNBoNs3NeitVE1s9tm1/ioBXUBSbfSJDr+0o+k7i/u48eDiRLKll 7ZnR0HCAgDt0q7659E7ogeNoReI0c/FsoHd2Hp/bHgdHgJjf8DdYOadtqiN5zQQgrtldZRGfZh3y bOe9K6+5lnWOetYZCJgSfhb0Hio5PJ1jUPmljrb8YnV+2m7kplx23DUoBQqOj+E6JtZMZswapOkV Qm3y4gb8aSaZfn74sMgWcDDBYHaGZGVgMIEieGSNK/ccpdT58A8oTLXF3nS8LBfZUklFVZhBJ4ZI k0exejeb3EJtz8UuYltQpdYRMT6Y4LZkbDgGwJkVm0QqwiJSVmYTEHeJdFfb3g3BdPG08+J9wOrL Z6Rk3o257yC33mg3F/j4WMG7Jlgi3gxHPQNwfMvS5p4ULpNCyy6DABRq8sL5flTJ/6qRaYxYG3vv r+MLrG+gnjQi/FwtxjuSPuNZFeW2E2NdbdhtcaAUr4XtUjRrSMt+gXb6/i6xEPZ1CWj1zpOSgyEq uGUtdYRD2ONRzLb03vCG4WLID/LOm4cmbAasz+qPwIqXqUtEyfps3soLK66lPUOeXoiNTgMaAYW0 lT1zrNclWzF8PqBoXUzmjcHVRMusLPQbbJBi5D0wtRBwiIhiGOflFmgc+CNFZtTbC3bbtI9dX15i 2pWdMGWYIoxxGCGcNVWFaNQMaV7QpPKpPzAag/8nguzlE439irNWimDIDCp33uJ+XCcReETPOTHd DtwSlA3r7A2gGputcrHYo0371b47HQQhQqe3JZiHc2OTcBJSr4CH36+7jHO8TRBwxAb84NNY2AJA Sf/P475J12RJVokfsDxTzxSWQihX4yJ5vsHIBfQeyGbV9MX510vXEHgPmXpAdskhC0zcpL/enRLL HNaUNHcJ8QNqi4IqjP47TvCuFjFm7cEzlzgEZZEPQNGOLbbYpLcKqHzue0+rR8MphijrZkdbdEDE d1DMl99lvP8LpYcxSwvU3kal/N9+Rtx/xF1Y6z2/JfhmbMi8eyYnB4gcUlBANP66R/EL1RiXf8lN V/Xin1Zer3xmrIj4tXULT1zG3yPT74ELctNQwqFUwD9gbmTUL8rR8yuVUyrQXpClFCI8ZNwwOcvf ycp2qZSMjG84vdQrEOngKsqEdecwxEuv4UNdjhlnoj7+RcCxhAQJFxmXlFwOYnpzC6H6Y0x/yMP9 mSJ5vMlNnHBpwueIQnUzDilOlB2itiFyZYm2JehfJuRJdwjhyEecfKSdbiRNNZ4YJfjfVnsu99V0 Aopxd+lsyoLRfbGzT80Ehk3UdnckYV0UGHy/dly+FIqaWRPrpUx6okIl2TXTwyhUgENvHYzi7b/Z 2ErwR9qy51pDXcmUHczFmktBl3bLAJ6qNOW9Afd8CoH7UcrtC0mMBl6OWmybduyRhyQ1gLkM/ksm 54SxBvoxIUHs5XYIHRKrySIIuamZNiKsuJMq60v67+XZqu6n3mye+lhRF1iXTZW11Qq8Oua+DnLf vyzIrGN6oDlQVRsQ3uszRkeegbQTZWRVFlSi+dvnED1HVbTYSd8g7yIN4U0SEm65aq/iWw+ve0H0 uYi368SNmHypYh4YliCywxH8Gu8BF3jH53DVJXj0Ht1XMTVMmt9m+LlfTx13CXFm1emg/aKkJJYo f0u7BzqJL2ophl7On4qBKmP0acKnZsRH6VnYRSdpdYkUT3Y+0cs1VTPHC3285oFl6MlPMGaO4zlV KnLRS4YnqD+Hw8swwsJ9FyXUKWk04eSVpxfukTfZXW7r/Zy48vPlbGCJHW6+N8Wv/RhGqQIImcbg Vx0IZnciUYr+iTo5oxZr6ID6B6VNtD2ZT8Yg0I+udJmpNLp6107nauztMp2m9Bk8H8RJ1US3Dc/b 0cQVTji2u0ERoS5N9F/541Ukw+iAHRPpha8TXAc2qmu+mYfTGhMaHlFypQcoOYGchZMIi0ZAQP5t xYUsLwc1eUZnaZ1JhWG8Mro8tybQuBgQsN5f/mJeXZhHBR8Ag6ojbJk0BUYP5OpRMAFJU8pOMCwz vdDkxkBDpoj/Jfbs5Eqr/KKVvJfzWXh313ws63Z0Av0PUqQPla4bQTkT6uqSaeR+lv7slML5G7mR s4adtb2hompVUr9GRkTV4MKhYo8sS3WqqcewrwusOlo0f6EAYGcL7W2BQ7SPNBYnZaRTOJwv8owx GkMtkmmsD9zMrbyed6swjje1CDS/1O1Z+GErGOKBpmzT7HKwbeY4WQ5aRLlHHF8C9zvt+uzkykZ5 JZgM2EpAhnS6i/4wvZZLBZ4EBnQvk4zFbu3051C43wneceqMDJ0BtS4x3q0VfYd1hVhRvkH4FCte eIM3jMfrpbnboQ0UHy1dsvC9iAD0z026Le7jvYz47pCffdQqoSPG7CV0E9ThxEXrh74Mt8VnqNeC QYvT46gj5jGHDJo45rpgB443vJURCmwHOIu4h/s833958Mg6AeVhdoHYjs4RbjatHt+ZXFiUcldh 2ntl/z+1b6H7jDd1WwoGCIxM49y4lUyC6X5BEaO3YMWBApV7kSdakIM/qVaABP55qb29L7qwd/lS nmw4nH4F6RzKcz1s3eXL6NVIngY6+NIl1w3EOMKdQGHJ7HSrz76MLELjC3strJPFyQa0Tkqs1QfZ VeyxAUWgrcM0kkp/fxV5gv8qRXo0A+eqkaytyP8A4s9Cs90biUqvAaPOaoqfHpAbpGZaW/JEAdVw zYatrbnRF3qbpctPQhVy2SdSeLHKPJbe6sa0UscJTYZlug77qrYPsa2NufLauhmB5OePKx4h5Cvr 3I4UInTCx0mL1QkcMd2mgu0QyjFTeXdHaL9nHsk17X9KA9JtIDwMt7K/bfDjEr5EKHEFcshn/YgR j8qtJrFz3/lMD36elphBogMSbJJZFyVAfT88MZHQDcXTy6mOdMpGxesUJLx/9Pnb+n1M95v6epqS eOAoLsAK2Qa4qysClBMa5VF2Tr7qJJxEcOdn4/pnrPhx+QuNu6oRz3CEv/zfcTl6kGBEQdOLNQwB pw1otHaSyHRr8gJD8uxrc70IGbKop6AD88VX41xF/uehZg2rlAj1HwyGuFo/E5CDc4wn46nEupOF FcZ2QLqtipoeKAxe+IahbFmJ1xSnl1wWSq1CE70fo0/GEsgQKA5A9VvPLm3eZ6lJV02TjZC+Ocu/ TSOapGbZEdJMaacLbKj2RvyngAwOVBzHjwlm1iGlaunrqvaCMfdjdakY26geMfynjpWgyWZjCLrK X1KMeWox2Jq/0JHE16MubE+8ZlCpR68slECQH0cLWtEQYb6zFLEGhcmvmm3SecWZ2LbqazqeIZBU 3lctW5xMbGLFsaWLdz58F55JOpYNDdPxTuHnboYVtb+lYOUEW47J4L0V2ZM+pLwqWko0A99yi38T mYsu5pMo5VmcQtrSKy2AjS61ixxD08MxcDTkXWRST7PD+CHqXBbSr+sHX531vzj71h3hC1FmIPm6 thSkpFAS0wGtcwkVOHTQAEZZRMqvtPjJ0ScMM8sVvsQ/OOwVG5afarbgX4PICVHL0UzcKkkSfLqV HfFsMTi8vJ3ihhC3UjKEeVHB5ieaVqoCY71VyLvDbUWD78O0Tgzu+HBc6OgHJRTYw8WxJZ6ZHthf tOULUv0wjV0XxGJ3Dh7LmIyDV9ubj1jH1b0q2Y+kaNMyUyJQCqOST/OOnj0sH/LuPVhelvGMg/38 A29a5UiPqksU+qiC9u19ZWtrUPL4SetkUporxQwAd9/AEGVGeNBU7BigN6W0W+oWIOEs3fahXHoE DrR9NFeIVbxdFOA69MN9MaB1sHrbjj/rUeT12tF22zysOHKBHTaDGXCB/ly9WO6r9YbN29hqTBki TkqJdFqzmPUywf79E50key9nLUjBsIbV6RfliG7l7xU7W/mz/IExRzv/JXF1E5XBbcU1gSg8AaPL sVwpJ8PolOBUx81lvC91RaPHzUQWmaMV/Y/X/cAmxgYRShAxn9QjPibTRh0m55EQJWqXhTVocz5W ER38U6IFeKTWMIJUrh/bFte32SktNTYwSrHnj/NygrczwrQ+DNcH4tTcR+ElAPdoF+2jMRrfZKwh 5xhi7AcJjA4vrlKOQE9jsFfLH4JtY2sDHCtFLf2WvqJQsjrEJloxeZcKH5ZJ/kgjQpBtk9CUkdXv FFq1mIqOkqop5xKoU1hoiF9OiGSlwLwArtL/xCX3D+0On1ckT8a4CuGbJX8HJL7FiKB+Fm0U7LlY aa8lFXAnuVVuGMBqFfM9608t89VuRzMOAIhcxiQLemhbe83rC/Qxygs8WTBFaSqyIRNdz+9qBhs8 DFqaAW2aDeng+l5VoHVyCljQOM9mqiXBD/YdiSI6d9OFjxkjfH7Vi8dBbMFzWU5dZM0EKLBxD81t SWdrGx9PfXV3z4zXnD+Y0qUVvWa02qzoY5KNMoOGaoTM++j4uU6zAt8y/RnGMKDGNokuuwIfrYar VV/lyPTlyUR6tnoFKQAasjZNd+Vqr95zWLSCfj7zd+4oOlENTxvX2fUZu/YVlxtrjPjPx56MLf2O cxlZDjgra1b28mF8pjiE88mrNKJ2XAh9jbR8i4n3aovlF1HRhUhDqGQ1JE0QuuI+5Yn8UYBCgBwF R5d20j4wrKxNCjT8zP39g+/iBpV5Cng6x2DA9Q7fesgW0EewclGL6UN2IfJYaAFjBFqUX1mUTIq6 WPvNm48705zss9kcXAKrPneVM7PLbAGQ9COalgvHEX2n8fnYsHR0t2erN5m/oxDmUfxd1oVWGZcR AB3OQo4u8rR5FvjkK0dHIWvJNRbrATwnk6B5rQwpYzpi0Nu/HOGbsm/bKS1QhjTw1kTANoxAxpPO a39eNjiL48cdUE/pkE/a6sEozUu+TClA2PvzuUMeMNRYz/9s6cFKNFeuo3RUXALqbGxTZFSkXtpV KvVMAKzS1KBxeAmVsqn5CcTeoBor3DCBZF6OwAjTjpPpibOGSmQLJcRA845H38nVVVSNgaBSrKNA XJKy3ASAyEGD6st1PXr1w98hP9/m+beulKjNewMQFhQ2j5rRw7fjDbKIDOBA1LxEICeWm4Qlhzgz 4bCF196Ggo6VbaPiSd0h266/Zo0LAxRLGP8LDnflJ383nnPUZk3Sv7A2hxHCXdeV3kzqoQcyrADg n7+UKQ1I0QSTyj2RL3QYbfSQnyxuD36olWxpxGhhW+MZHuk8Hulonu3f7c2bEFsJloHm5aKlIoBO PoGRrL5lGkyRTilJdm+cSHx4GOMg7iyw0NzAUADbXJt+y2PmwchZ4ll0gLmw2sN9awAGt7/Lh/oX nE8KYQhbkk+AR7N1uWQfLMKGSrIf2wo6TBGhpbLcuKvLDKLrxFtEzdLSFS6gQyTaezaZjDle19mu OuDOPR9aRb/4lNi8LYMAJFQOqftbu9DUKl7bres4PX3wIOcAgGQJFexeoqHRbHqZbmHl++cYKyrd XveCA99ROKiQLHxe0aKslk8pcJsIVFHUCnjQofZ1N62A3v7mIcvpLQz5kK5dBO2zjw89fgNQJl1q 1u3a1vHkErGaatLvNB4afOPrZ1uEIP/cGqYkYupzLXpk04GH+Rv9X2LSNqifDHGk67TRXDI9OyBU UJT/vnM+gBEi2+yrF8WIcYpvR/FTDZIFwPtB63d4I7s6+XEeWX1U4RXGkybyWQ+99QryPg8N5rrO mNeB1x8iql6iYqSPECuRV3jPzhcpMk8XzUa/eJJdzod5vjGxGTqmYl4288+UxZJxyJpq4tF6pfmL hTd9q+yh0+cw5ueWFbjMH8c2gC3h37BSIZiadzaVfUbHv/o/6MWyeg87uhdjYCSMojPmI4Gkcnbo O2tErpp12i8Rw0oUzQFvBcYA34owwIxPBh81iBZrflyXjPM4uKyoo9PtOFnkY/aaBf7tftny9bbG CKYqkt0EF7GQifsT3aB6QKiphKzjktOqqaCIvPZQlS+Fy8oN762bzpRVMFM/GRwsX+tiu0wf1m5x IXEwD+glspc73hdx8dc71k4nn4m1ZLLpbQhh/6cdqvhweT35KozH+0DXWwIJcuG2XYIb+OTxbbTL kCQELPsF7Mx7og3CS+iOYWtnaPHp5ag5T/rgawmM2XE+Hr8f9WcPKauBGNFU5laYOIMw1VxOWnks Cm95MqZ4QI9sWq0IkICvjSB/J3Up9DIjcnxVJ+UklAovVpDqtfjgm1fRT2gedgsmT76M0ZHNHckt Notd5/U1VLkYasnDf77oIYmvM8bplwiqJbxtyl3YrXJPD2EhwjxpK9Ila9hsCVtyj67hqYB/3LbY tbV9YT2ydJqliGhphuXFD9FFcSj8ZF9zR/prsFN0jVD8g+T3DXhgG51ZI8G7p8cgZgnu6ev4Zx1Z nqr8YFB1+tyyrT894ln+yUr0MTlvOfLM1cyjWCCCUOMu6/syRooYxM7G8wLjv6dZcQHTl4LjJvPx 33BuGAA8+8/4bflUYmOa944/JkPbYVs7LvZK+oLBeUrqVbvTpL4ThK1icl2DTnFd1+VbmrEmulRR LyCFJRtW6HvDHFrOg7+ttLRoepMGEgVbcmZTbTb9GSjDr70P/ujxSaa7JoC7A2xli9AiXexKypm7 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AAKilnOAEThGMLzJB5JvEO8m+LvEmbWYSWPA6KSkSGuAa5f0kR46n+eOaZmRaf3RClV6w3lwLEWP 0svWydi66g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cDHXaf5dM24/WJF8bNmjCHLzx61e6slRnjEZictZpRN4sspfIh7t2zBUBy2rb2KiERl55PWaLlhU LUKgyjAz2f+gcKpLnDfnQMPwQhuE7FT/Cw5TN3shpsoTN8kDAMhUWVCeQGsBz9QzVqIxLLJ+hLeE URQvOMIhT0DYJ/n2wYM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block v05YcB343JY7d5eHRBPzaaFImflJ4fhFLxxXr9UgX9GNYrHOLlXZDsw20AAx6xORndn+MhQph7u/ 0T7Mzn/m6yjK8rQUI3AJbRYbAQIWNxhvOR1dB3my3dQynDRhHhjJQBdu70iKZuRb7lSFJsGNld/J rrtsYU6DfKLeGDCb9SBwCciyfqVJwSNokLU4b2/lGR+bqzGFXvgKAlS7SaScdOu/R12mzXXtajTf 2nwYby9NqGMVHtEaTSjLxw45vm+yiTGcu/zWx/EsOMiOq+GxFw6rlxhJkUBJTImzhwbbZbByUQcZ mpx2otKEOvrgOY11Sri9N+YFNxLv7/eit1WKRw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block f40uTdf6qe+WDkHtNBc9v2uWgiKbkQS/4RZSinZgyqGL3fuYtjBcwQVPF6G/65ZJtGWsQAhdNobh dusJSFDxIvMKDnxsjkXBpALW3ybgXiraTrpHs96YTOPlSs0li8aVuQ8fBOXpstrLPkUG+Slf1MlJ QR25CJP/aKyLucZlX+E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m231gWc/8RTdiQjYSX8V6tjUvpn7MITU1Q5wUjRB+cCxe9Avwj6TVLRFOK/BY2GaBM1XlnA6uRXK aloaxRUlCFgw391LdS6059T1dXzMcVdGl2EwaGQLjWjpya93RPbHOKV6OUED3J+iUkA4lCxehZ2r ZqeYhCKxShHI94zz1ZPIb4Wwk2SXG1Z8exJ/IaMias2/rqhGJCj04UaFAJHVC35nXoHtKNPJqFF1 55PKgLsMZqhap3vVcc4nZ67q+LzAWTbdBpqMkoLlclKUJyA76eRxskpc7Uibkd5DPwcdnjRvTUcr 7vj+/b+Q+afBsGw4W9uLIcltYKJ9aFCzsXtX+A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6592) `protect data_block GzF/Q/0IMoj0DqpIao2XO/nlJHI4XPVatkBKArT8x9QdMFEkJjt1RlfgX0CemHJkfdHhmPL2rMQR rf3nd4IpzGQFobXTSOsB2eGqKtC46k9rygn4A4QiiFWLiRLeC3Cmj0kJpeglnDsDMxLkd/dF07E9 XD90Hkca4YC3m5LfheSMzkZWEZK32txcl7qBqhdJUgObsvKNi8bjLtHmZm2i2NT2thSYixfQ2uqy ns4awtoBvzw2rerbrodURZr1L7SGTauCjkXQpJHfU2FO7eN9DMxYjQ/RG1q3341sOo4DOuqaOL/s em9avG3LJG8xNhUnUCi9QmetD71B7aHxddCitHPkunn6k/ajiwKOG5y0tPIlQHSMeQ3AiCIzZ2nw hM6kXLDRTEzPM40jxFwd04qGuBVT+pvI60neuKS4bXPCcPxcQYz53JXg+JO8nSLA0gUswXNR9pi2 U6L5exqZ8FA7hD+jf5qqh3Ugf6dIoLNQ9W1UeHrIWTdponELsU9hndczUzVwudqcQcpn+Mx6XTjW FBc3//O5oKzkEeoffP3u328OA4On+2RRG3NizK9HRo+sZWdGhzimUqiB9bdX+VMoQzuPMVdakNqA b+a5wmS4WMEq9Z/FKd8sph6HdIQToKun9J7TQOBgHqOt63LH7SSKaLuGAZoqiIhxUpoNmVnMFuhS yOeHgcrOgVjCE1Zwrb8eHok6FuQGN/TWqDlDnRZcEDr4L8+/Nev/0YfFJzBaJBrLolIrhmPN2kdI Jl7ZeuqocDtgy6dfX3COpKHstB6Wi/66jLDAcx+zYAk8PBJm9k0832wKEXxMYyPL+w//2RT3h7Ra 8tE7jDZFZWg5vPmx1+EdjQXHZ/GOPKoHA/9MFVziEvnIcQswzQF0ogPJ7BoqBXJnIu2Xa53sac9q VfICDaC7bikTLniQSB2j6TbI2k0mfRH4QQq7zu0/6pyCMNNG72YxOOd5EPCIncM0NNB6JeNeC5NU LcIdCUVJ9rGEpaVGEj7ybo+cJwIfPkwmA/Ca0J6wvRYl8wtAuYEzIJ2PjubA9e4TaBhoneCfFaJi O9apAT//37UFjZLhBwNfwXox5ZVTDSR33cfKw9ySuIyKlh/9sziNny4+oVjaiCLYF3gCuLa0aszS 4TUVja4HHa+53N3qfIdXy4uNoqEdXSPGRK0D8b8IcTCcvIJuRDc1Jq6MPB/Rp/QDqqahymmJsgSj HMxPuo4+Q1/QHUZHfkAF4lh1YgmtpfU8+XszkUqPoLJm4crNLJLfa68iT1e78TX7DTJ3QOFFe+5W 8KyMkRtGY/+DJYzLwTYvMmrAeZXiEqR8yLNdOMOE73uAss0jJCBSarEcqp8rpsAadTIhgjffsClK ytUq12TlqWwsj57tReVWgI27gUf3HPUJgqtPeH7UZjyWVUYLroWi+vhTV2jhsYCPDzm/50fARC4T eHyGGU4KySidgfzq0KW+JmMEM9cCrl3/TVmhoPaELxh+rPK9ezqLd6Kfmhs7S15blv0K9gQhRE5U JvDUunP5uuLRv0rwqHUFk3uRjhCqxp/jFOvb37Eu7ezkoTnxAbTb6i9cjnM43wRCDMS4vJzz97Sp rNTdRt4TGmSsdHCLX5Xrbuauq2mdvmMdV3c/CKlxVykvp9rtIY6IF8K0ixhFqukPZlT7rwwokD2c d7sjOmb3xESfqyAnggxYjKxQxajx71D1fZpLFGEnloMeoLz//C8oqO7R/9D4lIyBMy9OeHPNVuMJ 4lhGQD44vGWT0qGXQcgfyZaH+2T4RcfoAY44mwuN6xIn405SiBgjfUgF+ZJjzxqsh4c+WSKJ46jh Thg9l6LhlzX4QEbk0QGOwu9/TzIkXBzdjL621RdwhtLeJgNHaRiI/ju2uo1DgZlbZu+TmHuO4kgu 7htOQRGvZEua3dzeduMOMq+K/8Wxc8d8zwu5c0Jjr1UDFqp0W90p9YL4wkTXbkFYzQEtTX2isPEA VWy3J8wJ+3JZkRzonx/KqBVvvLJLK6/5iicYElsZJmANQwRXFLu0EUSOEEvIR0v238g+BU3L3wBu MBAhLgYFVqrvShLiZL/M3r+97zzo+3qQLwMhRPw1LPEOE5J8vDa0GbzQjf+EpSSV/4GvtoUt4UDH GJOZyNIBU7EUSPCSyQ9LMpk7Fe6yf3UE+Ki951ueEOrNxHUk5zZ+u4+Gipp7VSQQW8f4Vm1tXiyy lVU81Abqup5ZqkswUr6MvVokNiuVNkmAhNnYQZp6VRkT+JmSs8voTFuVWP3cKdymKiYZO4bpRbJC jpslJs0H0beThX+aZ/EekngoAnfC2aNUmQ8LWN59bEXdECw9LqBCvjO4LrsV3Z2z+CdTfMc8Ksz0 6tGWKugL0hxzdqhFYB+hkocQAyUxh0ICjJ+NVXVjHooSxVX0Hvg467vxI6PVXPU8AWAo0KhO8LZY rKMBuex7YuGTFrA2BYGCJXyTBFNt93dM9AhmE0+YDVJhE8GGMw+M5GuShTl1WWMM+yzY3dzaxIKg aoQWkizD34LqF6IBl9Enb+4m/qXl+BuB2frvk9gdB8ddOORZDaaVKoOJh9eJdzZ8U698luE/mu2C LOr7k0TVZRcqUixjvUfJqJUl6OEwekKINsW14h2kZv2PmjQ1rlzmtCvICvVhIBcMiDcYgEjhOUDa iwjBZlCd00tJVuSVsWDr6Dl1GY2b2RGA+2nQonJVWwUo+kGjXewC6pybESbpxEUoS0S+Ji04hIVi dQlpJCTpfuDQAFfjfQs5f5JLEIe8kqDZmWIOkvYFgDifPeh73c1RWDK5W0XxbMDsrDPmdXujVgBn b9get4AJvPcSAJNf5qwe4yBooL7LQFNJx3+OUtI1lPGkB53gsKnDzb7A6hRBOFs4NGNciSuHpjcd g5RxgVQxaJTpJVDSDCuMs7mmaaG7/voh1CDSlAJh+aIEkXoHDpyl8HO4tznnTa9RROyhgaWa8L8Z 7kK7FL/5ipRmVNKRPnyRJ73jv+GYJyY9FYTEdTbeLj+i81t0m1NT0KEBbAvTjGFA9YBOAEF48G6h h483dI9Iy81AeDaHDZVF5qOz8G2sNZxzl6FZI1de0JtLl9RLkud3g/7IiFFDZATAQgsXDGUQttmt UV5+rUXwYKsV8NAcTBBfa+BCoT4MHiRnaVmyhwrM7Op4WRypnXLIh0jXh8EWARGQ3Fe2Hmv5w1PF 7lgKqPMqNIka/2FRd8YTMD+q18AptbaEQk1uczD5rCHSmzJljAO9pgsTEiuuvAWZVn+oNjhkvy++ +8zyz8yOBFsi0Qqy6eEbDybGYzjpVJQfpJ3pvx+jSbLgMCi/rF/Zoij73jc1HmGg7kJc6lyjJ5eG 1wW1RRFBV/6NpfuFimINXEpSxOYeFYVA3E1PNEVq321QGgg1IMnjbAkS6j6Y7h1g5S4yM7PxifVQ KS6wJpg31MwhlgV8/x5ptS560HwnuuKdGbIG4fPW9mu0O/SpFzxmoOVYWHDsAVeeK68RT9tPdpuv uEsWebKwMECJG5Uhz6YuXsO88ommY95aMhTAWf15fGLSstLi8Wqhyah2NJ/UQjgfBQJguWjcc4HC e2Jt0BK3d+lhnb52YBTKssy3uS+rFHtEGY6X6E1j1sCw9ngc1wdcvBdhx2SPvX3W9x93LFygASwX V4auGSvvSVSV0+TKy4g6pPX8WzYl2Tk6P24h3mOjY5v9gKPsUVyB2ekcfpPcBIXDIj+jgd8DIMSv 870nTVLC2KsRq0LbHMbqQNjYgYyPWiaAtbv14iNfHgfNFN8wXmTDDmfOzIUs6vkYnniUe+4XnylF Z5MmOwwdmbREA90sNDlWvN1ZMqbVzYc7k6EF3pMDKOWy+H6DSV8SDJHnKoPWoKWkRmmV/ebAZd6K 16sk9iSr338rlPwLBniqri+nDk/TaGgnliOOrgcYSBK9TeAxpVGqoM7EQgho/mu3iKCzrXGOOQIt 5Xnt8lJO2iRqQj//RSrtiKeT3q+LP8BDzxj9jsWWYZi3sUVd4DtLBhnaN6XAjb8bQSI6Yia/0BlK 87kyTTxEElXafTJmNqpl+XlaqdKDJ0hEiuD3F82ucptS+42RIV4MuyEc9qoyi+oLLyTupTPRl6EV Fsb+MLpo0antqDWCZcQjkGPafpqPEVPCJH69Oc8FsKNN2rj7S+1eRxsQqdBPKVW1UBbRUS/nwh0h lfuIMUnnfwf9mHWRuXsmwwxqFFHRJneZ76iPGJEQUb8AB/FAKj/J56S3j8wmrHVuMyF4lEf/l+0j GhNg8ukMVS2LFyjD3eKUONXEExToNnv3boSXYhl8722JH6LnThB5CmTIlLQi6PLouLEgPtYKj6yY Bp/P3XKWFzVFKUBGVLRgDYTnQviZn4zlMBvVIHiCXVctgXZsC/sfn1GSeU23QewSOTlVTDNPLCEA hURKrIFH6SluCo6CwvWbktnN2tnf+SKPtvx1vk+OxygPK/N0gCQhbkI3GKi+O9idSHVTs/U9Z8F5 kA1zWDed+ffAMhds+wXtooItMr1+7XHf6uVuhQH2sjLH5rp+peJTDaleLbEqO5ankIZyhZoLXbfm yq13MjYPldqYadHnUtWPFlmb6B/RMV3ywmDd3ogUjchcH1PFIA50Z4i02g+lxIvn7g7+O9Gp1zbG g9AJygB3Bspo0fTXSA9GRgeLnr218hVJvhu63+5s541O6g34RTwgkHc8MSYmnxsOqJ2UmVh/op9h Vlvoeyr9gsUii3waEtd0Znatai5QDfIxGkoQ+u0cf2BM0nd40Ft71w/bBpmOR5zpnnjAYHC+7kCT nHvfOxGenF4clx4tXYo6u7nZu5m7gXtJnbvY/MRok1XDN90Lny203oWDPv9HVoLPa9tOnWutgt5H CJEXX8dUZJ+UTlfGX5BX56sg87l3wVmRyz+OIlyqufDwff7VBEeHF252mASsx7JRo2m6xJfwjDPt PSAswcxfBX6DBUCxWP0xwsxaIh0a6ctrBBnCIioZ/AMNb4ai7Egj3Q6g4jyHw97j6qFbbUWcwlvc nxs3Ot19eTe/1LiBxVTbW+UUWXZH1zp+KgPrnhK15NitJHLdwJgFaHmVuslTxOjqDk6obp283Juk hZgWYlvCGgRI/vYm4bp+aPj6ZzJGCPOxIo3I+GYIhODFaeBWbYZgNkph3zmcxT+uAiFCQMHCB798 qbAMGScn6jKpSk7j7EFU3k3lfRqyRscdPQjAsQ4gaPcMJS9CGChFBeXj5Ck4v1d7ScQr9mIeYRYy LLMIs1wgptOsYEeNexhmz35pkmDLCloqnLf/lRDMiDiGR9/Z+tHe4/e5oyUELzPoj9OummQqZDmM CTrZmYK3zMHz8Cqs4g4Jq0LF8kbTKYcxXbc6OyeGBPeRnt859G/5+2z18+9wP4u8oQa6XYEUZJK1 nagf0alskyVozzd2FhUzCCnhHk7jMWVKo6h7wBN1ygcsRMMtdhTheBONyM5J+qMIyvS06jBEKF0g aOimg78F73J0teQZqjybKzl0R5/Gk5R2cst8Q24pFJXqyyrtI5VIR5ooeZybwKx1X/JkI4cHpQre 57RZRDZcdWSTlplqlfY0OUMeW0IBrMWMYY7kj/mHaBLgU/NBj+0vyCVYU5bG1yGNCgBvRkilhBgk wUZmJ0zrJXDl8gbnoto7AaoiIZCToPAc8FQx/kIlurSiGHm6VTXQU+on7E8HpT3HvsK9T//fYsrh uK2qVwo1p1+DqmuNCe5+EbU3INeguWoj6DmP/lKh8QvGiDZi4+a92DZzmeIcjp9+M5+IMTQy8h2+ /zmOOzgO4eUBt43VmEKwFwutNr20kveUefOov2AVBEuCd9jPiMTt3Ti7hEkRl07zkwor3DoCt92u KSQ6GlhjwbFMFi+jleCKZd1iM0w+sh6VcKdCnfx6EbmbZdHyUfNoGUxvawoiXZ5cWPNqNsoTfQyO r0Zks20oqvCiHz3qKx101Kz4jUu+sCRxgqRyWtsZ5VZHz/CEMGhxu+NWVsmGqMotAZhFjSKvBD6o maUvza+clPOCaTBCdh7PDqhbcH6pTPu5QgQGpP4uhK+7tP6rcfzVCKThlvy0W+BV7ptJC3o9X/qT 23EGQ5Lo29lV97LUetGRPjOkr3utFnxIW9BIP3GSnEVGLp8gg6fi2c09AmtwZDed4oLeCKVVz07/ GnZw4NUWemulZXIGkVPxuPoY/twGZbWvpTc1PQeR+gHTls9E3BYv0O0qTVj5VWhz+9iX/UxUcyVq S31tZA2TzmfA4+NvxKuIFDISkdVBOvfYpu3qShoUSC7TySthkUScoNwZ/ZAn8SZdGX9PC2SQGpHi +r/XmZrFAhEWkZEvRpxd+4hdQYqT06dVzuXq4xiUkxa1tZSt3I/v9/bXN0n5WgAL2to/xi4cPfsQ Cm5OJ/2mQvHZ+V2WAy7TMtJj0oYpNPnam17fO4mVkLIFJbX6p3qBR3O+I8FwbxS6XNZYbwgO8HQB GoYDCtAe1e2q1wvX5lV8QQqvRlX0osUjbZWQs9ZCQLoKMt+nh6WqWZduQmaQaG4Lcr3WdNP3GVZv ZuNvY4NZAb+2GJfjd6gI+ewbP0QHpELypkbe98/8YcjtbClA0gU0tuw6htzb7JzBO64wRlcdcVEt QSEkbQj1Cuem/2mIv3i0db7FAGRztS4YfXXNDZHlUyZhq2Y8IK3HCkKfBML0ZKdhBf55EUGK2ZUL /GrGlB9PdXqiBBgWFgh9TGy0JPB1pA+iqcB3wO+Y4U1eYe6R7SpjuAFU0qZck+5dGIXzoOFEN7Ui P+dVuvI6lIPDtIsqmyWiB4WAtcvwo3V3Ww4UR+o5KQx7THok0QSqbOU3x4ydoi4Dc69T9UfVHnQg cN/mkSbeDdOdFv4XRx8ej33AilDHJVpXRVTcunFBjXeMdvpIicqK+8FU8QL4RWmJkCS0iZ0O9V3S TVg2e+AP54IHhzhvD45H2bVEprZK2MiA3YWekrav6i3SuhyZWvoQtUYf1UFXFeBjN+l7ac4d8qUb mdz4/qCSOxTJv8HeEBH7NYq0Aq1xlKyemaY1QZ5SRQQei0kDht0N7XZYLsMCus9jhrVpESRpcMKA 3WLJLWIAtAyMdsE2zcMLadhh6Q9yNCtbolShrzqkxOO0xUJKW6aaoXhbQN2D8ZYLblMTON2KHyxp CwWUvfK+0Q5eZd/101bqbRYbUh3vzfsTlfclWE5G0P2eTwEpsmRLaQm39Y/96BZFQN8fXgb2fXCd NtSznIB9mr/mZJt8fJFY1MOcznxSFqRQS4ionlfCHmVI3yl6S/x/P0rO06dw9am70kfipDxFr0YB 7bHl/jziDivdI4Juhfkn3BNDojXDpiOsatuoL+pzCdjtaQfVlVEP/wh5xrDEkfqkvc8tvpr/lDBt 3a6EVHxjrRQi+4Ix8D/6L4ItA3/WuQ2yNWcHEEIusfsLRuyH9xef+cKAKMZZOgRBuC2d+CRBaEvX pnG8accrIGXZez+cgK1QL1ElaAO0Gn7/GRVE2FqPcH93BFEKG/EExUtJcGlsfd0S6KU0oKpT04Vf UIFxuNiaOPgAF7D2ZN5AQcYAVbNPR3CsQDkDf9P99OOZ+ab6IgA7MZJrR4CMqBiVy+SKeuKym+se Z/NNytNstKHQQOVxY2Xr0xfeVNdc9fscWnDq/ywXPdZDFE9GD+0l6bKL1BQmCVw/2GhZDkBIhRaJ 5ioPO4SjA0Lwyq/p5HWrK3q2r8ElVwEFArKF9lKsKqAALZc8x3bi6Ziv42YU0cB/bxKhTYbZ9tR5 e4+w8mBvlkEH9CMsez4ih15z9UoGWMlP2F4omKvjnCvAmJMVtYI1qtUKKrUcjgIwO6MuPFWDBLlD R2YenPj+Kbhwt+1SPV0GORn1M7Za7DprU6Cnnr3SHUxM5R9TZFcsB/RuMs1JvF+kQxmcL10jkqkj gmLWSqXlGi/tPSZzfdbmgNQceCD5I1ngXKWwn0lQ2gJ+icahJ0oaEss30z6oTi3Bo/5slQVy+V46 Cxbew1t6CR6aKJIAjfkIPe2+ixGmRkn+BJaDZu/553dm54+OV/J5dNS6Q4EfzUmeFF9XdXbK56lk U3biPdWKIKP5NW54ZnFaQXaB6iOHIr0D/eaTkOxWyL7EyTq3miRN8EevyYQBFm/it2HCdRMHadz9 azRW9TbfLyEIVIO2E4FbdyY+6XLLPaUUk/uo8zrCL6Vf2s02sSJ+Or0tGYu0MFfVNwp8ZwSJkStb 1rcikMnds0Tm3sYJasL0oFdx39WQQIzYrYP1jrOp5ud7/J2NDLNpMcm7QGTPlG60gbXRsjhJrG9r 9ovLDEPu1k+LQwyBVY11xlKapQiyKxP7p91qyHwwfi/ClJkSPH32B5hkZnCz4Bv4jBEfa6X+UGix /AFOIDubt65Zx5HgYcMbFaJHjAv0frnqG3v08L43OZrmRtKNL4HWBStps9WRrpGVrEWuGWK6b5a4 NAZ7EzMeMqOqWiWX2nf2BBBLau3x0V1AEqyYbP4eOC/ViCfhaa/44NkypeB8DwJtlMFyqwJPuBcY 6Q/7itj3BEFL6s9jG0GPchTSC8jrOx0yQLLdLgMxzwKmBiTP781I6yUrJ9lMKxJWMzE6BNDqNu4r FL11udXDmYT8+ecZljHncK+XGX+9PfH9zUHNSbqP8Atn4tGNPELnZx3EY+2SkKtrmPjA19jPZJ9T 97b9kUSet/8JK7QhUJZ+NnXMlwR4VxV1Wptk+93udBLWfzZlq4JBmS8X7DBV7QT04g5RVTnWLslw c3q7hyTbwKkjFuvgv4mTtdGV0xGfEYFGlTYxAAkXhrfnrMjZeQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AAKilnOAEThGMLzJB5JvEO8m+LvEmbWYSWPA6KSkSGuAa5f0kR46n+eOaZmRaf3RClV6w3lwLEWP 0svWydi66g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cDHXaf5dM24/WJF8bNmjCHLzx61e6slRnjEZictZpRN4sspfIh7t2zBUBy2rb2KiERl55PWaLlhU LUKgyjAz2f+gcKpLnDfnQMPwQhuE7FT/Cw5TN3shpsoTN8kDAMhUWVCeQGsBz9QzVqIxLLJ+hLeE URQvOMIhT0DYJ/n2wYM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block v05YcB343JY7d5eHRBPzaaFImflJ4fhFLxxXr9UgX9GNYrHOLlXZDsw20AAx6xORndn+MhQph7u/ 0T7Mzn/m6yjK8rQUI3AJbRYbAQIWNxhvOR1dB3my3dQynDRhHhjJQBdu70iKZuRb7lSFJsGNld/J rrtsYU6DfKLeGDCb9SBwCciyfqVJwSNokLU4b2/lGR+bqzGFXvgKAlS7SaScdOu/R12mzXXtajTf 2nwYby9NqGMVHtEaTSjLxw45vm+yiTGcu/zWx/EsOMiOq+GxFw6rlxhJkUBJTImzhwbbZbByUQcZ mpx2otKEOvrgOY11Sri9N+YFNxLv7/eit1WKRw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block f40uTdf6qe+WDkHtNBc9v2uWgiKbkQS/4RZSinZgyqGL3fuYtjBcwQVPF6G/65ZJtGWsQAhdNobh dusJSFDxIvMKDnxsjkXBpALW3ybgXiraTrpHs96YTOPlSs0li8aVuQ8fBOXpstrLPkUG+Slf1MlJ QR25CJP/aKyLucZlX+E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m231gWc/8RTdiQjYSX8V6tjUvpn7MITU1Q5wUjRB+cCxe9Avwj6TVLRFOK/BY2GaBM1XlnA6uRXK aloaxRUlCFgw391LdS6059T1dXzMcVdGl2EwaGQLjWjpya93RPbHOKV6OUED3J+iUkA4lCxehZ2r ZqeYhCKxShHI94zz1ZPIb4Wwk2SXG1Z8exJ/IaMias2/rqhGJCj04UaFAJHVC35nXoHtKNPJqFF1 55PKgLsMZqhap3vVcc4nZ67q+LzAWTbdBpqMkoLlclKUJyA76eRxskpc7Uibkd5DPwcdnjRvTUcr 7vj+/b+Q+afBsGw4W9uLIcltYKJ9aFCzsXtX+A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6592) `protect data_block GzF/Q/0IMoj0DqpIao2XO/nlJHI4XPVatkBKArT8x9QdMFEkJjt1RlfgX0CemHJkfdHhmPL2rMQR rf3nd4IpzGQFobXTSOsB2eGqKtC46k9rygn4A4QiiFWLiRLeC3Cmj0kJpeglnDsDMxLkd/dF07E9 XD90Hkca4YC3m5LfheSMzkZWEZK32txcl7qBqhdJUgObsvKNi8bjLtHmZm2i2NT2thSYixfQ2uqy ns4awtoBvzw2rerbrodURZr1L7SGTauCjkXQpJHfU2FO7eN9DMxYjQ/RG1q3341sOo4DOuqaOL/s em9avG3LJG8xNhUnUCi9QmetD71B7aHxddCitHPkunn6k/ajiwKOG5y0tPIlQHSMeQ3AiCIzZ2nw hM6kXLDRTEzPM40jxFwd04qGuBVT+pvI60neuKS4bXPCcPxcQYz53JXg+JO8nSLA0gUswXNR9pi2 U6L5exqZ8FA7hD+jf5qqh3Ugf6dIoLNQ9W1UeHrIWTdponELsU9hndczUzVwudqcQcpn+Mx6XTjW FBc3//O5oKzkEeoffP3u328OA4On+2RRG3NizK9HRo+sZWdGhzimUqiB9bdX+VMoQzuPMVdakNqA b+a5wmS4WMEq9Z/FKd8sph6HdIQToKun9J7TQOBgHqOt63LH7SSKaLuGAZoqiIhxUpoNmVnMFuhS yOeHgcrOgVjCE1Zwrb8eHok6FuQGN/TWqDlDnRZcEDr4L8+/Nev/0YfFJzBaJBrLolIrhmPN2kdI Jl7ZeuqocDtgy6dfX3COpKHstB6Wi/66jLDAcx+zYAk8PBJm9k0832wKEXxMYyPL+w//2RT3h7Ra 8tE7jDZFZWg5vPmx1+EdjQXHZ/GOPKoHA/9MFVziEvnIcQswzQF0ogPJ7BoqBXJnIu2Xa53sac9q VfICDaC7bikTLniQSB2j6TbI2k0mfRH4QQq7zu0/6pyCMNNG72YxOOd5EPCIncM0NNB6JeNeC5NU LcIdCUVJ9rGEpaVGEj7ybo+cJwIfPkwmA/Ca0J6wvRYl8wtAuYEzIJ2PjubA9e4TaBhoneCfFaJi O9apAT//37UFjZLhBwNfwXox5ZVTDSR33cfKw9ySuIyKlh/9sziNny4+oVjaiCLYF3gCuLa0aszS 4TUVja4HHa+53N3qfIdXy4uNoqEdXSPGRK0D8b8IcTCcvIJuRDc1Jq6MPB/Rp/QDqqahymmJsgSj HMxPuo4+Q1/QHUZHfkAF4lh1YgmtpfU8+XszkUqPoLJm4crNLJLfa68iT1e78TX7DTJ3QOFFe+5W 8KyMkRtGY/+DJYzLwTYvMmrAeZXiEqR8yLNdOMOE73uAss0jJCBSarEcqp8rpsAadTIhgjffsClK ytUq12TlqWwsj57tReVWgI27gUf3HPUJgqtPeH7UZjyWVUYLroWi+vhTV2jhsYCPDzm/50fARC4T eHyGGU4KySidgfzq0KW+JmMEM9cCrl3/TVmhoPaELxh+rPK9ezqLd6Kfmhs7S15blv0K9gQhRE5U JvDUunP5uuLRv0rwqHUFk3uRjhCqxp/jFOvb37Eu7ezkoTnxAbTb6i9cjnM43wRCDMS4vJzz97Sp rNTdRt4TGmSsdHCLX5Xrbuauq2mdvmMdV3c/CKlxVykvp9rtIY6IF8K0ixhFqukPZlT7rwwokD2c d7sjOmb3xESfqyAnggxYjKxQxajx71D1fZpLFGEnloMeoLz//C8oqO7R/9D4lIyBMy9OeHPNVuMJ 4lhGQD44vGWT0qGXQcgfyZaH+2T4RcfoAY44mwuN6xIn405SiBgjfUgF+ZJjzxqsh4c+WSKJ46jh Thg9l6LhlzX4QEbk0QGOwu9/TzIkXBzdjL621RdwhtLeJgNHaRiI/ju2uo1DgZlbZu+TmHuO4kgu 7htOQRGvZEua3dzeduMOMq+K/8Wxc8d8zwu5c0Jjr1UDFqp0W90p9YL4wkTXbkFYzQEtTX2isPEA VWy3J8wJ+3JZkRzonx/KqBVvvLJLK6/5iicYElsZJmANQwRXFLu0EUSOEEvIR0v238g+BU3L3wBu MBAhLgYFVqrvShLiZL/M3r+97zzo+3qQLwMhRPw1LPEOE5J8vDa0GbzQjf+EpSSV/4GvtoUt4UDH GJOZyNIBU7EUSPCSyQ9LMpk7Fe6yf3UE+Ki951ueEOrNxHUk5zZ+u4+Gipp7VSQQW8f4Vm1tXiyy lVU81Abqup5ZqkswUr6MvVokNiuVNkmAhNnYQZp6VRkT+JmSs8voTFuVWP3cKdymKiYZO4bpRbJC jpslJs0H0beThX+aZ/EekngoAnfC2aNUmQ8LWN59bEXdECw9LqBCvjO4LrsV3Z2z+CdTfMc8Ksz0 6tGWKugL0hxzdqhFYB+hkocQAyUxh0ICjJ+NVXVjHooSxVX0Hvg467vxI6PVXPU8AWAo0KhO8LZY rKMBuex7YuGTFrA2BYGCJXyTBFNt93dM9AhmE0+YDVJhE8GGMw+M5GuShTl1WWMM+yzY3dzaxIKg aoQWkizD34LqF6IBl9Enb+4m/qXl+BuB2frvk9gdB8ddOORZDaaVKoOJh9eJdzZ8U698luE/mu2C LOr7k0TVZRcqUixjvUfJqJUl6OEwekKINsW14h2kZv2PmjQ1rlzmtCvICvVhIBcMiDcYgEjhOUDa iwjBZlCd00tJVuSVsWDr6Dl1GY2b2RGA+2nQonJVWwUo+kGjXewC6pybESbpxEUoS0S+Ji04hIVi dQlpJCTpfuDQAFfjfQs5f5JLEIe8kqDZmWIOkvYFgDifPeh73c1RWDK5W0XxbMDsrDPmdXujVgBn b9get4AJvPcSAJNf5qwe4yBooL7LQFNJx3+OUtI1lPGkB53gsKnDzb7A6hRBOFs4NGNciSuHpjcd g5RxgVQxaJTpJVDSDCuMs7mmaaG7/voh1CDSlAJh+aIEkXoHDpyl8HO4tznnTa9RROyhgaWa8L8Z 7kK7FL/5ipRmVNKRPnyRJ73jv+GYJyY9FYTEdTbeLj+i81t0m1NT0KEBbAvTjGFA9YBOAEF48G6h h483dI9Iy81AeDaHDZVF5qOz8G2sNZxzl6FZI1de0JtLl9RLkud3g/7IiFFDZATAQgsXDGUQttmt UV5+rUXwYKsV8NAcTBBfa+BCoT4MHiRnaVmyhwrM7Op4WRypnXLIh0jXh8EWARGQ3Fe2Hmv5w1PF 7lgKqPMqNIka/2FRd8YTMD+q18AptbaEQk1uczD5rCHSmzJljAO9pgsTEiuuvAWZVn+oNjhkvy++ +8zyz8yOBFsi0Qqy6eEbDybGYzjpVJQfpJ3pvx+jSbLgMCi/rF/Zoij73jc1HmGg7kJc6lyjJ5eG 1wW1RRFBV/6NpfuFimINXEpSxOYeFYVA3E1PNEVq321QGgg1IMnjbAkS6j6Y7h1g5S4yM7PxifVQ KS6wJpg31MwhlgV8/x5ptS560HwnuuKdGbIG4fPW9mu0O/SpFzxmoOVYWHDsAVeeK68RT9tPdpuv uEsWebKwMECJG5Uhz6YuXsO88ommY95aMhTAWf15fGLSstLi8Wqhyah2NJ/UQjgfBQJguWjcc4HC e2Jt0BK3d+lhnb52YBTKssy3uS+rFHtEGY6X6E1j1sCw9ngc1wdcvBdhx2SPvX3W9x93LFygASwX V4auGSvvSVSV0+TKy4g6pPX8WzYl2Tk6P24h3mOjY5v9gKPsUVyB2ekcfpPcBIXDIj+jgd8DIMSv 870nTVLC2KsRq0LbHMbqQNjYgYyPWiaAtbv14iNfHgfNFN8wXmTDDmfOzIUs6vkYnniUe+4XnylF Z5MmOwwdmbREA90sNDlWvN1ZMqbVzYc7k6EF3pMDKOWy+H6DSV8SDJHnKoPWoKWkRmmV/ebAZd6K 16sk9iSr338rlPwLBniqri+nDk/TaGgnliOOrgcYSBK9TeAxpVGqoM7EQgho/mu3iKCzrXGOOQIt 5Xnt8lJO2iRqQj//RSrtiKeT3q+LP8BDzxj9jsWWYZi3sUVd4DtLBhnaN6XAjb8bQSI6Yia/0BlK 87kyTTxEElXafTJmNqpl+XlaqdKDJ0hEiuD3F82ucptS+42RIV4MuyEc9qoyi+oLLyTupTPRl6EV Fsb+MLpo0antqDWCZcQjkGPafpqPEVPCJH69Oc8FsKNN2rj7S+1eRxsQqdBPKVW1UBbRUS/nwh0h lfuIMUnnfwf9mHWRuXsmwwxqFFHRJneZ76iPGJEQUb8AB/FAKj/J56S3j8wmrHVuMyF4lEf/l+0j GhNg8ukMVS2LFyjD3eKUONXEExToNnv3boSXYhl8722JH6LnThB5CmTIlLQi6PLouLEgPtYKj6yY Bp/P3XKWFzVFKUBGVLRgDYTnQviZn4zlMBvVIHiCXVctgXZsC/sfn1GSeU23QewSOTlVTDNPLCEA hURKrIFH6SluCo6CwvWbktnN2tnf+SKPtvx1vk+OxygPK/N0gCQhbkI3GKi+O9idSHVTs/U9Z8F5 kA1zWDed+ffAMhds+wXtooItMr1+7XHf6uVuhQH2sjLH5rp+peJTDaleLbEqO5ankIZyhZoLXbfm yq13MjYPldqYadHnUtWPFlmb6B/RMV3ywmDd3ogUjchcH1PFIA50Z4i02g+lxIvn7g7+O9Gp1zbG g9AJygB3Bspo0fTXSA9GRgeLnr218hVJvhu63+5s541O6g34RTwgkHc8MSYmnxsOqJ2UmVh/op9h Vlvoeyr9gsUii3waEtd0Znatai5QDfIxGkoQ+u0cf2BM0nd40Ft71w/bBpmOR5zpnnjAYHC+7kCT nHvfOxGenF4clx4tXYo6u7nZu5m7gXtJnbvY/MRok1XDN90Lny203oWDPv9HVoLPa9tOnWutgt5H CJEXX8dUZJ+UTlfGX5BX56sg87l3wVmRyz+OIlyqufDwff7VBEeHF252mASsx7JRo2m6xJfwjDPt PSAswcxfBX6DBUCxWP0xwsxaIh0a6ctrBBnCIioZ/AMNb4ai7Egj3Q6g4jyHw97j6qFbbUWcwlvc nxs3Ot19eTe/1LiBxVTbW+UUWXZH1zp+KgPrnhK15NitJHLdwJgFaHmVuslTxOjqDk6obp283Juk hZgWYlvCGgRI/vYm4bp+aPj6ZzJGCPOxIo3I+GYIhODFaeBWbYZgNkph3zmcxT+uAiFCQMHCB798 qbAMGScn6jKpSk7j7EFU3k3lfRqyRscdPQjAsQ4gaPcMJS9CGChFBeXj5Ck4v1d7ScQr9mIeYRYy LLMIs1wgptOsYEeNexhmz35pkmDLCloqnLf/lRDMiDiGR9/Z+tHe4/e5oyUELzPoj9OummQqZDmM CTrZmYK3zMHz8Cqs4g4Jq0LF8kbTKYcxXbc6OyeGBPeRnt859G/5+2z18+9wP4u8oQa6XYEUZJK1 nagf0alskyVozzd2FhUzCCnhHk7jMWVKo6h7wBN1ygcsRMMtdhTheBONyM5J+qMIyvS06jBEKF0g aOimg78F73J0teQZqjybKzl0R5/Gk5R2cst8Q24pFJXqyyrtI5VIR5ooeZybwKx1X/JkI4cHpQre 57RZRDZcdWSTlplqlfY0OUMeW0IBrMWMYY7kj/mHaBLgU/NBj+0vyCVYU5bG1yGNCgBvRkilhBgk wUZmJ0zrJXDl8gbnoto7AaoiIZCToPAc8FQx/kIlurSiGHm6VTXQU+on7E8HpT3HvsK9T//fYsrh uK2qVwo1p1+DqmuNCe5+EbU3INeguWoj6DmP/lKh8QvGiDZi4+a92DZzmeIcjp9+M5+IMTQy8h2+ /zmOOzgO4eUBt43VmEKwFwutNr20kveUefOov2AVBEuCd9jPiMTt3Ti7hEkRl07zkwor3DoCt92u KSQ6GlhjwbFMFi+jleCKZd1iM0w+sh6VcKdCnfx6EbmbZdHyUfNoGUxvawoiXZ5cWPNqNsoTfQyO r0Zks20oqvCiHz3qKx101Kz4jUu+sCRxgqRyWtsZ5VZHz/CEMGhxu+NWVsmGqMotAZhFjSKvBD6o maUvza+clPOCaTBCdh7PDqhbcH6pTPu5QgQGpP4uhK+7tP6rcfzVCKThlvy0W+BV7ptJC3o9X/qT 23EGQ5Lo29lV97LUetGRPjOkr3utFnxIW9BIP3GSnEVGLp8gg6fi2c09AmtwZDed4oLeCKVVz07/ GnZw4NUWemulZXIGkVPxuPoY/twGZbWvpTc1PQeR+gHTls9E3BYv0O0qTVj5VWhz+9iX/UxUcyVq S31tZA2TzmfA4+NvxKuIFDISkdVBOvfYpu3qShoUSC7TySthkUScoNwZ/ZAn8SZdGX9PC2SQGpHi +r/XmZrFAhEWkZEvRpxd+4hdQYqT06dVzuXq4xiUkxa1tZSt3I/v9/bXN0n5WgAL2to/xi4cPfsQ Cm5OJ/2mQvHZ+V2WAy7TMtJj0oYpNPnam17fO4mVkLIFJbX6p3qBR3O+I8FwbxS6XNZYbwgO8HQB GoYDCtAe1e2q1wvX5lV8QQqvRlX0osUjbZWQs9ZCQLoKMt+nh6WqWZduQmaQaG4Lcr3WdNP3GVZv ZuNvY4NZAb+2GJfjd6gI+ewbP0QHpELypkbe98/8YcjtbClA0gU0tuw6htzb7JzBO64wRlcdcVEt QSEkbQj1Cuem/2mIv3i0db7FAGRztS4YfXXNDZHlUyZhq2Y8IK3HCkKfBML0ZKdhBf55EUGK2ZUL /GrGlB9PdXqiBBgWFgh9TGy0JPB1pA+iqcB3wO+Y4U1eYe6R7SpjuAFU0qZck+5dGIXzoOFEN7Ui P+dVuvI6lIPDtIsqmyWiB4WAtcvwo3V3Ww4UR+o5KQx7THok0QSqbOU3x4ydoi4Dc69T9UfVHnQg cN/mkSbeDdOdFv4XRx8ej33AilDHJVpXRVTcunFBjXeMdvpIicqK+8FU8QL4RWmJkCS0iZ0O9V3S TVg2e+AP54IHhzhvD45H2bVEprZK2MiA3YWekrav6i3SuhyZWvoQtUYf1UFXFeBjN+l7ac4d8qUb mdz4/qCSOxTJv8HeEBH7NYq0Aq1xlKyemaY1QZ5SRQQei0kDht0N7XZYLsMCus9jhrVpESRpcMKA 3WLJLWIAtAyMdsE2zcMLadhh6Q9yNCtbolShrzqkxOO0xUJKW6aaoXhbQN2D8ZYLblMTON2KHyxp CwWUvfK+0Q5eZd/101bqbRYbUh3vzfsTlfclWE5G0P2eTwEpsmRLaQm39Y/96BZFQN8fXgb2fXCd NtSznIB9mr/mZJt8fJFY1MOcznxSFqRQS4ionlfCHmVI3yl6S/x/P0rO06dw9am70kfipDxFr0YB 7bHl/jziDivdI4Juhfkn3BNDojXDpiOsatuoL+pzCdjtaQfVlVEP/wh5xrDEkfqkvc8tvpr/lDBt 3a6EVHxjrRQi+4Ix8D/6L4ItA3/WuQ2yNWcHEEIusfsLRuyH9xef+cKAKMZZOgRBuC2d+CRBaEvX pnG8accrIGXZez+cgK1QL1ElaAO0Gn7/GRVE2FqPcH93BFEKG/EExUtJcGlsfd0S6KU0oKpT04Vf UIFxuNiaOPgAF7D2ZN5AQcYAVbNPR3CsQDkDf9P99OOZ+ab6IgA7MZJrR4CMqBiVy+SKeuKym+se Z/NNytNstKHQQOVxY2Xr0xfeVNdc9fscWnDq/ywXPdZDFE9GD+0l6bKL1BQmCVw/2GhZDkBIhRaJ 5ioPO4SjA0Lwyq/p5HWrK3q2r8ElVwEFArKF9lKsKqAALZc8x3bi6Ziv42YU0cB/bxKhTYbZ9tR5 e4+w8mBvlkEH9CMsez4ih15z9UoGWMlP2F4omKvjnCvAmJMVtYI1qtUKKrUcjgIwO6MuPFWDBLlD R2YenPj+Kbhwt+1SPV0GORn1M7Za7DprU6Cnnr3SHUxM5R9TZFcsB/RuMs1JvF+kQxmcL10jkqkj gmLWSqXlGi/tPSZzfdbmgNQceCD5I1ngXKWwn0lQ2gJ+icahJ0oaEss30z6oTi3Bo/5slQVy+V46 Cxbew1t6CR6aKJIAjfkIPe2+ixGmRkn+BJaDZu/553dm54+OV/J5dNS6Q4EfzUmeFF9XdXbK56lk U3biPdWKIKP5NW54ZnFaQXaB6iOHIr0D/eaTkOxWyL7EyTq3miRN8EevyYQBFm/it2HCdRMHadz9 azRW9TbfLyEIVIO2E4FbdyY+6XLLPaUUk/uo8zrCL6Vf2s02sSJ+Or0tGYu0MFfVNwp8ZwSJkStb 1rcikMnds0Tm3sYJasL0oFdx39WQQIzYrYP1jrOp5ud7/J2NDLNpMcm7QGTPlG60gbXRsjhJrG9r 9ovLDEPu1k+LQwyBVY11xlKapQiyKxP7p91qyHwwfi/ClJkSPH32B5hkZnCz4Bv4jBEfa6X+UGix /AFOIDubt65Zx5HgYcMbFaJHjAv0frnqG3v08L43OZrmRtKNL4HWBStps9WRrpGVrEWuGWK6b5a4 NAZ7EzMeMqOqWiWX2nf2BBBLau3x0V1AEqyYbP4eOC/ViCfhaa/44NkypeB8DwJtlMFyqwJPuBcY 6Q/7itj3BEFL6s9jG0GPchTSC8jrOx0yQLLdLgMxzwKmBiTP781I6yUrJ9lMKxJWMzE6BNDqNu4r FL11udXDmYT8+ecZljHncK+XGX+9PfH9zUHNSbqP8Atn4tGNPELnZx3EY+2SkKtrmPjA19jPZJ9T 97b9kUSet/8JK7QhUJZ+NnXMlwR4VxV1Wptk+93udBLWfzZlq4JBmS8X7DBV7QT04g5RVTnWLslw c3q7hyTbwKkjFuvgv4mTtdGV0xGfEYFGlTYxAAkXhrfnrMjZeQ== `protect end_protected
------------------------------------------------------------------------------- -- -- T400 Microcontroller Core -- -- $Id: t400_core.vhd,v 1.12 2008-08-23 11:19:17 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2006 Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t400/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t400_opt_pack.all; entity t400_core is generic ( opt_type_g : integer := t400_opt_type_420_c; opt_ck_div_g : integer := t400_opt_ck_div_16_c; opt_cko_g : integer := t400_opt_cko_crystal_c; opt_l_out_type_7_g : integer := t400_opt_out_type_std_c; opt_l_out_type_6_g : integer := t400_opt_out_type_std_c; opt_l_out_type_5_g : integer := t400_opt_out_type_std_c; opt_l_out_type_4_g : integer := t400_opt_out_type_std_c; opt_l_out_type_3_g : integer := t400_opt_out_type_std_c; opt_l_out_type_2_g : integer := t400_opt_out_type_std_c; opt_l_out_type_1_g : integer := t400_opt_out_type_std_c; opt_l_out_type_0_g : integer := t400_opt_out_type_std_c; opt_microbus_g : integer := t400_opt_no_microbus_c; opt_d_out_type_3_g : integer := t400_opt_out_type_std_c; opt_d_out_type_2_g : integer := t400_opt_out_type_std_c; opt_d_out_type_1_g : integer := t400_opt_out_type_std_c; opt_d_out_type_0_g : integer := t400_opt_out_type_std_c; opt_g_out_type_3_g : integer := t400_opt_out_type_std_c; opt_g_out_type_2_g : integer := t400_opt_out_type_std_c; opt_g_out_type_1_g : integer := t400_opt_out_type_std_c; opt_g_out_type_0_g : integer := t400_opt_out_type_std_c; opt_so_output_type_g : integer := t400_opt_out_type_std_c; opt_sk_output_type_g : integer := t400_opt_out_type_std_c ); port ( ck_i : in std_logic; ck_en_i : in std_logic; por_n_i : in std_logic; reset_n_i : in std_logic; cko_i : in std_logic; pm_addr_o : out std_logic_vector(9 downto 0); pm_data_i : in std_logic_vector(7 downto 0); dm_addr_o : out std_logic_vector(5 downto 0); dm_we_o : out std_logic; dm_data_o : out std_logic_vector(3 downto 0); dm_data_i : in std_logic_vector(3 downto 0); io_l_i : in std_logic_vector(7 downto 0); io_l_o : out std_logic_vector(7 downto 0); io_l_en_o : out std_logic_vector(7 downto 0); io_d_o : out std_logic_vector(3 downto 0); io_d_en_o : out std_logic_vector(3 downto 0); io_g_i : in std_logic_vector(3 downto 0); io_g_o : out std_logic_vector(3 downto 0); io_g_en_o : out std_logic_vector(3 downto 0); io_in_i : in std_logic_vector(3 downto 0); si_i : in std_logic; so_o : out std_logic; so_en_o : out std_logic; sk_o : out std_logic; sk_en_o : out std_logic ); end t400_core; use work.t400_pack.all; use work.t400_comp_pack.all; architecture struct of t400_core is signal ck_en_s : boolean; signal por_s : boolean; signal res_s : boolean; signal phi1_s : std_logic; signal out_en_s : boolean; signal in_en_s : boolean; signal icyc_en_s : boolean; signal pm_addr_s : pc_t; signal a_s : dw_t; signal dec_data_s : dec_data_t; signal pc_to_stack_s, pc_from_stack_s : pc_t; signal q_s : byte_t; signal b_s : b_t; signal c_s, carry_s : std_logic; signal sio_s : dw_t; signal pc_op_s : pc_op_t; signal stack_op_s : stack_op_t; signal dmem_op_s : dmem_op_t; signal b_op_s : b_op_t; signal skip_op_s : skip_op_t; signal alu_op_s : alu_op_t; signal io_l_op_s : io_l_op_t; signal io_d_op_s : io_d_op_t; signal io_g_op_s : io_g_op_t; signal io_in_op_s : io_in_op_t; signal sio_op_s : sio_op_t; signal is_lbi_s : boolean; signal en_s : dw_t; signal skip_s, skip_lbi_s : boolean; signal tim_c_s : boolean; signal in_s : dw_t; signal int_s : boolean; signal io_g_s : std_logic_vector(io_g_i'range); signal cs_n_s, rd_n_s, wr_n_s : std_logic; begin ck_en_s <= ck_en_i = '1'; por_s <= por_n_i = '0'; io_g_s <= to_X01(io_g_i); ----------------------------------------------------------------------------- -- Clock generator ----------------------------------------------------------------------------- clkgen_b : t400_clkgen generic map ( opt_ck_div_g => opt_ck_div_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, phi1_o => phi1_s, out_en_o => out_en_s, in_en_o => in_en_s, icyc_en_o => icyc_en_s ); ----------------------------------------------------------------------------- -- Reset module ----------------------------------------------------------------------------- reset_b : t400_reset port map ( ck_i => ck_i, icyc_en_i => icyc_en_s, por_i => por_s, reset_n_i => reset_n_i, res_o => res_s ); ----------------------------------------------------------------------------- -- Program memory controller ----------------------------------------------------------------------------- pmem_ctrl_b : t400_pmem_ctrl generic map ( opt_type_g => opt_type_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, res_i => res_s, a_i => a_s, m_i => dm_data_i, op_i => pc_op_s, dec_data_i => dec_data_s, pc_o => pc_to_stack_s, pc_i => pc_from_stack_s, pm_addr_o => pm_addr_s ); -- pm_addr_o <= std_logic_vector(pm_addr_s); ----------------------------------------------------------------------------- -- Data memory controller ----------------------------------------------------------------------------- dmem_ctrl_b : t400_dmem_ctrl generic map ( opt_type_g => opt_type_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, res_i => res_s, dmem_op_i => dmem_op_s, b_op_i => b_op_s, dec_data_i => dec_data_s, a_i => a_s, q_high_i => q_s(7 downto 4), b_o => b_s, dm_addr_o => dm_addr_o, dm_data_i => dm_data_i, dm_data_o => dm_data_o, dm_we_o => dm_we_o ); ----------------------------------------------------------------------------- -- Decoder ----------------------------------------------------------------------------- decoder_b : t400_decoder generic map ( opt_type_g => opt_type_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, res_i => res_s, out_en_i => out_en_s, in_en_i => in_en_s, icyc_en_i => icyc_en_s, pc_op_o => pc_op_s, stack_op_o => stack_op_s, dmem_op_o => dmem_op_s, b_op_o => b_op_s, skip_op_o => skip_op_s, alu_op_o => alu_op_s, io_l_op_o => io_l_op_s, io_d_op_o => io_d_op_s, io_g_op_o => io_g_op_s, io_in_op_o => io_in_op_s, sio_op_o => sio_op_s, dec_data_o => dec_data_s, en_o => en_s, skip_i => skip_s, skip_lbi_i => skip_lbi_s, is_lbi_o => is_lbi_s, int_i => int_s, pm_addr_i => pm_addr_s, pm_data_i => pm_data_i ); ----------------------------------------------------------------------------- -- Skip logic ----------------------------------------------------------------------------- skip_b : t400_skip generic map ( opt_type_g => opt_type_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, res_i => res_s, op_i => skip_op_s, dec_data_i => dec_data_s, carry_i => carry_s, c_i => c_s, bd_i => b_s(bd_range_t), is_lbi_i => is_lbi_s, skip_o => skip_s, skip_lbi_o => skip_lbi_s, a_i => a_s, m_i => dm_data_i, g_i => io_g_s, tim_c_i => tim_c_s ); ----------------------------------------------------------------------------- -- ALU ----------------------------------------------------------------------------- alu_b : t400_alu generic map ( opt_cko_g => opt_cko_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, res_i => res_s, cko_i => cko_i, op_i => alu_op_s, m_i => dm_data_i, dec_data_i => dec_data_s, q_low_i => q_s(3 downto 0), b_i => b_s, g_i => io_g_s, in_i => in_s, sio_i => sio_s, a_o => a_s, carry_o => carry_s, c_o => c_s ); ----------------------------------------------------------------------------- -- Stack module ----------------------------------------------------------------------------- stack_b : t400_stack generic map ( opt_type_g => opt_type_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, op_i => stack_op_s, pc_i => pc_to_stack_s, pc_o => pc_from_stack_s ); ----------------------------------------------------------------------------- -- IO L module ----------------------------------------------------------------------------- cs_n_s <= io_in_i(2); rd_n_s <= io_in_i(1); wr_n_s <= io_in_i(3); -- io_l_b : t400_io_l generic map ( opt_out_type_7_g => opt_l_out_type_7_g, opt_out_type_6_g => opt_l_out_type_6_g, opt_out_type_5_g => opt_l_out_type_5_g, opt_out_type_4_g => opt_l_out_type_4_g, opt_out_type_3_g => opt_l_out_type_3_g, opt_out_type_2_g => opt_l_out_type_2_g, opt_out_type_1_g => opt_l_out_type_1_g, opt_out_type_0_g => opt_l_out_type_0_g, opt_microbus_g => opt_microbus_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, in_en_i => in_en_s, op_i => io_l_op_s, en2_i => en_s(2), m_i => dm_data_i, a_i => a_s, pm_data_i => pm_data_i, q_o => q_s, cs_n_i => cs_n_s, rd_n_i => rd_n_s, wr_n_i => wr_n_s, io_l_i => io_l_i, io_l_o => io_l_o, io_l_en_o => io_l_en_o ); ----------------------------------------------------------------------------- -- IO D module ----------------------------------------------------------------------------- io_d_b : t400_io_d generic map ( opt_out_type_3_g => opt_d_out_type_3_g, opt_out_type_2_g => opt_d_out_type_2_g, opt_out_type_1_g => opt_d_out_type_1_g, opt_out_type_0_g => opt_d_out_type_0_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, res_i => res_s, op_i => io_d_op_s, bd_i => b_s(bd_range_t), io_d_o => io_d_o, io_d_en_o => io_d_en_o ); ----------------------------------------------------------------------------- -- IO G module ----------------------------------------------------------------------------- io_g_b : t400_io_g generic map ( opt_out_type_3_g => opt_g_out_type_3_g, opt_out_type_2_g => opt_g_out_type_2_g, opt_out_type_1_g => opt_g_out_type_1_g, opt_out_type_0_g => opt_g_out_type_0_g, opt_microbus_g => opt_microbus_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, res_i => res_s, cs_n_i => cs_n_s, wr_n_i => wr_n_s, op_i => io_g_op_s, m_i => dm_data_i, dec_data_i => dec_data_s, io_g_o => io_g_o, io_g_en_o => io_g_en_o ); ----------------------------------------------------------------------------- -- IO IN module ----------------------------------------------------------------------------- use_in: if opt_type_g = t400_opt_type_420_c generate io_in_b : t400_io_in port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, icyc_en_i => icyc_en_s, in_en_i => in_en_s, op_i => io_in_op_s, en1_i => en_s(1), io_in_i => io_in_i, in_o => in_s, int_o => int_s ); end generate; no_in: if opt_type_g /= t400_opt_type_420_c generate in_s <= (others => '0'); int_s <= false; end generate; ----------------------------------------------------------------------------- -- SIO module ----------------------------------------------------------------------------- sio_b : t400_sio generic map ( opt_so_output_type_g => opt_so_output_type_g, opt_sk_output_type_g => opt_sk_output_type_g ) port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, res_i => res_s, phi1_i => phi1_s, out_en_i => out_en_s, in_en_i => in_en_s, op_i => sio_op_s, en0_i => en_s(0), en3_i => en_s(3), a_i => a_s, c_i => c_s, sio_o => sio_s, si_i => si_i, so_o => so_o, so_en_o => so_en_o, sk_o => sk_o, sk_en_o => sk_en_o ); ----------------------------------------------------------------------------- -- Timer module ----------------------------------------------------------------------------- use_tim: if opt_type_g = t400_opt_type_420_c or opt_type_g = t400_opt_type_421_c generate timer_b : t400_timer port map ( ck_i => ck_i, ck_en_i => ck_en_s, por_i => por_s, icyc_en_i => icyc_en_s, op_i => skip_op_s, c_o => tim_c_s ); end generate; notim: if opt_type_g /= t400_opt_type_420_c and opt_type_g /= t400_opt_type_421_c generate tim_c_s <= false; end generate; end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.11 2008/05/01 19:51:12 arniml -- removed obsolete signals -- -- Revision 1.10 2006/06/11 13:34:39 arniml -- Fix bug: -- "Timer skipped in T421 configuration" -- The generate block that instantiates the timer module considers -- now t400_opt_type_421_x as well. -- -- Revision 1.9 2006/06/06 00:33:56 arniml -- remove note about limitations -- -- Revision 1.8 2006/06/05 20:34:21 arniml -- use dedicated microbus cs/rd/wr strobes -- -- Revision 1.7 2006/06/05 14:19:15 arniml -- connect microbus control signals to IO L -- -- Revision 1.6 2006/05/27 19:11:33 arniml -- updates for interrupt support -- -- Revision 1.5 2006/05/23 01:13:56 arniml -- use to_X01 for G input -- -- Revision 1.4 2006/05/22 00:03:29 arniml -- io_in added -- -- Revision 1.3 2006/05/21 21:47:40 arniml -- route cko to ALU for INIL instruction -- -- Revision 1.2 2006/05/20 02:48:17 arniml -- timer module included -- -- Revision 1.1.1.1 2006/05/06 01:56:44 arniml -- import from local CVS repository, LOC_CVS_0_1 -- -------------------------------------------------------------------------------
----------------------------------------------------------------------------- -- Rudimentary "DAC" for ouputting sound on the spartan3 starter kit -- -- Authors: -- -- Kristoffer E. Koch ----------------------------------------------------------------------------- -- Copyright 2008 Authors -- -- This file is part of hwpulse. -- -- hwpulse is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- hwpulse is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with hwpulse. If not, see <http://www.gnu.org/licenses/>. ----------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity deltasigmadac is Port ( sysclk : in STD_LOGIC; reset : in STD_LOGIC; audio : in STD_LOGIC_VECTOR (23 downto 0); audio_dv : in STD_LOGIC; audio_left : out STD_LOGIC; audio_right : out STD_LOGIC; rate_pulse:out std_logic; debug:out std_logic_vector(7 downto 0)); end deltasigmadac; architecture Behavioral of deltasigmadac is constant channel_bits:integer:=10; constant fifo_sz:integer:=2048*4; component deltasigmachannel Generic(N:integer); Port ( sysclk : in STD_LOGIC; reset : in STD_LOGIC; data : in STD_LOGIC_VECTOR (channel_bits-1 downto 0); ds : out STD_LOGIC); end component; signal left,right:std_logic_vector(23 downto 0):=(OTHERS => '0'); signal left_t, right_t:std_logic_vector(channel_bits-1 downto 0); signal next_left, next_right:std_logic_vector(23 downto 0); signal fifo_read:std_logic; type wstate_t is (Idle, Busy); signal wstate:wstate_t; signal bytecnt:integer range 0 to 2; signal fifo_w:std_logic; signal audio_slice, fifo_out:std_logic_vector(7 downto 0); type ram_t is array (0 to fifo_sz-1) of std_logic_vector(7 downto 0); signal fifo:ram_t:=(OTHERS => (OTHERS => '0')); signal wp,rp,fill:integer range 0 to fifo_sz-1; signal freq_count:integer range 0 to (50000000+48000-1); signal frame_pulse:std_logic; signal freq_count_wrap:integer range -50000000 to 48000-1; type readstate_t is (Idle, stPause, stRight, stLeft); signal readstate:readstate_t; signal readcnt:integer range 0 to 2; begin rate_pulse <= frame_pulse; fifo_reader:process(sysclk) is begin if rising_edge(sysclk) then if reset = '1' then readstate <= Idle; readcnt <= 2; left <= (OTHERS => '0'); right <= (OTHERS => '0'); next_left <= (OTHERS => '0'); next_right <= (OTHERS => '0'); fifo_read <= '0'; debug(7 downto 2) <= (OTHERS => '1'); else case readstate is when Idle => if frame_pulse = '1' and fill >= 6 then readstate <= stPause; readcnt <= 2; fifo_read <= '1'; debug(2) <= '1'; else report "Frame skipped" severity warning; debug(2) <= '0'; end if; left <= next_left; right <= next_right; when stPause => readstate <= stLeft; when stLeft => next_left(readcnt*8+7 downto readcnt*8) <= fifo_out; if readcnt = 0 then readstate <= stRight; readcnt <= 2; else readcnt <= readcnt - 1; end if; when stRight => next_right(readcnt*8+7 downto readcnt*8) <= fifo_out; if readcnt = 0 then readstate <= Idle; readcnt <= 2; else if readcnt = 1 then fifo_read <= '0'; end if; readcnt <= readcnt - 1; end if; end case; end if; end if; end process; freq_count_wrap <= freq_count - 50000000; frame_pulse <= '1' when freq_count_wrap >= 0 else '0'; framerater:process(sysclk) is begin if rising_edge(sysclk) then if reset = '1' then freq_count <= 0; else if frame_pulse = '1' then report "Frame is flippin"; freq_count <= freq_count_wrap + 48000; else --report "Increasing from " & integer'image(freq_count); freq_count <= freq_count + 48000; end if; end if; end if; end process; fifo_ctrl:process(sysclk) is begin if rising_edge(sysclk) then if reset = '1' then wp <= 0; rp <= 0; fill <= 0; debug(1 downto 0) <= (OTHERS => '1'); else if fifo_w = '1' then if wp = fifo_sz-1 then wp <= 0; else wp <= wp + 1; end if; end if; if fifo_read = '1' then if rp = fifo_sz-1 then rp <= 0; else rp <= rp + 1; end if; end if; if fifo_w = '1' and fifo_read = '0' then if fill = fifo_sz-1 then report "Fifo overflow" severity warning; debug(1) <= '0'; else debug(1) <= '1'; fill <= fill + 1; end if; elsif fifo_w = '0' and fifo_read = '1' then if fill = 0 then debug(0) <= '0'; report "Fifo underflow" severity warning; else debug(0) <= '1'; fill <= fill - 1; end if; end if; end if; end if; end process; process(sysclk) is begin if rising_edge(sysclk) then if reset = '1' then wstate <= Idle; bytecnt <= 2; fifo_w <= '0'; else case wstate is when Idle => if audio_dv = '1' then wstate <= Busy; fifo_w <= '1'; end if; bytecnt <= 2; when Busy => if bytecnt = 0 then wstate <= Idle; bytecnt <= 2; fifo_w <= '0'; else bytecnt <= bytecnt - 1; end if; end case; end if; end if; end process; audio_slice <= audio(bytecnt*8+7 downto bytecnt*8); ram:process(sysclk) is begin if rising_edge(sysclk) then if fifo_w = '1' then fifo(wp) <= audio_slice; end if; fifo_out <= fifo(rp); end if; end process; left_t <= left(23 downto 24-channel_bits); right_t <= right(23 downto 24-channel_bits); left_dsc: deltasigmachannel generic map ( N => channel_bits ) Port map ( sysclk => sysclk, reset => reset, data => left_t, ds => audio_left ); right_dsc: deltasigmachannel generic map ( N => channel_bits ) Port map ( sysclk => sysclk, reset => reset, data => right_t, ds => audio_right ); end Behavioral;
ROM_form.vhd Ken Chapman (Xilinx Ltd) July 2003 This is the VHDL template file for the KCPSM3 assembler. It is used to configure a Spartan-3, Virtex-II or Virtex-IIPRO block RAM to act as a single port program ROM. This VHDL file is not valid as input directly into a synthesis or simulation tool. The assembler will read this template and insert the data required to complete the definition of program ROM and write it out to a new '.vhd' file associated with the name of the original '.psm' file being assembled. This template can be modified to define alternative memory definitions such as dual port. However, you are responsible for ensuring the template is correct as the assembler does not perform any checking of the VHDL. The assembler identifies all text enclosed by {} characters, and replaces these character strings. All templates should include these {} character strings for the assembler to work correctly. **************************************************************************************** This template defines a block RAM configured in 1024 x 18-bit single port mode and conneceted to act as a single port ROM. **************************************************************************************** The next line is used to determine where the template actually starts and must exist. {begin template} -- -- Definition of a single port ROM for KCPSM3 program defined by {name}.psm -- and assmbled using KCPSM3 assembler. -- -- Standard IEEE libraries -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- -- The Unisim Library is used to define Xilinx primitives. It is also used during -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd -- library unisim; use unisim.vcomponents.all; -- -- entity {name} is Port ( address : in std_logic_vector(9 downto 0); instruction : out std_logic_vector(17 downto 0); clk : in std_logic); end {name}; -- architecture low_level_definition of {name} is -- -- Attributes to define ROM contents during implementation synthesis. -- The information is repeated in the generic map for functional simulation -- attribute INIT_00 : string; attribute INIT_01 : string; attribute INIT_02 : string; attribute INIT_03 : string; attribute INIT_04 : string; attribute INIT_05 : string; attribute INIT_06 : string; attribute INIT_07 : string; attribute INIT_08 : string; attribute INIT_09 : string; attribute INIT_0A : string; attribute INIT_0B : string; attribute INIT_0C : string; attribute INIT_0D : string; attribute INIT_0E : string; attribute INIT_0F : string; attribute INIT_10 : string; attribute INIT_11 : string; attribute INIT_12 : string; attribute INIT_13 : string; attribute INIT_14 : string; attribute INIT_15 : string; attribute INIT_16 : string; attribute INIT_17 : string; attribute INIT_18 : string; attribute INIT_19 : string; attribute INIT_1A : string; attribute INIT_1B : string; attribute INIT_1C : string; attribute INIT_1D : string; attribute INIT_1E : string; attribute INIT_1F : string; attribute INIT_20 : string; attribute INIT_21 : string; attribute INIT_22 : string; attribute INIT_23 : string; attribute INIT_24 : string; attribute INIT_25 : string; attribute INIT_26 : string; attribute INIT_27 : string; attribute INIT_28 : string; attribute INIT_29 : string; attribute INIT_2A : string; attribute INIT_2B : string; attribute INIT_2C : string; attribute INIT_2D : string; attribute INIT_2E : string; attribute INIT_2F : string; attribute INIT_30 : string; attribute INIT_31 : string; attribute INIT_32 : string; attribute INIT_33 : string; attribute INIT_34 : string; attribute INIT_35 : string; attribute INIT_36 : string; attribute INIT_37 : string; attribute INIT_38 : string; attribute INIT_39 : string; attribute INIT_3A : string; attribute INIT_3B : string; attribute INIT_3C : string; attribute INIT_3D : string; attribute INIT_3E : string; attribute INIT_3F : string; attribute INITP_00 : string; attribute INITP_01 : string; attribute INITP_02 : string; attribute INITP_03 : string; attribute INITP_04 : string; attribute INITP_05 : string; attribute INITP_06 : string; attribute INITP_07 : string; -- -- Attributes to define ROM contents during implementation synthesis. -- attribute INIT_00 of ram_1024_x_18 : label is "{INIT_00}"; attribute INIT_01 of ram_1024_x_18 : label is "{INIT_01}"; attribute INIT_02 of ram_1024_x_18 : label is "{INIT_02}"; attribute INIT_03 of ram_1024_x_18 : label is "{INIT_03}"; attribute INIT_04 of ram_1024_x_18 : label is "{INIT_04}"; attribute INIT_05 of ram_1024_x_18 : label is "{INIT_05}"; attribute INIT_06 of ram_1024_x_18 : label is "{INIT_06}"; attribute INIT_07 of ram_1024_x_18 : label is "{INIT_07}"; attribute INIT_08 of ram_1024_x_18 : label is "{INIT_08}"; attribute INIT_09 of ram_1024_x_18 : label is "{INIT_09}"; attribute INIT_0A of ram_1024_x_18 : label is "{INIT_0A}"; attribute INIT_0B of ram_1024_x_18 : label is "{INIT_0B}"; attribute INIT_0C of ram_1024_x_18 : label is "{INIT_0C}"; attribute INIT_0D of ram_1024_x_18 : label is "{INIT_0D}"; attribute INIT_0E of ram_1024_x_18 : label is "{INIT_0E}"; attribute INIT_0F of ram_1024_x_18 : label is "{INIT_0F}"; attribute INIT_10 of ram_1024_x_18 : label is "{INIT_10}"; attribute INIT_11 of ram_1024_x_18 : label is "{INIT_11}"; attribute INIT_12 of ram_1024_x_18 : label is "{INIT_12}"; attribute INIT_13 of ram_1024_x_18 : label is "{INIT_13}"; attribute INIT_14 of ram_1024_x_18 : label is "{INIT_14}"; attribute INIT_15 of ram_1024_x_18 : label is "{INIT_15}"; attribute INIT_16 of ram_1024_x_18 : label is "{INIT_16}"; attribute INIT_17 of ram_1024_x_18 : label is "{INIT_17}"; attribute INIT_18 of ram_1024_x_18 : label is "{INIT_18}"; attribute INIT_19 of ram_1024_x_18 : label is "{INIT_19}"; attribute INIT_1A of ram_1024_x_18 : label is "{INIT_1A}"; attribute INIT_1B of ram_1024_x_18 : label is "{INIT_1B}"; attribute INIT_1C of ram_1024_x_18 : label is "{INIT_1C}"; attribute INIT_1D of ram_1024_x_18 : label is "{INIT_1D}"; attribute INIT_1E of ram_1024_x_18 : label is "{INIT_1E}"; attribute INIT_1F of ram_1024_x_18 : label is "{INIT_1F}"; attribute INIT_20 of ram_1024_x_18 : label is "{INIT_20}"; attribute INIT_21 of ram_1024_x_18 : label is "{INIT_21}"; attribute INIT_22 of ram_1024_x_18 : label is "{INIT_22}"; attribute INIT_23 of ram_1024_x_18 : label is "{INIT_23}"; attribute INIT_24 of ram_1024_x_18 : label is "{INIT_24}"; attribute INIT_25 of ram_1024_x_18 : label is "{INIT_25}"; attribute INIT_26 of ram_1024_x_18 : label is "{INIT_26}"; attribute INIT_27 of ram_1024_x_18 : label is "{INIT_27}"; attribute INIT_28 of ram_1024_x_18 : label is "{INIT_28}"; attribute INIT_29 of ram_1024_x_18 : label is "{INIT_29}"; attribute INIT_2A of ram_1024_x_18 : label is "{INIT_2A}"; attribute INIT_2B of ram_1024_x_18 : label is "{INIT_2B}"; attribute INIT_2C of ram_1024_x_18 : label is "{INIT_2C}"; attribute INIT_2D of ram_1024_x_18 : label is "{INIT_2D}"; attribute INIT_2E of ram_1024_x_18 : label is "{INIT_2E}"; attribute INIT_2F of ram_1024_x_18 : label is "{INIT_2F}"; attribute INIT_30 of ram_1024_x_18 : label is "{INIT_30}"; attribute INIT_31 of ram_1024_x_18 : label is "{INIT_31}"; attribute INIT_32 of ram_1024_x_18 : label is "{INIT_32}"; attribute INIT_33 of ram_1024_x_18 : label is "{INIT_33}"; attribute INIT_34 of ram_1024_x_18 : label is "{INIT_34}"; attribute INIT_35 of ram_1024_x_18 : label is "{INIT_35}"; attribute INIT_36 of ram_1024_x_18 : label is "{INIT_36}"; attribute INIT_37 of ram_1024_x_18 : label is "{INIT_37}"; attribute INIT_38 of ram_1024_x_18 : label is "{INIT_38}"; attribute INIT_39 of ram_1024_x_18 : label is "{INIT_39}"; attribute INIT_3A of ram_1024_x_18 : label is "{INIT_3A}"; attribute INIT_3B of ram_1024_x_18 : label is "{INIT_3B}"; attribute INIT_3C of ram_1024_x_18 : label is "{INIT_3C}"; attribute INIT_3D of ram_1024_x_18 : label is "{INIT_3D}"; attribute INIT_3E of ram_1024_x_18 : label is "{INIT_3E}"; attribute INIT_3F of ram_1024_x_18 : label is "{INIT_3F}"; attribute INITP_00 of ram_1024_x_18 : label is "{INITP_00}"; attribute INITP_01 of ram_1024_x_18 : label is "{INITP_01}"; attribute INITP_02 of ram_1024_x_18 : label is "{INITP_02}"; attribute INITP_03 of ram_1024_x_18 : label is "{INITP_03}"; attribute INITP_04 of ram_1024_x_18 : label is "{INITP_04}"; attribute INITP_05 of ram_1024_x_18 : label is "{INITP_05}"; attribute INITP_06 of ram_1024_x_18 : label is "{INITP_06}"; attribute INITP_07 of ram_1024_x_18 : label is "{INITP_07}"; -- begin -- --Instantiate the Xilinx primitive for a block RAM ram_1024_x_18: RAMB16_S18 --synthesis translate_off --INIT values repeated to define contents for functional simulation generic map ( INIT_00 => X"{INIT_00}", INIT_01 => X"{INIT_01}", INIT_02 => X"{INIT_02}", INIT_03 => X"{INIT_03}", INIT_04 => X"{INIT_04}", INIT_05 => X"{INIT_05}", INIT_06 => X"{INIT_06}", INIT_07 => X"{INIT_07}", INIT_08 => X"{INIT_08}", INIT_09 => X"{INIT_09}", INIT_0A => X"{INIT_0A}", INIT_0B => X"{INIT_0B}", INIT_0C => X"{INIT_0C}", INIT_0D => X"{INIT_0D}", INIT_0E => X"{INIT_0E}", INIT_0F => X"{INIT_0F}", INIT_10 => X"{INIT_10}", INIT_11 => X"{INIT_11}", INIT_12 => X"{INIT_12}", INIT_13 => X"{INIT_13}", INIT_14 => X"{INIT_14}", INIT_15 => X"{INIT_15}", INIT_16 => X"{INIT_16}", INIT_17 => X"{INIT_17}", INIT_18 => X"{INIT_18}", INIT_19 => X"{INIT_19}", INIT_1A => X"{INIT_1A}", INIT_1B => X"{INIT_1B}", INIT_1C => X"{INIT_1C}", INIT_1D => X"{INIT_1D}", INIT_1E => X"{INIT_1E}", INIT_1F => X"{INIT_1F}", INIT_20 => X"{INIT_20}", INIT_21 => X"{INIT_21}", INIT_22 => X"{INIT_22}", INIT_23 => X"{INIT_23}", INIT_24 => X"{INIT_24}", INIT_25 => X"{INIT_25}", INIT_26 => X"{INIT_26}", INIT_27 => X"{INIT_27}", INIT_28 => X"{INIT_28}", INIT_29 => X"{INIT_29}", INIT_2A => X"{INIT_2A}", INIT_2B => X"{INIT_2B}", INIT_2C => X"{INIT_2C}", INIT_2D => X"{INIT_2D}", INIT_2E => X"{INIT_2E}", INIT_2F => X"{INIT_2F}", INIT_30 => X"{INIT_30}", INIT_31 => X"{INIT_31}", INIT_32 => X"{INIT_32}", INIT_33 => X"{INIT_33}", INIT_34 => X"{INIT_34}", INIT_35 => X"{INIT_35}", INIT_36 => X"{INIT_36}", INIT_37 => X"{INIT_37}", INIT_38 => X"{INIT_38}", INIT_39 => X"{INIT_39}", INIT_3A => X"{INIT_3A}", INIT_3B => X"{INIT_3B}", INIT_3C => X"{INIT_3C}", INIT_3D => X"{INIT_3D}", INIT_3E => X"{INIT_3E}", INIT_3F => X"{INIT_3F}", INITP_00 => X"{INITP_00}", INITP_01 => X"{INITP_01}", INITP_02 => X"{INITP_02}", INITP_03 => X"{INITP_03}", INITP_04 => X"{INITP_04}", INITP_05 => X"{INITP_05}", INITP_06 => X"{INITP_06}", INITP_07 => X"{INITP_07}") --synthesis translate_on port map( DI => "0000000000000000", DIP => "00", EN => '1', WE => '0', SSR => '0', CLK => clk, ADDR => address, DO => instruction(15 downto 0), DOP => instruction(17 downto 16)); -- end low_level_definition; -- ------------------------------------------------------------------------------------ -- -- END OF FILE {name}.vhd -- ------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------------- -- -- SINGLE PRECISION FP NUMBERS MULTIPLIER -- -- Created by Claudio Brunelli, 2004 -- ----------------------------------------------------------------------------------------------------------- -- The chosen (default) rounding policy is "Round to nearest even" as specified by -- IEEE Std 754 (1985) --Copyright (c) 2004, Tampere University of Technology. --All rights reserved. --Redistribution and use in source and binary forms, with or without modification, --are permitted provided that the following conditions are met: --* Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. --* Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. --* Neither the name of Tampere University of Technology nor the names of its -- contributors may be used to endorse or promote products derived from this -- software without specific prior written permission. --THIS HARDWARE DESCRIPTION OR SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND --CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT --LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND NONINFRINGEMENT AND --FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT --OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, --EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, --PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR --BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --ARISING IN ANY WAY OUT OF THE USE OF THIS HARDWARE DESCRIPTION OR SOFTWARE, EVEN --IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use work.cop_definitions.all; use work.cop_components.all; entity sp_fmultiplier is port( clk,reset,enable : in std_logic; multiplicand,multiplicator : in std_logic_vector(word_width-1 downto 0); mul_result : out std_logic_vector(word_width-1 downto 0); exc_overflow_mul : out std_logic; exc_underflow_mul : out std_logic; exc_inexact_mul : out std_logic; exc_invalid_operation_mul : out std_logic ); end sp_fmultiplier ; ------------------------------------------------------------------------------- architecture rtl of sp_fmultiplier is component normalizer port( denormal_operand : in std_logic_vector(word_width-2 downto 0); normalized_mantissa : out std_logic_vector(23 downto 0); normalized_exponent : out std_logic_vector(8 downto 0) ); end component; component mul_packer port( internal_exponent : in std_logic_vector(9 downto 0); internal_mantissa : in std_logic_vector(47 downto 0); packed_exponent : out std_logic_vector(7 downto 0); packed_fractional_part : out std_logic_vector(22 downto 0); exc_overflow : out std_logic; exc_underflow : out std_logic; exc_inexact : out std_logic ); end component; signal pipelined_multiplicand, pipelined_multiplicator : std_logic_vector(word_width-1 downto 0); signal delayed_multiplicand, delayed_multiplicator : std_logic_vector(word_width-1 downto 0); signal xa, xb : std_logic_vector(8 downto 0); signal pipelined_xa, pipelined_xb : std_logic_vector(8 downto 0); signal ma, mb : std_logic_vector(23 downto 0); signal pipelined_ma, pipelined_mb : std_logic_vector(23 downto 0); signal sum : integer; signal extended_sum, pipelined_extended_sum : std_logic_vector(9 downto 0); signal extended_prod, pipelined_extended_prod : std_logic_vector(47 downto 0); signal normalized_sum : std_logic_vector(9 downto 0); signal normalized_prod : std_logic_vector(47 downto 0); signal prod : std_logic_vector(word_width-1 downto 0); signal unsigned_zero : std_logic_vector(word_width-2 downto 0); signal infinity : std_logic_vector(word_width-2 downto 0); signal s, pipelined_s, delayed_s : std_logic; signal sgl_overflow_mul, sgl_underflow_mul, sgl_inexact_mul : std_logic; signal sgl_packed_exponent : std_logic_vector(7 downto 0); signal sgl_packed_fractional_part : std_logic_vector(22 downto 0); signal fixed_enable : std_logic; begin ----------------------------------------------------------------------------------------------------------------- unsigned_zero <= conv_std_logic_vector(0,31); infinity <= "1111111100000000000000000000000"; -- The sign bit is specified elsewhere fixed_enable <= '1'; ----------------- -- INPUT STAGE ----------------- -- sign determination s <= ( multiplicand(31) xor multiplicator(31) ); FIRST_FF_S: wedff port map (clk => clk, reset => reset, we => enable, d => s, q => pipelined_s); PIPELINE_CHAIN_FF_S: ff_chain generic map (length => mul_clk_cycles-2) port map ( clk => clk, reset => reset, enable => fixed_enable, ff_chain_in => pipelined_s, ff_chain_out => delayed_s); -- operands unpacking ADD_MULTIPLICAND_NORMALIZATION: normalizer port map ( denormal_operand => multiplicand(30 downto 0), normalized_mantissa => ma, normalized_exponent => xa); ADD_MULTIPLICATOR_NORMALIZATION: normalizer port map ( denormal_operand => multiplicator(30 downto 0), normalized_mantissa => mb, normalized_exponent => xb); ---------------------------------------------------------- FIRST_PIPELINE_REG_CHAIN_MULTIPLICAND: we_register generic map (reg_width => word_width) port map (clk => clk, reset => reset, we => enable, data_in => multiplicand, data_out => pipelined_multiplicand); PIPELINE_REG_CHAIN_MULTIPLICAND : simple_register_chain generic map (length => mul_clk_cycles-2, width => word_width) port map ( clk => clk, reset => reset, enable => fixed_enable, reg_chain_in => pipelined_multiplicand, reg_chain_out => delayed_multiplicand); FIRST_PIPELINE_REG_CHAIN_MULTIPLICATOR: we_register generic map (reg_width => word_width) port map (clk => clk, reset => reset, we => enable, data_in => multiplicator, data_out => pipelined_multiplicator); PIPELINE_REG_CHAIN_MULTIPLICATOR : simple_register_chain generic map (length => mul_clk_cycles-2, width => word_width) port map ( clk => clk, reset => reset, enable => fixed_enable, reg_chain_in => pipelined_multiplicator, reg_chain_out => delayed_multiplicator); -- special cases handling SPECIAL_OP_DETECTION: process(delayed_multiplicand,delayed_multiplicator,delayed_s,prod,unsigned_zero,infinity,sgl_overflow_mul,sgl_underflow_mul,sgl_inexact_mul) begin if ( (delayed_multiplicand(30 downto 0)=unsigned_zero and delayed_multiplicator(30 downto 23)/="11111111") or (delayed_multiplicator(30 downto 0)=unsigned_zero and delayed_multiplicand(30 downto 23)/="11111111") ) then -- at least one null operand and the other one is not infinity nor a NaN mul_result <= ( delayed_s & unsigned_zero ); exc_overflow_mul <= '0'; exc_underflow_mul <= '0'; exc_inexact_mul <= '0'; exc_invalid_operation_mul <= '0'; elsif ( (delayed_multiplicand(30 downto 0)=infinity and delayed_multiplicator(30 downto 0)/=unsigned_zero and not(delayed_multiplicator(30 downto 23)="11111111" and delayed_multiplicator(30 downto 0)/=infinity)) or (delayed_multiplicator(30 downto 0)=infinity and delayed_multiplicand(30 downto 0)/=unsigned_zero and not(delayed_multiplicand(30 downto 23)="11111111" and delayed_multiplicand(30 downto 0)/=infinity)) ) then -- at least one infinite operand and the other one is not zero nor a NaN mul_result <= (delayed_s & infinity); exc_overflow_mul <= '1'; exc_underflow_mul <= '0'; exc_inexact_mul <= '1'; exc_invalid_operation_mul <= '0'; elsif ( (delayed_multiplicand(30 downto 0)=unsigned_zero and delayed_multiplicator(30 downto 0)=infinity) or (delayed_multiplicand(30 downto 0)=infinity and delayed_multiplicator(30 downto 0)=unsigned_zero) ) then -- zero*infinity: invalid operation! mul_result <= "01111111110000000000000000000001"; -- QNaN, if trap disabled (default) exc_overflow_mul <= '0'; exc_underflow_mul <= '0'; exc_inexact_mul <= '0'; exc_invalid_operation_mul <= '1'; elsif ( ((delayed_multiplicand(30 downto 23)="11111111") and (delayed_multiplicand(22)='0') and (delayed_multiplicand(21 downto 0)/=conv_std_logic_vector(0,22))) or ((delayed_multiplicator(30 downto 23)="11111111") and (delayed_multiplicator(22)='0') and (delayed_multiplicator(21 downto 0)/=conv_std_logic_vector(0,22))) ) then -- at least one operand is a signaling NaN -> invalid operation exception is raised; output is a QNaN mul_result <= "01111111110000000000000000000001"; -- QNaN, if trap disabled (default) exc_overflow_mul <= '0'; exc_underflow_mul <= '0'; exc_inexact_mul <= '0'; exc_invalid_operation_mul <= '1'; elsif ( (delayed_multiplicand(30 downto 22)="111111111") or (delayed_multiplicator(30 downto 22)="111111111") ) then -- at least one operand is a quiet NaN; output is one of the input NaNs if ( delayed_multiplicand(30 downto 22)="111111111" ) then mul_result <= delayed_multiplicand; -- QNaN, if trap disabled (default) else mul_result <= delayed_multiplicator; -- QNaN, if trap disabled (default) end if; exc_overflow_mul <= '0'; exc_underflow_mul <= '0'; exc_inexact_mul <= '0'; exc_invalid_operation_mul <= '0'; else -- default mul_result <= prod; exc_overflow_mul <= sgl_overflow_mul; exc_underflow_mul <= sgl_underflow_mul; exc_inexact_mul <= sgl_inexact_mul; exc_invalid_operation_mul <= '0'; end if; end process; ---------------------------------- -- EXTENDED PRODUCT CALCULATION ---------------------------------- PIPELINE_REG_XA: we_register generic map (reg_width => 9) port map (clk => clk, reset => reset, we => enable, data_in => xa, data_out => pipelined_xa); PIPELINE_REG_XB: we_register generic map (reg_width => 9) port map (clk => clk, reset => reset, we => enable, data_in => xb, data_out => pipelined_xb); PIPELINE_REG_MA: we_register generic map (reg_width => 24) port map (clk => clk, reset => reset, we => enable, data_in => ma, data_out => pipelined_ma); PIPELINE_REG_MB: we_register generic map (reg_width => 24) port map (clk => clk, reset => reset, we => enable, data_in => mb, data_out => pipelined_mb); -- fractional part multiplication extended_prod <= ( unsigned(pipelined_ma) * unsigned(pipelined_mb) ); ---------------------------------------------------------- -- exponent sum -- Note: the sum is "doubly biased", so one bias has to be subtracted! sum <= ( conv_integer(unsigned(pipelined_xa)) + conv_integer(unsigned(pipelined_xb)) - 510 + 511 ); extended_sum <= conv_std_logic_vector( sum, 10 ); PIPELINE_REG_EXTENDED_PROD: data_register generic map (reg_width => 48) port map (clk => clk, reset => reset, data_in => extended_prod, data_out => pipelined_extended_prod); PIPELINE_REG_EXTENDED_SUM: data_register generic map (reg_width => 10) port map (clk => clk, reset => reset, data_in => extended_sum, data_out => pipelined_extended_sum); ------------------------ -- NORMALIZATION STAGE ------------------------ -- partial result normalization -- Note: since the operands has been implicitly multiplied by a 2^-23 factor, their product will be implicitly -- multiplied by a 2^46 factor to restore the correct value. Anyway, this moves the implicit fraction -- dot between bits 46 and 45 of the 48-bit mantissas product (extended_prod) so that bits 45 downto 0 -- are the "fractional part"; normalization process is intended to move the fraction point just after -- bit 47 in order to make it to be the leading bit. thus the exponent has to be incremented by one. -- Anyway, exponent adjustment is also required following possible "SHL" of extended_prod: the exponent -- should be decremented by one if extended_prod(47)='0', and remains untouched whenever extended_prod(47) -- is the leading bit. process(pipelined_extended_prod,pipelined_extended_sum) begin if pipelined_extended_prod(47) = '0' then -- extended_prod(46) is the leading bit => SHL(extended_prod), exp <- (exp - 1). -- Anyway '1' should be added following fraction dot moving, so that globally -- the exponent is not modified normalized_sum <= pipelined_extended_sum; normalized_prod <= ( pipelined_extended_prod(46 downto 0) & '0' ); else -- extended_prod(47) is the leading bit; exp <- (exp + 1) normalized_sum <= conv_std_logic_vector( (unsigned(pipelined_extended_sum)+ 1 ), 10); normalized_prod <= pipelined_extended_prod; end if; end process; ----------------------------- -- RESULT GENERATION STAGE ----------------------------- RESULT_PACKING_LOGIC: mul_packer port map (internal_exponent => normalized_sum, internal_mantissa => normalized_prod, packed_exponent => sgl_packed_exponent, packed_fractional_part => sgl_packed_fractional_part, exc_overflow => sgl_overflow_mul, exc_underflow => sgl_underflow_mul, exc_inexact => sgl_inexact_mul); prod <= ( delayed_s & sgl_packed_exponent & sgl_packed_fractional_part); ----------------------------------------------------------------------------------------------------------------- end rtl;
------------------------------------------------------------------------------- -- axi_datamover_s2mm_realign.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_realign.vhd -- -- Description: -- This file implements the S2MM Data Realignment module. THe S2MM direction is -- more complex than the MM2S direction since the DRE needs to be upstream from -- the Write Data Controller. This requires the S2MM DRE to be running 2 to -- 3 clocks ahead of the Write Data controller to minimize/eliminate xfer -- bubble insertion. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_10; use axi_datamover_v5_1_10.axi_datamover_fifo; use axi_datamover_v5_1_10.axi_datamover_s2mm_dre; use axi_datamover_v5_1_10.axi_datamover_s2mm_scatter; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_realign is generic ( C_ENABLE_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if the IBTT Indeterminate BTT Module is enabled -- for use (outside of this module) C_INCLUDE_DRE : Integer range 0 to 1 := 1; -- Includes/Omits the S2MM DRE -- 0 = Omit -- 1 = Include C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1; -- Specifies the depth of the internal command queue fifo C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2; -- Sets the width of the DRE alignment control ports C_SUPPORT_SCATTER : Integer range 0 to 1 := 1; -- Includes/Omits the Scatter functionality -- 0 = omit -- 1 = include C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1; C_BTT_USED : Integer range 8 to 23 := 16; -- Indicates the width of the input command BTT that is actually -- used C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Input and Output Stream Data ports C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the input command Tag port C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1 ; -- Sets the width of the Store and Forward Start offset ports C_FAMILY : String := "virtex7" -- specifies the target FPGA familiy ); port ( -- Clock and Reset Inputs ------------------------------------------- -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- --------------------------------------------------------------------- -- Write Data Controller or IBTT Indeterminate BTT I/O ------------------------- -- wdc2dre_wready : In std_logic; -- -- Write READY input from WDC or SF -- -- dre2wdc_wvalid : Out std_logic; -- -- Write VALID output to WDC or SF -- -- dre2wdc_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- Write DATA output to WDC or SF -- -- dre2wdc_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- Write DATA output to WDC or SF -- -- dre2wdc_wlast : Out std_logic; -- -- Write LAST output to WDC or SF -- -- dre2wdc_eop : Out std_logic; -- -- End of Packet indicator for the Stream input to WDC or SF -- -------------------------------------------------------------------------------- -- Starting offset output for the Store and Forward Modules ------------------- -- dre2sf_strt_offset : Out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);-- -- Outputs the starting offset of a transfer. This is used with Store -- -- and Forward Packer/Unpacker logic -- -------------------------------------------------------------------------------- -- AXI Slave Stream In ---------------------------------------------------------- -- s2mm_strm_wready : Out Std_logic; -- -- AXI Stream READY input -- -- s2mm_strm_wvalid : In std_logic; -- -- AXI Stream VALID Output -- -- s2mm_strm_wdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- AXI Stream data output -- -- s2mm_strm_wstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- AXI Stream STRB output -- -- s2mm_strm_wlast : In std_logic; -- -- AXI Stream LAST output -- -------------------------------------------------------------------------------- -- Command Calculator Interface --------------------------------------------------- -- dre2mstr_cmd_ready : Out std_logic ; -- -- Indication from the DRE that the command is being -- -- accepted from the Command Calculator -- -- mstr2dre_cmd_valid : In std_logic; -- -- The next command valid indication to the DRE -- -- from the Command Calculator -- -- mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The source (input) alignment for the DRE -- -- mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The destinstion (output) alignment for the DRE -- -- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); -- -- The bytes to transfer value for the input command -- -- mstr2dre_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2dre_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- mstr2dre_cmd_cmplt : In std_logic; -- -- The last tranfer command of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2dre_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);-- -- Outputs the starting offset of a transfer. This is used with Store -- -- and Forward Packer/Unpacker logic -- ----------------------------------------------------------------------------------- -- Premature TLAST assertion error flag ----------------------------- -- dre2all_tlast_error : Out std_logic; -- -- When asserted, this indicates the DRE detected -- -- a Early/Late TLAST assertion on the incoming data stream. -- --------------------------------------------------------------------- -- DRE Halted Status ------------------------------------------------ -- dre2all_halted : Out std_logic -- -- When asserted, this indicates the DRE has satisfied -- -- all pending transfers queued by the command calculator -- -- and is halted. -- --------------------------------------------------------------------- ); end entity axi_datamover_s2mm_realign; architecture implementation of axi_datamover_s2mm_realign is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations -------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_size_realign_fifo -- -- Function Description: -- Assures that the Realigner cmd fifo depth is at least 4 deep else it -- is equal to the pipe depth. -- ------------------------------------------------------------------- function funct_size_realign_fifo (pipe_depth : integer) return integer is Variable temp_fifo_depth : Integer := 4; begin If (pipe_depth < 4) Then temp_fifo_depth := 4; Else temp_fifo_depth := pipe_depth; End if; Return (temp_fifo_depth); end function funct_size_realign_fifo; -- Constant Declarations -------------------------------------------- Constant BYTE_WIDTH : integer := 8; -- bits Constant STRM_NUM_BYTE_LANES : integer := C_STREAM_DWIDTH/BYTE_WIDTH; Constant STRM_STRB_WIDTH : integer := STRM_NUM_BYTE_LANES; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant BTT_WIDTH : integer := C_BTT_USED; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant SEQUENTIAL_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH; Constant BTT_OF_ZERO : std_logic_vector(BTT_WIDTH-1 downto 0) := (others => '0'); Constant DRECTL_FIFO_DEPTH : integer := funct_size_realign_fifo(C_DRE_CNTL_FIFO_DEPTH); Constant DRECTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SRC_ALIGN_WIDTH + -- Source align field width DEST_ALIGN_WIDTH + -- Dest align field width BTT_WIDTH + -- BTT field width DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field SEQUENTIAL_WIDTH + -- Sequential command flag CALC_ERR_WIDTH + -- Calc error flag SF_OFFSET_WIDTH; -- Store and Forward Offset Constant TAG_STRT_INDEX : integer := 0; Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH; Constant BTT_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH; Constant DRR_STRT_INDEX : integer := BTT_STRT_INDEX + BTT_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX+SEQUENTIAL_WIDTH; Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH; Constant INCLUDE_DRE : boolean := (C_INCLUDE_DRE = 1 and C_STREAM_DWIDTH <= 64 and C_STREAM_DWIDTH >= 16); Constant OMIT_DRE : boolean := not(INCLUDE_DRE); -- Type Declarations -------------------------------------------- type TYPE_CMD_CNTL_SM is ( INIT, LD_DRE_SCATTER_FIRST, CHK_POP_FIRST , LD_DRE_SCATTER_SECOND, CHK_POP_SECOND, ERROR_TRAP ); -- Signal Declarations -------------------------------------------- Signal sig_cmdcntl_sm_state : TYPE_CMD_CNTL_SM := INIT; Signal sig_cmdcntl_sm_state_ns : TYPE_CMD_CNTL_SM := INIT; signal sig_sm_ld_dre_cmd_ns : std_logic := '0'; signal sig_sm_ld_dre_cmd : std_logic := '0'; signal sig_sm_ld_scatter_cmd_ns : std_logic := '0'; signal sig_sm_ld_scatter_cmd : std_logic := '0'; signal sig_sm_pop_cmd_fifo_ns : std_logic := '0'; signal sig_sm_pop_cmd_fifo : std_logic := '0'; signal sig_cmd_fifo_data_in : std_logic_vector(DRECTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_fifo_data_out : std_logic_vector(DRECTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_btt_reg : std_logic_vector(BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_drr_reg : std_logic := '0'; signal sig_curr_eof_reg : std_logic := '0'; signal sig_curr_cmd_cmplt_reg : std_logic := '0'; signal sig_curr_calc_error_reg : std_logic := '0'; signal sig_dre_align_ready : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_dre2wdc_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2wdc_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_dre2wdc_tlast : std_logic := '0'; signal sig_dre2wdc_tvalid : std_logic := '0'; signal sig_wdc2dre_tready : std_logic := '0'; signal sig_tlast_err0r : std_logic := '0'; signal sig_dre_halted : std_logic := '0'; signal sig_strm2scatter_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_strm2scatter_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_strm2scatter_tlast : std_logic := '0'; signal sig_strm2scatter_tvalid : std_logic := '0'; signal sig_scatter2strm_tready : std_logic := '0'; signal sig_scatter2dre_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_scatter2dre_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_scatter2dre_tlast : std_logic := '0'; signal sig_scatter2dre_tvalid : std_logic := '0'; signal sig_dre2scatter_tready : std_logic := '0'; signal sig_scatter2dre_flush : std_logic := '0'; signal sig_scatter2drc_eop : std_logic := '0'; signal sig_scatter2dre_src_align : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_scatter2drc_cmd_ready : std_logic := '0'; signal sig_drc2scatter_push_cmd : std_logic; signal sig_drc2scatter_btt : std_logic_vector(BTT_WIDTH-1 downto 0); signal sig_drc2scatter_eof : std_logic; signal sig_scatter2all_tlast_error : std_logic := '0'; signal sig_need_cmd_flush : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_ld_strt_offset : std_logic := '0'; signal sig_output_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2sf_strt_offset : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) ------------------------------------------------------------- -- Port connections -- Input Stream Attachment s2mm_strm_wready <= sig_scatter2strm_tready ; sig_strm2scatter_tvalid <= s2mm_strm_wvalid ; sig_strm2scatter_tdata <= s2mm_strm_wdata ; sig_strm2scatter_tstrb <= s2mm_strm_wstrb ; sig_strm2scatter_tlast <= s2mm_strm_wlast ; -- Write Data Controller Stream Attachment sig_wdc2dre_tready <= wdc2dre_wready ; dre2wdc_wvalid <= sig_dre2wdc_tvalid ; dre2wdc_wdata <= sig_dre2wdc_tdata ; dre2wdc_wstrb <= sig_dre2wdc_tstrb ; dre2wdc_wlast <= sig_dre2wdc_tlast ; -- Status/Error flags dre2all_tlast_error <= sig_tlast_err0r ; dre2all_halted <= sig_dre_halted ; -- Store and Forward Starting Offset Output dre2sf_strt_offset <= sig_dre2sf_strt_offset ; ------------------------------------------------------------- -- Internal logic sig_dre_halted <= sig_dre_align_ready; ------------------------------------------------------------- -- DRE Handshake signals sig_dre_src_align <= sig_curr_src_align_reg ; sig_dre_dest_align <= sig_curr_dest_align_reg; sig_dre_use_autodest <= '0'; -- not used sig_dre_flush <= '0'; -- not used ------------------------------------------------------------------------- -------- Realigner Command FIFO and controls ------------------------------------------------------------------------- -- Command Calculator Handshake sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ; dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; -- Format the input fifo data word sig_cmd_fifo_data_in <= mstr2dre_strt_offset & mstr2dre_calc_error & mstr2dre_cmd_cmplt & mstr2dre_eof & mstr2dre_drr & mstr2dre_btt & mstr2dre_dre_dest_align & mstr2dre_dre_src_align & mstr2dre_tag ; -- Rip the output fifo data word sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto SRC_ALIGN_STRT_INDEX); sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto DEST_ALIGN_STRT_INDEX); sig_curr_btt_reg <= sig_cmd_fifo_data_out((BTT_STRT_INDEX+BTT_WIDTH)-1 downto BTT_STRT_INDEX); sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX); sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_curr_cmd_cmplt_reg <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX); sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto SF_OFFSET_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DRE_CNTL_FIFO -- -- Description: -- Instance for the DRE Control FIFO -- ------------------------------------------------------------ I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_10.axi_datamover_fifo generic map ( C_DWIDTH => DRECTL_FIFO_WIDTH , C_DEPTH => DRECTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_sm_pop_cmd_fifo , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => open ); ------------------------------------------------------------------------- -------- DRE and Scatter Command Loader State Machine ------------------------------------------------------------------------- ------------------------------------------------------------- -- Combinational Process -- -- Label: CMDCNTL_SM_COMBINATIONAL -- -- Process Description: -- Command Controller State Machine combinational implementation -- The design is based on the premise that for every parent -- command loaded into the S2MM, the Realigner can be loaded with -- 1 or 2 commands spawned from it. The first command is used to -- align ensuing transfers (in MMap space) to a max burst address -- boundary. Then, if the parent command's BTT value is not satisfied -- after the first command completes, a second command is generated -- and loaded in the Realigner for the remaining BTT value. The -- command complete bit in the Realigner command indicates if the -- first command the final command or the second command (if needed) -- is the final command, ------------------------------------------------------------- CMDCNTL_SM_COMBINATIONAL : process (sig_cmdcntl_sm_state , sig_fifo_rd_cmd_valid , sig_dre_align_ready , sig_scatter2drc_cmd_ready , sig_need_cmd_flush , sig_curr_cmd_cmplt_reg , sig_curr_calc_error_reg ) begin -- SM Defaults sig_cmdcntl_sm_state_ns <= INIT; sig_sm_ld_dre_cmd_ns <= '0'; sig_sm_ld_scatter_cmd_ns <= '0'; sig_sm_pop_cmd_fifo_ns <= '0'; case sig_cmdcntl_sm_state is -------------------------------------------- when INIT => sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; -------------------------------------------- when LD_DRE_SCATTER_FIRST => If (sig_fifo_rd_cmd_valid = '1' and sig_curr_calc_error_reg = '1') Then sig_cmdcntl_sm_state_ns <= ERROR_TRAP; elsif (sig_fifo_rd_cmd_valid = '1' and sig_dre_align_ready = '1' and sig_scatter2drc_cmd_ready = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_FIRST ; sig_sm_ld_dre_cmd_ns <= '1'; sig_sm_ld_scatter_cmd_ns <= '1'; sig_sm_pop_cmd_fifo_ns <= '1'; else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; End if; -------------------------------------------- when CHK_POP_FIRST => If (sig_curr_cmd_cmplt_reg = '1') Then sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; Else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_SECOND; End if; -------------------------------------------- when LD_DRE_SCATTER_SECOND => If (sig_fifo_rd_cmd_valid = '1' and sig_curr_calc_error_reg = '1') Then sig_cmdcntl_sm_state_ns <= ERROR_TRAP; elsif (sig_fifo_rd_cmd_valid = '1' and sig_need_cmd_flush = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_SECOND ; sig_sm_pop_cmd_fifo_ns <= '1'; elsif (sig_fifo_rd_cmd_valid = '1' and sig_dre_align_ready = '1' and sig_scatter2drc_cmd_ready = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_FIRST ; sig_sm_ld_dre_cmd_ns <= '1'; sig_sm_ld_scatter_cmd_ns <= '1'; sig_sm_pop_cmd_fifo_ns <= '1'; else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_SECOND; End if; -------------------------------------------- when CHK_POP_SECOND => sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST ; -------------------------------------------- when ERROR_TRAP => sig_cmdcntl_sm_state_ns <= ERROR_TRAP ; -------------------------------------------- when others => sig_cmdcntl_sm_state_ns <= INIT; end case; end process CMDCNTL_SM_COMBINATIONAL; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMDCNTL_SM_REGISTERED -- -- Process Description: -- Command Controller State Machine registered implementation -- ------------------------------------------------------------- CMDCNTL_SM_REGISTERED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_cmdcntl_sm_state <= INIT; sig_sm_ld_dre_cmd <= '0' ; sig_sm_ld_scatter_cmd <= '0' ; sig_sm_pop_cmd_fifo <= '0' ; else sig_cmdcntl_sm_state <= sig_cmdcntl_sm_state_ns ; sig_sm_ld_dre_cmd <= sig_sm_ld_dre_cmd_ns ; sig_sm_ld_scatter_cmd <= sig_sm_ld_scatter_cmd_ns ; sig_sm_pop_cmd_fifo <= sig_sm_pop_cmd_fifo_ns ; end if; end if; end process CMDCNTL_SM_REGISTERED; ------------------------------------------------------------------------- -------- DRE Instance and controls ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_DRE -- -- If Generate Description: -- Includes the instance for the DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_DRE : if (INCLUDE_DRE) generate signal lsig_eop_reg : std_logic := '0'; signal lsig_dre_load_beat : std_logic := '0'; signal lsig_dre_tlast_output_beat : std_logic := '0'; signal lsig_set_eop : std_logic := '0'; signal lsig_tlast_err_reg1 : std_logic := '0'; signal lsig_tlast_err_reg2 : std_logic := '0'; signal lsig_push_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal lsig_pushreg_full : std_logic := '0'; signal lsig_pushreg_empty : std_logic := '0'; signal lsig_pull_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal lsig_pullreg_full : std_logic := '0'; signal lsig_pullreg_empty : std_logic := '0'; signal lsig_pull_new_offset : std_logic := '0'; signal lsig_push_new_offset : std_logic := '0'; begin ------------------------------------------------------------ -- Instance: I_S2MM_DRE_BLOCK -- -- Description: -- Instance for the S2MM Data Realignment Engine (DRE) -- ------------------------------------------------------------ I_S2MM_DRE_BLOCK : entity axi_datamover_v5_1_10.axi_datamover_s2mm_dre generic map ( C_DWIDTH => C_STREAM_DWIDTH , C_ALIGN_WIDTH => C_DRE_ALIGN_WIDTH ) port map ( -- Clock and Reset dre_clk => primary_aclk , dre_rst => mmap_reset , -- Alignment Control (Independent from Stream Input timing) dre_align_ready => sig_dre_align_ready , dre_align_valid => sig_sm_ld_dre_cmd , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_scatter2dre_src_align , dre_dest_align => sig_dre_dest_align , -- Flush Control (Aligned to input Stream timing) dre_flush => sig_scatter2dre_flush , -- Stream Inputs dre_in_tstrb => sig_scatter2dre_tstrb , dre_in_tdata => sig_scatter2dre_tdata , dre_in_tlast => sig_scatter2dre_tlast , dre_in_tvalid => sig_scatter2dre_tvalid , dre_in_tready => sig_dre2scatter_tready , -- Stream Outputs dre_out_tstrb => sig_dre2wdc_tstrb , dre_out_tdata => sig_dre2wdc_tdata , dre_out_tlast => sig_dre2wdc_tlast , dre_out_tvalid => sig_dre2wdc_tvalid , dre_out_tready => sig_wdc2dre_tready ); lsig_dre_load_beat <= sig_scatter2dre_tvalid and sig_dre2scatter_tready; lsig_set_eop <= sig_scatter2drc_eop and lsig_dre_load_beat ; lsig_dre_tlast_output_beat <= sig_dre2wdc_tvalid and sig_wdc2dre_tready and sig_dre2wdc_tlast; dre2wdc_eop <= lsig_dre_tlast_output_beat and lsig_eop_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_EOP_REG -- -- Process Description: -- Implements a flop for holding the EOP from the Scatter -- Engine until the corresponding packet clears out of the DRE. -- THis is used to transfer the EOP marker to the DRE output -- stream without the need for the DRE to pass it through. -- ------------------------------------------------------------- IMP_EOP_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (lsig_dre_tlast_output_beat = '1' and lsig_set_eop = '0')) then lsig_eop_reg <= '0'; elsif (lsig_set_eop = '1') then lsig_eop_reg <= '1'; else null; -- Hold current state end if; end if; end process IMP_EOP_REG; -- Delay TLAST Error by 2 clocks to compensate for DRE minimum -- delay of 2 clocks for the stream data. sig_tlast_err0r <= lsig_tlast_err_reg2; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERR_DELAY -- -- Process Description: -- Implements a 2 clock delay to better align the TLAST -- error detection with the Stream output data to the WDC -- which has a minimum 2 clock delay through the DRE. -- ------------------------------------------------------------- IMP_TLAST_ERR_DELAY : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_tlast_err_reg1 <= '0'; lsig_tlast_err_reg2 <= '0'; else lsig_tlast_err_reg1 <= sig_scatter2all_tlast_error; lsig_tlast_err_reg2 <= lsig_tlast_err_reg1; end if; end if; end process IMP_TLAST_ERR_DELAY; ------------------------------------------------------------------------- -- Store and Forward Start Address Offset Registers Logic -- Push-pull register is used to to time align the starting address -- offset (ripped from the Realigner command via parsing) to DRE -- TLAST output timing. The offset output of the pull register must -- be valid on the first output databeat of the DRE to the Store and -- Forward module. ------------------------------------------------------------------------- sig_dre2sf_strt_offset <= lsig_pull_strt_offset_reg; -- lsig_push_new_offset <= sig_dre_align_ready and -- sig_gated_dre_align_valid ; lsig_push_new_offset <= sig_sm_ld_dre_cmd ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PUSH_STRT_OFFSET_REG -- -- Process Description: -- Implements the input register for holding the starting address -- offset sent to the external Store and Forward functions. -- ------------------------------------------------------------- IMP_PUSH_STRT_OFFSET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_push_strt_offset_reg <= (others => '0'); lsig_pushreg_full <= '0'; lsig_pushreg_empty <= '1'; elsif (lsig_push_new_offset = '1') then lsig_push_strt_offset_reg <= sig_curr_strt_offset_reg; lsig_pushreg_full <= '1'; lsig_pushreg_empty <= '0'; elsif (lsig_pull_new_offset = '1') then lsig_push_strt_offset_reg <= (others => '0'); lsig_pushreg_full <= '0'; lsig_pushreg_empty <= '1'; else null; -- Hold Current State end if; end if; end process IMP_PUSH_STRT_OFFSET_REG; -- Pull the next offset (if one exists) into the pull register -- when the DRE outputs a TLAST. If the pull register is empty -- and the push register has an offset, then push the new value -- into the pull register. lsig_pull_new_offset <= (sig_dre2wdc_tlast and sig_dre2wdc_tvalid and sig_wdc2dre_tready) or (lsig_pushreg_full and lsig_pullreg_empty); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PULL_STRT_OFFSET_REG -- -- Process Description: -- Implements the output register for holding the starting -- address offset sent to the Store and Forward modul's upsizer -- logic. -- ------------------------------------------------------------- IMP_PULL_STRT_OFFSET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_pull_strt_offset_reg <= (others => '0'); lsig_pullreg_full <= '0'; lsig_pullreg_empty <= '1'; elsif (lsig_pull_new_offset = '1' and lsig_pushreg_full = '1') then lsig_pull_strt_offset_reg <= lsig_push_strt_offset_reg; lsig_pullreg_full <= '1'; lsig_pullreg_empty <= '0'; elsif (lsig_pull_new_offset = '1' and lsig_pushreg_full = '0') then lsig_pull_strt_offset_reg <= (others => '0'); lsig_pullreg_full <= '0'; lsig_pullreg_empty <= '1'; else null; -- Hold Current State end if; end if; end process IMP_PULL_STRT_OFFSET_REG; end generate GEN_INCLUDE_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_DRE -- -- If Generate Description: -- Omits the DRE from the Re-aligner. -- -- ------------------------------------------------------------ GEN_OMIT_DRE : if (OMIT_DRE) generate begin -- DRE always ready sig_dre_align_ready <= '1'; -- -- Let the Scatter engine control the Realigner command -- -- flow. -- sig_dre_align_ready <= sig_scatter2drc_cmd_ready; -- Pass through signal connections sig_dre2wdc_tstrb <= sig_scatter2dre_tstrb ; sig_dre2wdc_tdata <= sig_scatter2dre_tdata ; sig_dre2wdc_tlast <= sig_scatter2dre_tlast ; sig_dre2wdc_tvalid <= sig_scatter2dre_tvalid ; sig_dre2scatter_tready <= sig_wdc2dre_tready ; dre2wdc_eop <= sig_scatter2drc_eop ; -- Just pass TLAST Error through when no DRE is present sig_tlast_err0r <= sig_scatter2all_tlast_error; ------------------------------------------------------------------------- -------- Store and Forward Start Address Offset Register Logic ------------------------------------------------------------------------- sig_dre2sf_strt_offset <= sig_output_strt_offset_reg; sig_ld_strt_offset <= sig_sm_ld_dre_cmd; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STRT_OFFSET_OUTPUT -- -- Process Description: -- Implements the register for holding the starting address -- offset sent to the S2MM Store and Forward module's upsizer -- logic. -- ------------------------------------------------------------- IMP_STRT_OFFSET_OUTPUT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_output_strt_offset_reg <= (others => '0'); elsif (sig_ld_strt_offset = '1') then sig_output_strt_offset_reg <= sig_curr_strt_offset_reg; else null; -- Hold Current State end if; end if; end process IMP_STRT_OFFSET_OUTPUT; end generate GEN_OMIT_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_SCATTER -- -- If Generate Description: -- This IfGen implements the Scatter function which is a pre- -- processor for the S2MM DRE. The scatter function breaks up -- a continous input stream of data into constituant parts -- as described by a set of loaded commands that together -- describe an entire input packet. -- ------------------------------------------------------------ GEN_INCLUDE_SCATTER : if (C_SUPPORT_SCATTER = 1) generate begin -- Load the Scatter Engine command when the DRE command -- is loaded -- sig_drc2scatter_push_cmd <= sig_dre_align_ready and -- sig_gated_dre_align_valid; sig_drc2scatter_push_cmd <= sig_sm_ld_scatter_cmd ; -- Assign the new Bytes to Transfer (BTT) qualifier for the -- Scatter Engine sig_drc2scatter_btt <= sig_curr_btt_reg; -- Assign the new End of Frame (EOF) qualifier for the -- Scatter Engine sig_drc2scatter_eof <= sig_curr_eof_reg; ------------------------------------------------------------ -- Instance: I_S2MM_SCATTER -- -- Description: -- Instance for the Scatter Engine. This block breaks up a -- input stream per commands loaded. -- ------------------------------------------------------------ I_S2MM_SCATTER : entity axi_datamover_v5_1_10.axi_datamover_s2mm_scatter generic map ( C_ENABLE_INDET_BTT => C_ENABLE_INDET_BTT , C_DRE_ALIGN_WIDTH => C_DRE_ALIGN_WIDTH , C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP , C_BTT_USED => BTT_WIDTH , C_STREAM_DWIDTH => C_STREAM_DWIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock input & Reset input primary_aclk => primary_aclk , mmap_reset => mmap_reset , -- DRE Realign Controller I/O ---------------------------- scatter2drc_cmd_ready => sig_scatter2drc_cmd_ready , drc2scatter_push_cmd => sig_drc2scatter_push_cmd , drc2scatter_btt => sig_drc2scatter_btt , drc2scatter_eof => sig_drc2scatter_eof , -- DRE Source Alignment ----------------------------------- scatter2drc_src_align => sig_scatter2dre_src_align , -- AXI Slave Stream In ----------------------------------- s2mm_strm_tready => sig_scatter2strm_tready , s2mm_strm_tvalid => sig_strm2scatter_tvalid , s2mm_strm_tdata => sig_strm2scatter_tdata , s2mm_strm_tstrb => sig_strm2scatter_tstrb , s2mm_strm_tlast => sig_strm2scatter_tlast , -- Stream Out to S2MM DRE --------------------------------- drc2scatter_tready => sig_dre2scatter_tready , scatter2drc_tvalid => sig_scatter2dre_tvalid , scatter2drc_tdata => sig_scatter2dre_tdata , scatter2drc_tstrb => sig_scatter2dre_tstrb , scatter2drc_tlast => sig_scatter2dre_tlast , scatter2drc_flush => sig_scatter2dre_flush , scatter2drc_eop => sig_scatter2drc_eop , -- Premature TLAST assertion error flag scatter2drc_tlast_error => sig_scatter2all_tlast_error ); end generate GEN_INCLUDE_SCATTER; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_SCATTER -- -- If Generate Description: -- This IfGen omits the Scatter pre-processor. -- -- ------------------------------------------------------------ GEN_OMIT_SCATTER : if (C_SUPPORT_SCATTER = 0) generate begin -- Just housekeep the signaling sig_scatter2drc_cmd_ready <= '1' ; sig_scatter2drc_eop <= sig_strm2scatter_tlast ; sig_scatter2dre_src_align <= sig_dre_src_align ; sig_scatter2all_tlast_error <= '0' ; sig_scatter2dre_flush <= sig_dre_flush ; sig_scatter2dre_tstrb <= sig_strm2scatter_tstrb ; sig_scatter2dre_tdata <= sig_strm2scatter_tdata ; sig_scatter2dre_tlast <= sig_strm2scatter_tlast ; sig_scatter2dre_tvalid <= sig_strm2scatter_tvalid ; sig_scatter2strm_tready <= sig_dre2scatter_tready ; end generate GEN_OMIT_SCATTER; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_INDET_BTT -- -- If Generate Description: -- Omit and special logic for Indeterminate BTT support. -- -- ------------------------------------------------------------ GEN_OMIT_INDET_BTT : if (C_ENABLE_INDET_BTT = 0) generate begin sig_need_cmd_flush <= '0' ; -- not needed without Indeterminate BTT end generate GEN_OMIT_INDET_BTT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ENABLE_INDET_BTT -- -- If Generate Description: -- Include logic for the case when Indeterminate BTT is -- included as part of the S2MM. In this mode, the actual -- length of input stream packets is not known when the S2MM -- is loaded with a transfer command. -- ------------------------------------------------------------ GEN_ENABLE_INDET_BTT : if (C_ENABLE_INDET_BTT = 1) generate signal lsig_clr_cmd_flush : std_logic := '0'; signal lsig_set_cmd_flush : std_logic := '0'; signal lsig_cmd_set_fetch_pause : std_logic := '0'; signal lsig_cmd_clr_fetch_pause : std_logic := '0'; signal lsig_cmd_fetch_pause : std_logic := '0'; begin lsig_cmd_set_fetch_pause <= sig_drc2scatter_push_cmd and not(sig_curr_cmd_cmplt_reg) and not(sig_need_cmd_flush); lsig_cmd_clr_fetch_pause <= sig_scatter2dre_tvalid and sig_dre2scatter_tready and sig_scatter2dre_tlast; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_FETCH_PAUSE -- -- Process Description: -- Implements the flop for the flag that causes the command -- queue manager to pause fetching the next command if the -- current command does not have the command complete bit set. -- The pause remains set until the associated TLAST for the -- command is output from the Scatter Engine. If the Tlast is -- also accompanied by a EOP and the pause is set, then the -- ensuing command (which will have the cmd cmplt bit set) must -- be flushed from the queue and not loaded into the Scatter -- Engine or DRE, This is normally associated with indeterminate -- packets that are actually shorter than the intial align to -- max burst child command sent to the Realigner, The next loaded -- child command is to finish the remainder of the indeterminate -- packet up to the full BTT value in the original parent command. -- This child command becomes stranded in the Realigner command fifo -- and has to be flushed. -- ------------------------------------------------------------- IMP_CMD_FETCH_PAUSE : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or lsig_cmd_clr_fetch_pause = '1') then lsig_cmd_fetch_pause <= '0'; elsif (lsig_cmd_set_fetch_pause = '1') then lsig_cmd_fetch_pause <= '1'; else null; -- Hold current state end if; end if; end process IMP_CMD_FETCH_PAUSE; -- Clear the flush needed flag when the command with the command -- complete marker is popped off of the command queue. lsig_clr_cmd_flush <= sig_need_cmd_flush and sig_sm_pop_cmd_fifo; -- The command queue has to be flushed if the stream EOP marker -- is transfered out of the Scatter Engine when the corresponding -- command being executed does not have the command complete -- marker set. lsig_set_cmd_flush <= lsig_cmd_fetch_pause and sig_scatter2dre_tvalid and sig_dre2scatter_tready and sig_scatter2drc_eop; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_FLUSH_FLOP -- -- Process Description: -- Implements the flop for holding the command flush flag. -- This is only needed in Indeterminate BTT mode. -- ------------------------------------------------------------- IMP_CMD_FLUSH_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or lsig_clr_cmd_flush = '1') then sig_need_cmd_flush <= '0'; elsif (lsig_set_cmd_flush = '1') then sig_need_cmd_flush <= '1'; else null; -- Hold current state end if; end if; end process IMP_CMD_FLUSH_FLOP; end generate GEN_ENABLE_INDET_BTT; end implementation;